Skip to content
View sujianleo's full-sized avatar
💭
I may be slow to respond.
💭
I may be slow to respond.

Block or report sujianleo

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Beta Lists are currently in beta. Share feedback and report bugs.
Showing results

Fully parametrizable combinatorial parallel LFSR/CRC module

Python 134 54 Updated Jan 30, 2023
Shell 7 1 Updated Jun 24, 2023

NJU EMUlator, a full system x86/mips32/riscv32/riscv64 emulator for teaching

C 860 184 Updated Sep 12, 2024

Processor written in System Verilog. 5 staged piplining, L1 and L2 cache with EWB, tournament branch predictor and BTB

SystemVerilog 4 Updated Aug 4, 2019

Python based, face detection and tracking example application using VART API.

Python 5 5 Updated Jan 29, 2021

xkDLA:XinKai Deep Learning Accelerator (RTL)

Verilog 25 6 Updated Jan 15, 2024

AltOr32 - Alternative Lightweight OpenRisc CPU

Verilog 10 7 Updated Dec 17, 2015

design of a memory sub system with cache memory

Verilog 5 5 Updated Oct 3, 2020

PicoRV32 - A Size-Optimized RISC-V CPU

Verilog 3,059 748 Updated Jun 27, 2024

The Ultra-Low Power RISC-V Core

Verilog 1,203 333 Updated Sep 5, 2024

RISC-V SoC designed by students in UCAS

Scala 1,375 236 Updated Aug 30, 2024

Collect some CS textbooks for learning.

524 144 Updated Jun 19, 2024

Riscv32 CPU Project

Verilog 82 20 Updated Jan 18, 2018

pulp_soc is the core building component of PULP based SoCs

Python 76 80 Updated Jul 26, 2024

This is the top-level project for the PULPissimo Platform. It instantiates a PULPissimo open-source system with a PULP SoC domain, but no cluster.

SystemVerilog 376 163 Updated Jul 26, 2024

A discussion group on Open Source Deep Learning Accelerator, with technical reports and potential hardware/software issues.

138 39 Updated Nov 16, 2017

Fuxi (伏羲) is a 32-bit pipelined RISC-V processor written in Chisel3.

Verilog 158 22 Updated Jun 28, 2021

AMBA bus lecture material

Verilog 365 125 Updated Jan 21, 2020

Open source FPGA-based NIC and platform for in-network compute

Verilog 1,642 410 Updated Jul 5, 2024

This repository contains simple implementation of UDP/IP stack with 64-bit AXI-Stream interface. ICMP and ARP requests are partially supported. The project was tested on Xilinx 7-series FPGA with 1…

Verilog 38 22 Updated Mar 15, 2022

Easy_Eth is a simple ethernet stack based on verilog, support up to 25Gbps. can be implement on Xilinx FPGA and work together with AXI 10G ethernet subsystem IP

Verilog 1 Updated Feb 14, 2024

Verilog AXI components for FPGA implementation

Verilog 1,445 437 Updated Dec 7, 2023

Framework providing operating system abstractions and a range of shared networking (RDMA, TCP/IP) and memory services to common modern heterogeneous platforms.

SystemVerilog 207 62 Updated Sep 17, 2024

Scalable Network Stack for FPGAs (TCP/IP, RoCEv2)

C++ 733 263 Updated Apr 23, 2024

An open source hardware engine for Open vSwitch on FPGA

Verilog 25 13 Updated Dec 8, 2012
Verilog 2 1 Updated May 14, 2023

An HDL design for sending data over Ethernet

SystemVerilog 30 11 Updated May 8, 2023
C++ 25 21 Updated Mar 30, 2023
Next