Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Implement typeName API for stable Module names #3130

Merged
merged 27 commits into from
Apr 5, 2023
Merged
Changes from 1 commit
Commits
Show all changes
27 commits
Select commit Hold shift + click to select a range
85cbc2e
Implement `typeName` API for a few `Data` types
jared-barocsi Feb 2, 2023
b935729
Fix silly compilation error
jared-barocsi Feb 23, 2023
6a2bf4f
Implement typeName API further for most data types and modules which …
jared-barocsi Mar 22, 2023
be8f5cf
Compilation error fixes
jared-barocsi Mar 22, 2023
aab6117
Remove width parameter from Bool
jared-barocsi Mar 22, 2023
8703204
Test for Queue naming using typeNames
jared-barocsi Mar 22, 2023
6dfb9cf
Remove width-sensitive override for AsyncReset as it is irrelevant
jared-barocsi Mar 23, 2023
cbbb8cf
Add typeName for Analogs
jared-barocsi Mar 27, 2023
a048eb8
Add additional types to be tested
jared-barocsi Mar 27, 2023
3d8fc0c
Scalafmt
jared-barocsi Mar 27, 2023
b7c6f2b
Merge branch 'main' into typename-api
jared-barocsi Mar 27, 2023
97f2b5c
Use circt ChiselStage
jared-barocsi Mar 27, 2023
6dd31f1
Update queue naming of existing tests
jared-barocsi Mar 28, 2023
c531172
Scalafmt
jared-barocsi Mar 28, 2023
a4d00ba
Change pipe desiredName to be consistent with other modules
jared-barocsi Mar 29, 2023
139e5d9
Update naming cookbook
jared-barocsi Mar 29, 2023
9f597b3
Update docs/src/cookbooks/naming.md
jared-barocsi Mar 29, 2023
acec8ed
Update docs/src/cookbooks/naming.md
jared-barocsi Mar 29, 2023
b0172cf
Add SInt to TypenameSpec
jared-barocsi Mar 30, 2023
d96670d
Fix cookbook mdoc errors
jared-barocsi Apr 3, 2023
80c1218
compileOnly tag on scala code
jared-barocsi Apr 3, 2023
401435b
Merge branch 'main' into typename-api
jared-barocsi Apr 3, 2023
3556190
More improvements of mdoc
jared-barocsi Apr 3, 2023
5e6bca7
compileOnly -> compile-only
jared-barocsi Apr 3, 2023
091ab72
Final mdoc review and fixes
jared-barocsi Apr 3, 2023
a48a6b9
Add inferred UInt to test
jared-barocsi Apr 4, 2023
5699a8a
Merge branch 'main' into typename-api
jared-barocsi Apr 5, 2023
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
Prev Previous commit
Next Next commit
Remove width parameter from Bool
  • Loading branch information
jared-barocsi committed Mar 23, 2023
commit aab61179b21d68491056b740a0a76ad63b28cbbd
6 changes: 6 additions & 0 deletions core/src/main/scala/chisel3/Bits.scala
Original file line number Diff line number Diff line change
Expand Up @@ -1213,6 +1213,12 @@ sealed class AsyncReset(private[chisel3] val width: Width = Width(1)) extends El
* @define numType $coll
*/
sealed class Bool() extends UInt(1.W) with Reset {
/**
* Give this `Bool` a stable `typeName` for Verilog name generation.
* Specifying a Bool's width in its type name isn't necessary
*/
override def typeName = "Bool"

override def toString: String = {
litToBooleanOption match {
case Some(value) => s"Bool($value)"
Expand Down