Skip to content

UART Tx implemented in SystemVerilog from scratch.

Notifications You must be signed in to change notification settings

Fahad-Habib/UART-Tx

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

4 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

UART-Tx

UART (Universal Asynchronous Receiver-Transmitter) Tx implemented from scratch in SystemVerilog at a Baud Rate of 9600.

UART

UART (Universal Asynchronous Receiver-Transmitter) is a serial communication device for asynchronous communication in which the data format and transmission speeds are configurable. It sends data bits one by one, from the least significant to the most significant, framed by start and stop bits so that precise timing is handled by the communication channel.

Support this project by leaving a ⭐

About

UART Tx implemented in SystemVerilog from scratch.

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published