Skip to content
View sequencer's full-sized avatar
  • Wuhan, China

Organizations

@freechipsproject @cnrv @chipsalliance

Block or report sequencer

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
33 stars written in Verilog
Clear filter

RTL, Cmodel, and testbench for NVDLA

Verilog 1,717 566 Updated Mar 2, 2022

OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/

Verilog 1,513 528 Updated Sep 21, 2024

SERV - The SErial RISC-V CPU

Verilog 1,373 178 Updated Aug 23, 2024

Verilog library for ASIC and FPGA designers

Verilog 1,157 284 Updated May 8, 2024

OpenXuantie - OpenC910 Core

Verilog 1,139 300 Updated Jun 28, 2024

An Open-source FPGA IP Generator

Verilog 817 160 Updated Sep 21, 2024

Source and Documentation files for USB C Industrial Camera Project, This repo contains PCB boards, FPGA , Camera and USB along with FPGA Firmware and USB Controller Firmware source.

Verilog 799 147 Updated Oct 22, 2023

3-stage RV32IMACZb* processor with debug

Verilog 634 42 Updated Aug 29, 2024

A High-performance Timing Analysis Tool for VLSI Systems

Verilog 551 143 Updated May 26, 2023

Project Apicula 🐝: bitstream documentation for Gowin FPGAs

Verilog 467 66 Updated Sep 20, 2024

iCESugar FPGA Board (base on iCE40UP5k)

Verilog 354 96 Updated Jun 5, 2024

OpenXuantie - OpenC906 Core

Verilog 315 95 Updated Jun 28, 2024

RISC-V CPU, simple 3-stage pipeline, for low-end applications (e.g., embedded, IoT)

Verilog 305 49 Updated Jan 23, 2022

FuseSoC-based SoC for VeeR EH1 and EL2

Verilog 281 64 Updated Aug 24, 2024

Chisel implementation of the NVIDIA Deep Learning Accelerator (NVDLA), with self-driving accelerated

Verilog 219 47 Updated Sep 6, 2024

Macro Placement - benchmarks, evaluators, and reproducible results from leading methods in open source

Verilog 219 44 Updated Sep 12, 2023

SystemC/TLM-2.0 Co-simulation framework

Verilog 212 68 Updated May 15, 2024

A full-speed device-side USB peripheral core written in Verilog.

Verilog 206 38 Updated Oct 30, 2022

RISC-V Core; superscalar, out-of-order, multi-core capable; based on RISCY-OOO from MIT

Verilog 161 36 Updated Jul 25, 2024

EPFL logic synthesis benchmarks

Verilog 159 36 Updated Aug 26, 2024
Verilog 138 25 Updated Apr 5, 2022

OpenXuantie - OpenE902 Core

Verilog 129 61 Updated Jun 28, 2024

OpenXuantie - OpenE906 Core

Verilog 129 65 Updated Jun 28, 2024

Chisel components for FPGA projects

Verilog 114 27 Updated Sep 19, 2023
Verilog 76 19 Updated Feb 27, 2024

Xilinx Unisim Library in Verilog

Verilog 68 20 Updated Jul 22, 2020

Vortex Graphics

Verilog 57 8 Updated Sep 21, 2024

The source code to the Voss II Hardware Verification Suite

Verilog 53 13 Updated Sep 18, 2024

Parameterized Booth Multiplier in Verilog 2001

Verilog 46 19 Updated Oct 30, 2022

Original RISC-V 1.0 implementation. Not supported.

Verilog 40 14 Updated Oct 4, 2018
Next