Skip to content

Commit

Permalink
Update queue slides
Browse files Browse the repository at this point in the history
  • Loading branch information
kraigher committed Nov 10, 2018
1 parent f34c5c9 commit 92619be
Showing 1 changed file with 15 additions and 17 deletions.
32 changes: 15 additions & 17 deletions slides/vunit_tutorial.html
Original file line number Diff line number Diff line change
Expand Up @@ -792,29 +792,27 @@
```vhdl
stimuli : process
begin
while not is_empty(queue) loop
axis_m2s.t_valid <= '1';
axis_m2s.t_data <= pop_std_ulogic_vector(queue);
axis_m2s.t_user <= pop_std_ulogic_vector(queue);
while is_empty(queue) loop
wait until rising_edge(clk);
end loop;

wait until (axis_m2s.t_valid and axis_s2m.t_ready) = '1'
and rising_edge(clk);
axis_m2s.t_valid <= '1';
axis_m2s.t_data <= pop_std_ulogic_vector(queue);

axis_m2s.t_valid <= '0';
end loop;
wait until (axis_m2s.t_valid and axis_s2m.t_ready) = '1'
and rising_edge(clk);

axis_m2s.t_valid <= '0';
end process;

checker : process
begin
while not is_empty(queue) loop
wait until (axis_m2s.t_valid and axis_s2m.t_ready) = '1'
and rising_edge(clk);
check_equal(axis_m2s.t_data, pop_std_ulogic_vector(queue),
result("for tdata"));
check_equal(axis_m2s.t_user, pop_std_ulogic_vector(queue),
result("for tuser"));
end loop;
end process
wait until (axis_m2s.t_valid and axis_s2m.t_ready) = '1'
and rising_edge(clk);
check_false(is_empty(queue), "Got unexpected data");
check_equal(axis_m2s.t_data, pop_std_ulogic_vector(queue),
result("for tdata"));
end process;
```

---
Expand Down

0 comments on commit 92619be

Please sign in to comment.