Skip to content

Commit

Permalink
go
Browse files Browse the repository at this point in the history
  • Loading branch information
konopoly committed Apr 28, 2016
2 parents da55484 + d098587 commit 7a532a5
Show file tree
Hide file tree
Showing 3 changed files with 29 additions and 35 deletions.
3 changes: 0 additions & 3 deletions ID/signextend.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,3 @@
//polyashenko

`timescale 1ns / 1ps
module signextend(opcode,one,two,three,extendOutput);
input[3:0] opcode,one,two,three;
output[15:0] extendOutput;
Expand Down
32 changes: 0 additions & 32 deletions ID/signextend_fixture.v

This file was deleted.

29 changes: 29 additions & 0 deletions ID/signextend_tb.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,29 @@
`timescale 1ns / 1ps
`include "signextend.v"

module reg_tb();
reg[3:0] opcode,one,two,three;
wire[15:0] extendOutput;

signextend uut(opcode,one,two,three,extendOutput);

initial begin
$vcdpluson;
opcode = 4'b1000;
one = 1;
two = 2;
three = 3;
#20
opcode = 4'b0101;
one = 1;
two = 2;
three = 3;
#20
opcode = 4'b1100;
one = 1;
two = 2;
three = 3;
#20
$finish;
end
endmodule

0 comments on commit 7a532a5

Please sign in to comment.