You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
library ieee;
use ieee.std_logic_1164.all;
entitybisport (
a : instd_logic
);
endentityb;
architectureaofbissignal t1 : std_logic;
constant t2 : std_logic:='0';
variable t3 : std_logic;
file t4 : std_logic;
subtype t5 isstd_logic_vector(1downto0);
typet6isarray (0to3) of t5;
beginendarchitecturea;
With vsg -c vsg.yml -f top.vhd --fix, I get the following fixed file:
library ieee;
use ieee.std_logic_1164.all;
entitybisport (
a : instd_logic
);
endentityb;
architectureaofbissignal t1 : std_logic;
constant t2 : std_logic:='0';
variable t3 : std_logic;
file t4 : std_logic;
subtype t5 isstd_logic_vector(1downto0);
typet6isarray (0to3) of t5;
beginendarchitecturea;
And the output:
================================================================================
File: top.vhd
================================================================================
Phase 2 of 7... Reporting
Total Rules Checked: 314
Total Violations: 4
Error : 4
Warning : 0
----------------------------+------------+------------+--------------------------------------
Rule | severity | line(s) | Solution
----------------------------+------------+------------+--------------------------------------
signal_100 | Error | 12 | Change the number of space(s) between signal and t1 to 1
file_100 | Error | 15 | Change the number of space(s) between file and t4 to 1
subtype_100 | Error | 16 | Change the number of space(s) between subtype and t5 to 1
type_100 | Error | 17 | Change the number of space(s) between type and t6 to 1
----------------------------+------------+------------+--------------------------------------
The text was updated successfully, but these errors were encountered:
It seems auto fix only partially works for these rules:
The extra spaces are removed up until the length of
constant
and then it stops. So it works forconstant
andvariable
.With the following configuration file:
And this file:
With
vsg -c vsg.yml -f top.vhd --fix
, I get the following fixed file:And the output:
The text was updated successfully, but these errors were encountered: