Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Source #1

Closed
amal-khailtash opened this issue Dec 13, 2017 · 2 comments
Closed

Source #1

amal-khailtash opened this issue Dec 13, 2017 · 2 comments
Assignees
Labels

Comments

@amal-khailtash
Copy link

amal-khailtash commented Dec 13, 2017

Hi Patrick, great work for documenting VHDL. Is this available to public for consumption yet? Although I am more interested Verilog version. I was curious how involved it is to develop one for Verilog.

@Paebbels Paebbels self-assigned this Dec 13, 2017
@Paebbels
Copy link
Member

This is not working yet.

I'm working on a VHDL parser to extract the documentation into the ReST format. If this is working, I can try to finalize this Sphinx addon. The idea was created from my current project PoC, which is documented using Sphinx. Currently, we are using simple RegExps to extract the documentation of entities. Bus this approach does not work well with packages. That's why I'm trying to create a complete chain of Python tools / libraries from source file to the finished document.

I don't do Verilog. I'm heavily involved in the VHDL language specification at IEEE, so I won't do anything for Verilog :).

@Paebbels Paebbels mentioned this issue Feb 1, 2018
@Paebbels
Copy link
Member

This repository (as longtime placeholder) is now actively used to create a automatic documentation extension for VHDL using Sphinx.

First proof-of-concept looks like this:

Automatic Documentation of a VHDL Entity
image

Please see #5 for more details.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

2 participants