diff --git a/.gitignore b/.gitignore index a63bc0e..260e7b9 100644 --- a/.gitignore +++ b/.gitignore @@ -27,3 +27,6 @@ fp-info-cache # Exported BOM files *.xml *.csv + +# Mac mess +*.DS_Store diff --git a/PC104.kicad_sch b/PC104.kicad_sch new file mode 100644 index 0000000..40f9f3e --- /dev/null +++ b/PC104.kicad_sch @@ -0,0 +1,2622 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid e4d32e47-0230-472a-97e6-a969ed461778) + + (paper "A4") + + (title_block + (title "PC104 connector") + ) + + (lib_symbols + (symbol "local:Bus_PC104_16bit" (in_bom yes) (on_board yes) + (property "Reference" "J" (at 0 69.215 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_PC104_16bit" (at 0 1.27 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "local:PC104_16bit" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://pc104.org/wp-content/uploads/2015/02/PC104_Spec_v2_6.pdf" (at 0.635 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "ISA PC/104" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "16-bit PC/104 connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bus_PC104_16bit_0_0" + (pin power_in line (at -20.32 -13.97 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -13.97 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B32" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -20.32 -67.31 0) (length 5.08) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "C19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -67.31 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D19" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "Bus_PC104_16bit_0_1" + (rectangle (start -15.24 66.675) (end 15.24 -71.12) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "Bus_PC104_16bit_1_1" + (pin passive line (at -20.32 64.77 0) (length 5.08) + (name "~{IOCHK}" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 41.91 0) (length 5.08) + (name "IOCHRDY" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 39.37 0) (length 5.08) + (name "AEN" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 36.83 0) (length 5.08) + (name "SA19" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 34.29 0) (length 5.08) + (name "SA18" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 31.75 0) (length 5.08) + (name "SA17" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 29.21 0) (length 5.08) + (name "SA16" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 26.67 0) (length 5.08) + (name "SA15" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 24.13 0) (length 5.08) + (name "SA14" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 21.59 0) (length 5.08) + (name "SA13" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 19.05 0) (length 5.08) + (name "SA12" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 62.23 0) (length 5.08) + (name "SD7" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 16.51 0) (length 5.08) + (name "SA11" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 13.97 0) (length 5.08) + (name "SA10" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 11.43 0) (length 5.08) + (name "SA9" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 8.89 0) (length 5.08) + (name "SA8" (effects (font (size 1.27 1.27)))) + (number "A23" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 6.35 0) (length 5.08) + (name "SA7" (effects (font (size 1.27 1.27)))) + (number "A24" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 3.81 0) (length 5.08) + (name "SA6" (effects (font (size 1.27 1.27)))) + (number "A25" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 1.27 0) (length 5.08) + (name "SA5" (effects (font (size 1.27 1.27)))) + (number "A26" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -1.27 0) (length 5.08) + (name "SA4" (effects (font (size 1.27 1.27)))) + (number "A27" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -3.81 0) (length 5.08) + (name "SA3" (effects (font (size 1.27 1.27)))) + (number "A28" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -6.35 0) (length 5.08) + (name "SA2" (effects (font (size 1.27 1.27)))) + (number "A29" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 59.69 0) (length 5.08) + (name "SD6" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -8.89 0) (length 5.08) + (name "SA1" (effects (font (size 1.27 1.27)))) + (number "A30" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -11.43 0) (length 5.08) + (name "SA0" (effects (font (size 1.27 1.27)))) + (number "A31" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 57.15 0) (length 5.08) + (name "SD5" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 54.61 0) (length 5.08) + (name "SD4" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 52.07 0) (length 5.08) + (name "SD3" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 49.53 0) (length 5.08) + (name "SD2" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 46.99 0) (length 5.08) + (name "SD1" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 44.45 0) (length 5.08) + (name "SD0" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 64.77 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 20.32 41.91 180) (length 5.08) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 39.37 180) (length 5.08) + (name "~{SMEMW}" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 36.83 180) (length 5.08) + (name "~{SMEMR}" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 34.29 180) (length 5.08) + (name "~{IOW}" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 31.75 180) (length 5.08) + (name "~{IOR}" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 29.21 180) (length 5.08) + (name "~{DACK3}" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 26.67 180) (length 5.08) + (name "DRQ3" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 24.13 180) (length 5.08) + (name "~{DACK1}" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 21.59 180) (length 5.08) + (name "DRQ1" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 19.05 180) (length 5.08) + (name "~{REFRESH}" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 62.23 180) (length 5.08) + (name "RESET" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 16.51 180) (length 5.08) + (name "BCLK" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 13.97 180) (length 5.08) + (name "IRQ7" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 11.43 180) (length 5.08) + (name "IRQ6" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 8.89 180) (length 5.08) + (name "IRQ5" (effects (font (size 1.27 1.27)))) + (number "B23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 6.35 180) (length 5.08) + (name "IRQ4" (effects (font (size 1.27 1.27)))) + (number "B24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 3.81 180) (length 5.08) + (name "IRQ3" (effects (font (size 1.27 1.27)))) + (number "B25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 1.27 180) (length 5.08) + (name "~{DACK2}" (effects (font (size 1.27 1.27)))) + (number "B26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -1.27 180) (length 5.08) + (name "TC" (effects (font (size 1.27 1.27)))) + (number "B27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -3.81 180) (length 5.08) + (name "BALE" (effects (font (size 1.27 1.27)))) + (number "B28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -6.35 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 59.69 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -8.89 180) (length 5.08) + (name "OSC" (effects (font (size 1.27 1.27)))) + (number "B30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -11.43 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 57.15 180) (length 5.08) + (name "IRQ9" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 54.61 180) (length 5.08) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 52.07 180) (length 5.08) + (name "DRQ2" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 49.53 180) (length 5.08) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 46.99 180) (length 5.08) + (name "~{SRDY}" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 44.45 180) (length 5.08) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -20.32 -19.05 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -21.59 0) (length 5.08) + (name "~{SBHE}" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 -44.45 0) (length 5.08) + (name "~{MEMW}" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -46.99 0) (length 5.08) + (name "SD8" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -49.53 0) (length 5.08) + (name "SD9" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -52.07 0) (length 5.08) + (name "SD10" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -54.61 0) (length 5.08) + (name "SD11" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -57.15 0) (length 5.08) + (name "SD12" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -59.69 0) (length 5.08) + (name "SD13" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -62.23 0) (length 5.08) + (name "SD14" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -64.77 0) (length 5.08) + (name "SD15" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -24.13 0) (length 5.08) + (name "LA23" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -26.67 0) (length 5.08) + (name "LA22" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -29.21 0) (length 5.08) + (name "LA21" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -31.75 0) (length 5.08) + (name "LA20" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -34.29 0) (length 5.08) + (name "LA19" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -36.83 0) (length 5.08) + (name "LA18" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -39.37 0) (length 5.08) + (name "LA17" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 -41.91 0) (length 5.08) + (name "~{MEMR}" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -19.05 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -21.59 180) (length 5.08) + (name "~{MEMCS16}" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -44.45 180) (length 5.08) + (name "~{DACK5}" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -46.99 180) (length 5.08) + (name "DRQ5" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -49.53 180) (length 5.08) + (name "~{DACK6}" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -52.07 180) (length 5.08) + (name "DRQ6" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -54.61 180) (length 5.08) + (name "~{DACK7}" (effects (font (size 1.27 1.27)))) + (number "D14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -57.15 180) (length 5.08) + (name "DRQ7" (effects (font (size 1.27 1.27)))) + (number "D15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -59.69 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -62.23 180) (length 5.08) + (name "~{MASTER}" (effects (font (size 1.27 1.27)))) + (number "D17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -64.77 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -24.13 180) (length 5.08) + (name "~{IOCS16}" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -26.67 180) (length 5.08) + (name "IRQ10" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -29.21 180) (length 5.08) + (name "IRQ11" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -31.75 180) (length 5.08) + (name "IRQ12" (effects (font (size 1.27 1.27)))) + (number "D5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -34.29 180) (length 5.08) + (name "IRQ15" (effects (font (size 1.27 1.27)))) + (number "D6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -36.83 180) (length 5.08) + (name "IRQ14" (effects (font (size 1.27 1.27)))) + (number "D7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -39.37 180) (length 5.08) + (name "~{DACK0}" (effects (font (size 1.27 1.27)))) + (number "D8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -41.91 180) (length 5.08) + (name "DRQ0" (effects (font (size 1.27 1.27)))) + (number "D9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+12V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+12V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+12V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:-12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-12V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-12V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-12V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + ) + (symbol "power:-5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-5V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-5V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 153.67 110.49) (diameter 0) (color 0 0 0 0) + (uuid 2a10bea1-dbae-4b32-8195-6f025b60a98b) + ) + (junction (at 153.67 161.29) (diameter 0) (color 0 0 0 0) + (uuid 899f7066-8c64-48a2-8c09-f8c705920455) + ) + (junction (at 153.67 109.22) (diameter 0) (color 0 0 0 0) + (uuid 8f0ef81c-0d0c-46fe-a658-11edc9d397d8) + ) + (junction (at 113.03 111.76) (diameter 0) (color 0 0 0 0) + (uuid 9848703b-38cd-41d2-b87b-1cede2b6a834) + ) + + (bus_entry (at 168.91 144.78) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 05765dcb-97ee-4c54-a2a4-f9b6686173e5) + ) + (bus_entry (at 96.52 63.5) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 08cd9296-78a0-41a5-9fb4-05770149f695) + ) + (bus_entry (at 96.52 119.38) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0b3c3aec-af95-43cb-a174-365b66c32f82) + ) + (bus_entry (at 168.91 147.32) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0f56cd11-52d1-446f-846d-b7e9f8917105) + ) + (bus_entry (at 168.91 142.24) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1355174b-418d-4685-bd38-2f8bdb304ec8) + ) + (bus_entry (at 96.52 96.52) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 137c6401-bee7-4308-8284-d1e040f88e4e) + ) + (bus_entry (at 168.91 81.28) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 14341521-a651-4084-97ae-b4245de816b9) + ) + (bus_entry (at 96.52 48.26) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 16ad53cc-010a-408d-8741-39350b6e0c0e) + ) + (bus_entry (at 168.91 68.58) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1c286659-25fd-4a43-898e-ed7b899559c7) + ) + (bus_entry (at 96.52 142.24) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1fc48b22-e283-4450-b9b5-0edd4557f6ae) + ) + (bus_entry (at 168.91 63.5) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 220013fe-d3f0-4e2e-a8c0-a0d310dfb70c) + ) + (bus_entry (at 96.52 71.12) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 22f690e3-6219-49ea-90b7-c857c37ec8e7) + ) + (bus_entry (at 168.91 114.3) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 262af843-0eff-46e8-8f2d-11b5b60dd613) + ) + (bus_entry (at 96.52 53.34) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2d25e154-c44d-4c85-a06a-b8602940dadf) + ) + (bus_entry (at 168.91 124.46) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 30da5faf-3b26-44a3-b7bb-bc2ddb9b6df4) + ) + (bus_entry (at 96.52 73.66) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 317ae00c-32b2-4a61-9fdd-0586ee80426c) + ) + (bus_entry (at 168.91 119.38) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3417c555-5c68-4149-8f9d-33183ea4ea18) + ) + (bus_entry (at 168.91 78.74) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 37d9dab0-b818-45ab-af72-be4fdc190f09) + ) + (bus_entry (at 96.52 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 39d4c255-70ed-4430-b993-06ecc4be25da) + ) + (bus_entry (at 96.52 55.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 39f47d7f-9db1-4fdd-a6a3-ede636655e9d) + ) + (bus_entry (at 96.52 157.48) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3b15c9f2-3fe9-4fea-b042-817b449d503d) + ) + (bus_entry (at 168.91 96.52) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4046d51f-f301-4fcb-b77a-a7a779d8be23) + ) + (bus_entry (at 168.91 137.16) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 41cafb53-d092-4edd-9b7e-9abae4b91d87) + ) + (bus_entry (at 168.91 149.86) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4379d9f8-fcef-4dc5-8cd4-8fa71bfd47f9) + ) + (bus_entry (at 96.52 40.64) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 45133fa9-533e-4664-9c11-53d26471e47e) + ) + (bus_entry (at 168.91 30.48) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 45cc1fa9-edf0-4441-881f-fdfa29ed0eff) + ) + (bus_entry (at 96.52 33.02) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 465dc21e-9b68-4410-a64c-447281ff4e49) + ) + (bus_entry (at 168.91 60.96) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4f25cda1-7dd7-4ed8-a0ac-41791d0e6ba9) + ) + (bus_entry (at 96.52 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5305ea0a-4418-4e42-968b-907d5f8e3e5e) + ) + (bus_entry (at 96.52 99.06) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 536c27cf-5fd5-4f19-8f60-51b803b70ec8) + ) + (bus_entry (at 168.91 53.34) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 54304d80-d788-455b-90b6-fcfaa8c86d7f) + ) + (bus_entry (at 96.52 152.4) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 59e86732-71c2-47ed-8839-b45f10a0ef12) + ) + (bus_entry (at 96.52 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5b9a038b-31de-4fe2-b4a6-3f1f591832c1) + ) + (bus_entry (at 168.91 93.98) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 60513b1a-3a07-4bd9-997b-8b463cdd1832) + ) + (bus_entry (at 168.91 55.88) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 63e5982f-5be8-472b-a657-4f4ef08dd540) + ) + (bus_entry (at 168.91 91.44) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 659428e9-d327-47f1-9ea6-2e272df6a95d) + ) + (bus_entry (at 96.52 121.92) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6d123806-2c83-497d-9de3-a23459ffb4ad) + ) + (bus_entry (at 96.52 50.8) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6da2909c-da94-4ee7-acc1-f092b98986eb) + ) + (bus_entry (at 96.52 43.18) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6f7e751d-8f61-4070-b832-48265c87b633) + ) + (bus_entry (at 96.52 30.48) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 70287f0b-eaf6-4f39-bcf0-16a76f9833c2) + ) + (bus_entry (at 96.52 35.56) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7442e89e-f716-4707-9f3f-c074e003f344) + ) + (bus_entry (at 168.91 40.64) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7728a0bd-f989-4133-a516-ff2a65a53ef2) + ) + (bus_entry (at 96.52 139.7) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 778db45a-b1b7-4657-bdf8-878806cd8aa1) + ) + (bus_entry (at 168.91 154.94) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 79312d5d-261b-4372-a291-56e887422761) + ) + (bus_entry (at 96.52 137.16) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 79a50b6c-b5d5-4d25-947f-3ace5cee55df) + ) + (bus_entry (at 96.52 134.62) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7ed16ecf-9379-49ee-a103-d898b216477c) + ) + (bus_entry (at 96.52 147.32) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 84b51443-efa9-4dd5-ac6f-94b20dc37b70) + ) + (bus_entry (at 96.52 132.08) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 895b22b1-656a-4569-9361-18ecc4b82955) + ) + (bus_entry (at 96.52 154.94) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8ad08174-f325-47f2-b0de-7ff93eb3a8c2) + ) + (bus_entry (at 96.52 91.44) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8cc8ed9b-9b33-4a90-a928-f5fd2e6e2ede) + ) + (bus_entry (at 96.52 93.98) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 94df77a1-3678-4d62-b0a5-ee8c83ddde0e) + ) + (bus_entry (at 96.52 104.14) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 955c5388-dfa9-4d47-967b-6707b27408dd) + ) + (bus_entry (at 168.91 129.54) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 96e42fca-d9da-41f0-b15b-b37fc47616dc) + ) + (bus_entry (at 168.91 35.56) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9d9ffd27-30a0-418f-9411-4fef129c74c3) + ) + (bus_entry (at 168.91 88.9) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a75537b9-777b-4410-9e6b-5f0749d6c4ba) + ) + (bus_entry (at 96.52 149.86) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid aa156588-ab87-41ed-8375-d521e2d0dd22) + ) + (bus_entry (at 96.52 76.2) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid aa4e712e-2fa5-4d86-b9f2-ef3861eb4178) + ) + (bus_entry (at 96.52 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid aa9fbe58-a8d2-419e-a31f-c7ecb0ad4962) + ) + (bus_entry (at 168.91 101.6) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid aeb255f1-309c-4986-9f71-a779e8f12c26) + ) + (bus_entry (at 168.91 121.92) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b0629dfa-7abd-4ff4-ae2c-2682de60a4f6) + ) + (bus_entry (at 168.91 76.2) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b1ad0ea2-d9ca-4249-a72a-016079413599) + ) + (bus_entry (at 96.52 127) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b636b53f-9c2f-4e8a-877e-4e57a8eb09b1) + ) + (bus_entry (at 168.91 127) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b6a7f30c-0f96-453a-b607-fe76d8d046e7) + ) + (bus_entry (at 96.52 60.96) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c0dfb2b6-54ac-4515-ba2e-1ec765d1485d) + ) + (bus_entry (at 168.91 139.7) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c20fd3c4-c3c0-45cd-ba4c-dbb9b3692019) + ) + (bus_entry (at 96.52 124.46) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c2c33bfb-c076-45ef-8551-576485234eea) + ) + (bus_entry (at 96.52 144.78) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c72f3c57-e9f3-4e71-8681-f42e88e0d6a5) + ) + (bus_entry (at 168.91 73.66) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid cef52a29-5dd0-4ec7-92c3-80e8d7f16fc9) + ) + (bus_entry (at 168.91 86.36) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid d09bd680-9d5d-4273-aef3-8024b0be044c) + ) + (bus_entry (at 96.52 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d4f75ef4-1329-4966-a5d9-7840c20c81d4) + ) + (bus_entry (at 96.52 38.1) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d5bc37b4-002d-48cc-86ca-501646e722ef) + ) + (bus_entry (at 96.52 27.94) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d9ff5627-5631-4c41-871d-736628606264) + ) + (bus_entry (at 168.91 58.42) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid da711c75-b2d2-4bf4-9ba4-5b7dda0dec9d) + ) + (bus_entry (at 168.91 45.72) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e0171022-834a-46f1-84df-5e9ce9024a42) + ) + (bus_entry (at 168.91 71.12) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e463ca08-8226-4cd8-9f13-7f35d3dc3e45) + ) + (bus_entry (at 96.52 66.04) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e4c4773f-53fe-463a-98c8-e16d5c9756ae) + ) + (bus_entry (at 168.91 116.84) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e5799888-c22e-43d8-b280-046aca2c80c6) + ) + (bus_entry (at 96.52 101.6) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e98d15ad-eb0a-4ee3-8501-7212b2cd4256) + ) + (bus_entry (at 96.52 129.54) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid eb599439-e1e0-44df-a9c3-54fef9f8bd0a) + ) + (bus_entry (at 168.91 134.62) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid ec176b04-6257-43f3-ba5c-c3600a3a463d) + ) + (bus_entry (at 168.91 83.82) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid ee511933-4020-4bee-968c-9351ebc4143f) + ) + (bus_entry (at 96.52 45.72) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f0a8515b-a814-40ef-b7bc-d3115632a216) + ) + (bus_entry (at 168.91 132.08) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f4557fd1-d514-42ec-9470-9c38633e738b) + ) + (bus_entry (at 96.52 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f6861f7b-9cfb-4c88-b466-928f29356b1f) + ) + (bus_entry (at 168.91 66.04) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f8b1616a-c3ec-40d8-a663-54e05e33be31) + ) + (bus_entry (at 96.52 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f9dac4e5-6a57-4b5e-9009-b32332dc6e63) + ) + (bus_entry (at 96.52 68.58) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid fd2139bc-33a5-474f-95a7-dac67b6dfd54) + ) + (bus_entry (at 96.52 58.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid fe7bd4a4-707f-4d37-a7d9-7b8936521b5a) + ) + + (wire (pts (xy 153.67 68.58) (xy 166.37 68.58)) + (stroke (width 0) (type default)) + (uuid 002afdac-54c1-4b31-ab9c-df2cfc3e8ea5) + ) + (bus (pts (xy 96.52 88.9) (xy 96.52 91.44)) + (stroke (width 0) (type default)) + (uuid 004a5ef3-0e91-40e6-891c-6bf8ca3d323e) + ) + (bus (pts (xy 168.91 40.64) (xy 168.91 45.72)) + (stroke (width 0) (type default)) + (uuid 02283e09-3f74-4dc8-bda2-0711d408b02e) + ) + (bus (pts (xy 168.91 55.88) (xy 168.91 58.42)) + (stroke (width 0) (type default)) + (uuid 03422786-bcd0-4513-aee1-a023cdd8ff72) + ) + + (wire (pts (xy 99.06 40.64) (xy 113.03 40.64)) + (stroke (width 0) (type default)) + (uuid 03b47b3f-66f9-4834-ba5c-0b5e1ffe950a) + ) + (bus (pts (xy 88.9 26.67) (xy 96.52 26.67)) + (stroke (width 0) (type default)) + (uuid 044c2184-4866-4b4e-bd3e-8e317df7a1d9) + ) + (bus (pts (xy 96.52 154.94) (xy 96.52 157.48)) + (stroke (width 0) (type default)) + (uuid 0688b97c-5166-41ae-8907-00dde10a6631) + ) + + (wire (pts (xy 99.06 129.54) (xy 113.03 129.54)) + (stroke (width 0) (type default)) + (uuid 0c642014-1d2b-4464-a545-af1d4841c9a4) + ) + (wire (pts (xy 109.22 111.76) (xy 113.03 111.76)) + (stroke (width 0) (type default)) + (uuid 0ef5b792-37f7-46dc-ac82-bf166814147e) + ) + (wire (pts (xy 153.67 152.4) (xy 166.37 152.4)) + (stroke (width 0) (type default)) + (uuid 0f49f7f5-4e2a-4966-b76b-de9f121e19c8) + ) + (bus (pts (xy 96.52 121.92) (xy 96.52 124.46)) + (stroke (width 0) (type default)) + (uuid 0ff8602d-0c33-4b27-94ee-be77f56111e4) + ) + (bus (pts (xy 96.52 99.06) (xy 96.52 101.6)) + (stroke (width 0) (type default)) + (uuid 107c3741-c64e-42aa-9aed-7ad15a020512) + ) + + (wire (pts (xy 153.67 119.38) (xy 166.37 119.38)) + (stroke (width 0) (type default)) + (uuid 10e82301-c8b5-4f4b-9645-8522e1fa9579) + ) + (wire (pts (xy 99.06 144.78) (xy 113.03 144.78)) + (stroke (width 0) (type default)) + (uuid 11e24dda-ae91-4e5b-8160-5fda64bc34a4) + ) + (bus (pts (xy 168.91 116.84) (xy 168.91 119.38)) + (stroke (width 0) (type default)) + (uuid 126c0e1c-73eb-445d-923e-d4f6b6c7d88d) + ) + (bus (pts (xy 168.91 26.67) (xy 176.53 26.67)) + (stroke (width 0) (type default)) + (uuid 12f9e7fc-a04e-49c4-ab1c-c46aff34b231) + ) + + (wire (pts (xy 99.06 157.48) (xy 113.03 157.48)) + (stroke (width 0) (type default)) + (uuid 14899a50-442c-4983-8914-4fbf7c6796a3) + ) + (wire (pts (xy 153.67 78.74) (xy 166.37 78.74)) + (stroke (width 0) (type default)) + (uuid 179d7108-adaa-48ef-9796-58d6b450652f) + ) + (wire (pts (xy 153.67 93.98) (xy 166.37 93.98)) + (stroke (width 0) (type default)) + (uuid 18f7fa1c-e87f-4f93-a9e0-dc169a0fa0c0) + ) + (wire (pts (xy 99.06 68.58) (xy 113.03 68.58)) + (stroke (width 0) (type default)) + (uuid 19b2f11a-5ae0-4e80-9505-be5c4f102411) + ) + (bus (pts (xy 168.91 119.38) (xy 168.91 121.92)) + (stroke (width 0) (type default)) + (uuid 1b865167-2e3a-4ce9-998c-473178dcd8b0) + ) + + (wire (pts (xy 153.67 124.46) (xy 166.37 124.46)) + (stroke (width 0) (type default)) + (uuid 1c79245b-457f-498c-a757-bdb6528f0ae1) + ) + (bus (pts (xy 168.91 101.6) (xy 168.91 114.3)) + (stroke (width 0) (type default)) + (uuid 1c946ac1-2f27-4d36-a794-424ca3abd2ef) + ) + + (wire (pts (xy 99.06 147.32) (xy 113.03 147.32)) + (stroke (width 0) (type default)) + (uuid 1e419d6b-5cca-4029-8715-1d7163a18bc8) + ) + (bus (pts (xy 96.52 132.08) (xy 96.52 134.62)) + (stroke (width 0) (type default)) + (uuid 1f42d001-c635-47eb-b4a1-d183ccf0f8d2) + ) + + (wire (pts (xy 99.06 78.74) (xy 113.03 78.74)) + (stroke (width 0) (type default)) + (uuid 21b7e420-cf19-4f75-8511-9f00d87c38b8) + ) + (wire (pts (xy 99.06 134.62) (xy 113.03 134.62)) + (stroke (width 0) (type default)) + (uuid 22f6af14-2a73-4d4c-a39d-09ea7463e042) + ) + (bus (pts (xy 96.52 60.96) (xy 96.52 63.5)) + (stroke (width 0) (type default)) + (uuid 235e229a-8775-49ee-ab63-2e21d2a401af) + ) + + (wire (pts (xy 153.67 104.14) (xy 166.37 104.14)) + (stroke (width 0) (type default)) + (uuid 23ca2c0a-b2de-4350-97bd-93bc0f545fe3) + ) + (wire (pts (xy 99.06 38.1) (xy 113.03 38.1)) + (stroke (width 0) (type default)) + (uuid 2544a74e-98f0-49b9-8026-d360138f274b) + ) + (bus (pts (xy 96.52 101.6) (xy 96.52 104.14)) + (stroke (width 0) (type default)) + (uuid 25e56df0-1bef-495b-93e4-54b8d9364739) + ) + + (wire (pts (xy 99.06 33.02) (xy 113.03 33.02)) + (stroke (width 0) (type default)) + (uuid 27ca1429-56d3-4c8f-8efd-61721f7f0d86) + ) + (wire (pts (xy 153.67 45.72) (xy 158.75 45.72)) + (stroke (width 0) (type default)) + (uuid 27f74366-f714-4c20-9a84-9e53e3c343bb) + ) + (wire (pts (xy 153.67 33.02) (xy 166.37 33.02)) + (stroke (width 0) (type default)) + (uuid 2a4e1b7e-8807-4394-ab19-6ea247c8668c) + ) + (wire (pts (xy 153.67 83.82) (xy 166.37 83.82)) + (stroke (width 0) (type default)) + (uuid 2a670099-ac4e-462f-8865-e3b22da96c5d) + ) + (bus (pts (xy 96.52 68.58) (xy 96.52 71.12)) + (stroke (width 0) (type default)) + (uuid 2e044c77-435d-470d-98ea-c344f479c2b3) + ) + + (wire (pts (xy 99.06 132.08) (xy 113.03 132.08)) + (stroke (width 0) (type default)) + (uuid 2e72ae53-8458-40a0-805a-3202a78d32af) + ) + (wire (pts (xy 99.06 58.42) (xy 113.03 58.42)) + (stroke (width 0) (type default)) + (uuid 2f9d813a-3284-48c0-bc42-d9bf6b91ddd5) + ) + (bus (pts (xy 96.52 48.26) (xy 96.52 50.8)) + (stroke (width 0) (type default)) + (uuid 3092b3bf-d47a-4267-b74e-0a2954a990de) + ) + (bus (pts (xy 168.91 137.16) (xy 168.91 139.7)) + (stroke (width 0) (type default)) + (uuid 3489881d-b920-45b1-9a77-b402e8fa690a) + ) + (bus (pts (xy 168.91 60.96) (xy 168.91 63.5)) + (stroke (width 0) (type default)) + (uuid 36783f0a-d2f9-49f3-95d9-e13eb81a3327) + ) + (bus (pts (xy 168.91 127) (xy 168.91 129.54)) + (stroke (width 0) (type default)) + (uuid 3933b366-def4-45f9-a3e7-ee76a1c7173d) + ) + (bus (pts (xy 96.52 124.46) (xy 96.52 127)) + (stroke (width 0) (type default)) + (uuid 397546b2-5a7d-48d2-9b68-abda2ca1cee9) + ) + (bus (pts (xy 96.52 116.84) (xy 96.52 119.38)) + (stroke (width 0) (type default)) + (uuid 3a2e38e5-4a7d-4d80-8e3a-195b4a3a04aa) + ) + (bus (pts (xy 96.52 81.28) (xy 96.52 83.82)) + (stroke (width 0) (type default)) + (uuid 3bfc3148-5fab-4c0d-9768-8e87779aa6c6) + ) + + (wire (pts (xy 166.37 38.1) (xy 153.67 38.1)) + (stroke (width 0) (type default)) + (uuid 3c0b213b-0364-4c5e-8b7a-f9eb25b09698) + ) + (bus (pts (xy 96.52 91.44) (xy 96.52 93.98)) + (stroke (width 0) (type default)) + (uuid 3cf8a4ed-2e7b-4e2c-be70-ab264e2c0219) + ) + + (wire (pts (xy 153.67 48.26) (xy 166.37 48.26)) + (stroke (width 0) (type default)) + (uuid 3d549c51-903b-4b41-bfe1-16e7f68f9a1a) + ) + (bus (pts (xy 96.52 35.56) (xy 96.52 38.1)) + (stroke (width 0) (type default)) + (uuid 3e27c9d4-e4c1-49f8-9075-57dabe33c5c6) + ) + (bus (pts (xy 96.52 43.18) (xy 96.52 45.72)) + (stroke (width 0) (type default)) + (uuid 3edd3bd8-1e58-4daf-8bfe-c3d5e19448ec) + ) + + (wire (pts (xy 99.06 106.68) (xy 113.03 106.68)) + (stroke (width 0) (type default)) + (uuid 3fd96172-2d81-4b83-95d5-ec3e1e2dd5ad) + ) + (bus (pts (xy 168.91 73.66) (xy 168.91 76.2)) + (stroke (width 0) (type default)) + (uuid 40f19bbd-7f1f-4d27-9399-dbf7cc58aafb) + ) + + (wire (pts (xy 153.67 121.92) (xy 166.37 121.92)) + (stroke (width 0) (type default)) + (uuid 41b095c2-c8ef-480f-aba8-a424af027894) + ) + (bus (pts (xy 168.91 91.44) (xy 168.91 93.98)) + (stroke (width 0) (type default)) + (uuid 42d843ba-f173-473b-823f-7b58778c00d2) + ) + + (wire (pts (xy 99.06 53.34) (xy 113.03 53.34)) + (stroke (width 0) (type default)) + (uuid 44984f47-3ab2-4f13-b5a2-225251c508fa) + ) + (bus (pts (xy 96.52 53.34) (xy 96.52 55.88)) + (stroke (width 0) (type default)) + (uuid 45541d2f-f1f8-4778-8c41-fea1d667f2df) + ) + (bus (pts (xy 168.91 53.34) (xy 168.91 55.88)) + (stroke (width 0) (type default)) + (uuid 4a2fd245-fa0c-440b-baf9-1773729c1b37) + ) + + (wire (pts (xy 99.06 45.72) (xy 113.03 45.72)) + (stroke (width 0) (type default)) + (uuid 4b26f6ca-bc59-42f9-aa34-c94624d8fee5) + ) + (bus (pts (xy 96.52 142.24) (xy 96.52 144.78)) + (stroke (width 0) (type default)) + (uuid 4b424293-5b5d-43e9-a33e-621692dd945d) + ) + (bus (pts (xy 96.52 58.42) (xy 96.52 60.96)) + (stroke (width 0) (type default)) + (uuid 4c7c8ef3-8331-46e5-aca3-858239004d62) + ) + + (wire (pts (xy 153.67 60.96) (xy 166.37 60.96)) + (stroke (width 0) (type default)) + (uuid 4d4fb18d-a184-4f36-a3b1-87e177f19c14) + ) + (wire (pts (xy 99.06 137.16) (xy 113.03 137.16)) + (stroke (width 0) (type default)) + (uuid 4df53df2-28a4-485c-bac1-80391ab6d836) + ) + (wire (pts (xy 153.67 99.06) (xy 166.37 99.06)) + (stroke (width 0) (type default)) + (uuid 525dc655-b7bb-4c49-9f56-fc65fd7aac33) + ) + (wire (pts (xy 153.67 134.62) (xy 166.37 134.62)) + (stroke (width 0) (type default)) + (uuid 52c11ab3-e803-4763-9574-576f91f4b662) + ) + (bus (pts (xy 168.91 30.48) (xy 168.91 35.56)) + (stroke (width 0) (type default)) + (uuid 54577502-de59-4510-b614-cf5e0e4deadc) + ) + (bus (pts (xy 96.52 152.4) (xy 96.52 154.94)) + (stroke (width 0) (type default)) + (uuid 56d281ab-cc42-4d77-80a0-5c1bc29415e7) + ) + (bus (pts (xy 168.91 124.46) (xy 168.91 127)) + (stroke (width 0) (type default)) + (uuid 57534d2f-7590-4336-ab4a-6b2d76b71221) + ) + (bus (pts (xy 168.91 86.36) (xy 168.91 88.9)) + (stroke (width 0) (type default)) + (uuid 58292693-3dfb-4158-ba5f-8104e0caa4ce) + ) + + (wire (pts (xy 153.67 110.49) (xy 156.21 110.49)) + (stroke (width 0) (type default)) + (uuid 584416a0-1b07-44e1-a3e7-9dd5300e9d75) + ) + (bus (pts (xy 96.52 127) (xy 96.52 129.54)) + (stroke (width 0) (type default)) + (uuid 58acdd67-65eb-4b8b-bcff-23ddbefd17ec) + ) + (bus (pts (xy 168.91 144.78) (xy 168.91 147.32)) + (stroke (width 0) (type default)) + (uuid 59b209a9-88ee-4dd2-a445-6417f5462c55) + ) + (bus (pts (xy 96.52 96.52) (xy 96.52 99.06)) + (stroke (width 0) (type default)) + (uuid 5ac5e60e-b599-4185-93b8-0e8fc40828e5) + ) + (bus (pts (xy 168.91 139.7) (xy 168.91 142.24)) + (stroke (width 0) (type default)) + (uuid 5b46785a-6969-4f68-8c9d-0b2cdc131bfe) + ) + (bus (pts (xy 96.52 139.7) (xy 96.52 142.24)) + (stroke (width 0) (type default)) + (uuid 5d387abd-5910-4ea7-930f-cc1d2d7f9b36) + ) + + (wire (pts (xy 99.06 160.02) (xy 113.03 160.02)) + (stroke (width 0) (type default)) + (uuid 5f22118b-eb23-4811-bcf8-02f03c3822c5) + ) + (wire (pts (xy 99.06 63.5) (xy 113.03 63.5)) + (stroke (width 0) (type default)) + (uuid 60a07c7e-664f-4bae-91ce-e729ffed1c47) + ) + (wire (pts (xy 153.67 96.52) (xy 166.37 96.52)) + (stroke (width 0) (type default)) + (uuid 60fab148-72fb-4523-a8ba-6f948dcb0091) + ) + (wire (pts (xy 99.06 43.18) (xy 113.03 43.18)) + (stroke (width 0) (type default)) + (uuid 6215e4e3-d1c2-46d4-a0e2-c39f6dfe2ccd) + ) + (wire (pts (xy 99.06 142.24) (xy 113.03 142.24)) + (stroke (width 0) (type default)) + (uuid 63d86eb2-d5ad-4653-b758-d43bbe1a3717) + ) + (bus (pts (xy 96.52 144.78) (xy 96.52 147.32)) + (stroke (width 0) (type default)) + (uuid 641341d0-5c48-4d54-8e36-b0744dbafff9) + ) + + (wire (pts (xy 153.67 101.6) (xy 157.48 101.6)) + (stroke (width 0) (type default)) + (uuid 6509277f-5174-4d10-8b20-05e22d8472c1) + ) + (bus (pts (xy 168.91 78.74) (xy 168.91 81.28)) + (stroke (width 0) (type default)) + (uuid 664a8938-16ac-47cf-a47c-97f4c3966782) + ) + (bus (pts (xy 168.91 45.72) (xy 168.91 53.34)) + (stroke (width 0) (type default)) + (uuid 66deeabe-8d7b-4b21-94e1-7f8f4e512bd6) + ) + + (wire (pts (xy 99.06 73.66) (xy 113.03 73.66)) + (stroke (width 0) (type default)) + (uuid 67d47104-0188-47fd-bd78-68811e81481e) + ) + (bus (pts (xy 168.91 142.24) (xy 168.91 144.78)) + (stroke (width 0) (type default)) + (uuid 68e116fa-324b-4d9c-98e6-81c1df52797c) + ) + (bus (pts (xy 96.52 93.98) (xy 96.52 96.52)) + (stroke (width 0) (type default)) + (uuid 69c3cadf-230c-42c8-8264-63fcfe40cc37) + ) + (bus (pts (xy 96.52 27.94) (xy 96.52 30.48)) + (stroke (width 0) (type default)) + (uuid 6b97ee64-9574-42a0-a9a4-6efd025c3c9b) + ) + + (wire (pts (xy 153.67 144.78) (xy 166.37 144.78)) + (stroke (width 0) (type default)) + (uuid 6d2abc50-924d-49bb-8954-f19f57a02efc) + ) + (wire (pts (xy 153.67 129.54) (xy 166.37 129.54)) + (stroke (width 0) (type default)) + (uuid 6efd942b-0c67-4a03-8615-1dd2bcd65ec8) + ) + (bus (pts (xy 96.52 38.1) (xy 96.52 40.64)) + (stroke (width 0) (type default)) + (uuid 6f8adab2-0775-4626-aeba-ba962e151819) + ) + + (wire (pts (xy 99.06 93.98) (xy 113.03 93.98)) + (stroke (width 0) (type default)) + (uuid 70d704a1-c0a1-4955-8c14-a89c708ee8c3) + ) + (wire (pts (xy 153.67 161.29) (xy 156.21 161.29)) + (stroke (width 0) (type default)) + (uuid 76b89aea-317d-41f1-b332-3f1b2d99e1cd) + ) + (wire (pts (xy 153.67 139.7) (xy 166.37 139.7)) + (stroke (width 0) (type default)) + (uuid 7957b591-4baf-4860-bc6a-5800db3b35c9) + ) + (bus (pts (xy 96.52 66.04) (xy 96.52 68.58)) + (stroke (width 0) (type default)) + (uuid 7a252903-3e78-4b31-bb2b-709da9ef6ff2) + ) + + (wire (pts (xy 153.67 137.16) (xy 166.37 137.16)) + (stroke (width 0) (type default)) + (uuid 7a3a6356-9d72-48b8-a4fc-688b68afa760) + ) + (bus (pts (xy 96.52 73.66) (xy 96.52 76.2)) + (stroke (width 0) (type default)) + (uuid 7b4d9692-9388-4b60-b0c8-dbf2d7a9799d) + ) + (bus (pts (xy 168.91 129.54) (xy 168.91 132.08)) + (stroke (width 0) (type default)) + (uuid 7b97a671-2b9a-42d0-b000-2096d9817d72) + ) + + (wire (pts (xy 153.67 149.86) (xy 166.37 149.86)) + (stroke (width 0) (type default)) + (uuid 7c2c20ed-3b0b-4ded-b784-53cb6fd494f1) + ) + (wire (pts (xy 153.67 50.8) (xy 158.75 50.8)) + (stroke (width 0) (type default)) + (uuid 7cbff2bb-88b4-40a0-90c6-f5e299bc93f2) + ) + (bus (pts (xy 96.52 40.64) (xy 96.52 43.18)) + (stroke (width 0) (type default)) + (uuid 7cc76b09-8263-40c7-87f9-a142899ae329) + ) + + (wire (pts (xy 153.67 110.49) (xy 153.67 114.3)) + (stroke (width 0) (type default)) + (uuid 7f8aa0ea-98ad-44e3-9b67-7bee0d50fc31) + ) + (wire (pts (xy 113.03 111.76) (xy 113.03 109.22)) + (stroke (width 0) (type default)) + (uuid 80f338c9-5a98-4576-b7d7-281d31caa1ba) + ) + (wire (pts (xy 153.67 63.5) (xy 166.37 63.5)) + (stroke (width 0) (type default)) + (uuid 81264dbd-ba17-4016-8fb0-07e73bca54c0) + ) + (wire (pts (xy 99.06 101.6) (xy 113.03 101.6)) + (stroke (width 0) (type default)) + (uuid 83fa7e3a-d1aa-44a7-9151-071062186c7f) + ) + (bus (pts (xy 96.52 149.86) (xy 96.52 152.4)) + (stroke (width 0) (type default)) + (uuid 842c61ce-b11e-48a2-a847-780e161f9b5e) + ) + + (wire (pts (xy 99.06 99.06) (xy 113.03 99.06)) + (stroke (width 0) (type default)) + (uuid 85abe725-a418-4433-8501-49439674aaa9) + ) + (wire (pts (xy 153.67 35.56) (xy 158.75 35.56)) + (stroke (width 0) (type default)) + (uuid 87a9de7a-87bd-45a1-b27b-1ee7c8aff1a8) + ) + (wire (pts (xy 153.67 147.32) (xy 166.37 147.32)) + (stroke (width 0) (type default)) + (uuid 89acd98c-fd31-4a25-865e-052dbed70c88) + ) + (wire (pts (xy 113.03 111.76) (xy 113.03 114.3)) + (stroke (width 0) (type default)) + (uuid 8a7c2239-bc16-430b-9700-9530544cb116) + ) + (bus (pts (xy 96.52 45.72) (xy 96.52 48.26)) + (stroke (width 0) (type default)) + (uuid 8b2a4563-4698-4df9-a5e2-cf49aad75676) + ) + (bus (pts (xy 96.52 50.8) (xy 96.52 53.34)) + (stroke (width 0) (type default)) + (uuid 8bf5fa19-71a4-4fc7-aa48-be96a246af5a) + ) + + (wire (pts (xy 99.06 152.4) (xy 113.03 152.4)) + (stroke (width 0) (type default)) + (uuid 947c24be-123b-4305-9e94-a8c400d41ef7) + ) + (bus (pts (xy 168.91 63.5) (xy 168.91 66.04)) + (stroke (width 0) (type default)) + (uuid 95df5a5f-20b3-4ad3-b1c8-54f39caa5fbb) + ) + (bus (pts (xy 96.52 114.3) (xy 96.52 116.84)) + (stroke (width 0) (type default)) + (uuid 968b453e-ba80-465f-9704-f92aa05ab87d) + ) + + (wire (pts (xy 99.06 124.46) (xy 113.03 124.46)) + (stroke (width 0) (type default)) + (uuid 96ebb68b-5b20-4441-9939-b24c46ee0eb7) + ) + (bus (pts (xy 96.52 78.74) (xy 96.52 81.28)) + (stroke (width 0) (type default)) + (uuid 97b827e1-a157-4ab1-84ef-b41e7f6355eb) + ) + + (wire (pts (xy 153.67 58.42) (xy 166.37 58.42)) + (stroke (width 0) (type default)) + (uuid 97d853b8-8e55-41c6-a834-563b12051c97) + ) + (wire (pts (xy 153.67 81.28) (xy 166.37 81.28)) + (stroke (width 0) (type default)) + (uuid 99e867ed-7a51-412b-886e-ac03d6e2cf3f) + ) + (wire (pts (xy 99.06 96.52) (xy 113.03 96.52)) + (stroke (width 0) (type default)) + (uuid 9bc335e2-cae9-4126-ab16-bcc20a5ff1ee) + ) + (wire (pts (xy 99.06 121.92) (xy 113.03 121.92)) + (stroke (width 0) (type default)) + (uuid 9c20c22a-3bc2-4e62-9f60-c7fb62b9af43) + ) + (bus (pts (xy 168.91 66.04) (xy 168.91 68.58)) + (stroke (width 0) (type default)) + (uuid 9c2de5aa-5634-49f4-836b-26f2c1cd53af) + ) + + (wire (pts (xy 153.67 106.68) (xy 153.67 109.22)) + (stroke (width 0) (type default)) + (uuid 9e083088-5dd2-4886-88e0-10e34ed6f98b) + ) + (wire (pts (xy 153.67 86.36) (xy 166.37 86.36)) + (stroke (width 0) (type default)) + (uuid 9fbc6b4e-605c-46e8-87b8-33ced201c45f) + ) + (wire (pts (xy 153.67 161.29) (xy 153.67 162.56)) + (stroke (width 0) (type default)) + (uuid a0288a2a-f07b-49f9-a080-c50b7c4f2ddf) + ) + (wire (pts (xy 99.06 154.94) (xy 113.03 154.94)) + (stroke (width 0) (type default)) + (uuid a040e385-47bc-4bbf-a8f6-84d89b9030f0) + ) + (bus (pts (xy 168.91 88.9) (xy 168.91 91.44)) + (stroke (width 0) (type default)) + (uuid a1efa51d-992e-4f79-add5-f40916e08d6d) + ) + + (wire (pts (xy 99.06 116.84) (xy 113.03 116.84)) + (stroke (width 0) (type default)) + (uuid a332c560-8eca-4d06-ba2d-e8dabd806de8) + ) + (bus (pts (xy 96.52 119.38) (xy 96.52 121.92)) + (stroke (width 0) (type default)) + (uuid a4e0a094-c7c8-42d6-9c7f-9597006b9e20) + ) + + (wire (pts (xy 153.67 127) (xy 166.37 127)) + (stroke (width 0) (type default)) + (uuid a6a0ee69-5a70-42d2-b02e-9f2d92b60bfb) + ) + (bus (pts (xy 168.91 149.86) (xy 168.91 154.94)) + (stroke (width 0) (type default)) + (uuid a8524a9e-1d44-46e0-8cbc-14e4eef1da0d) + ) + + (wire (pts (xy 99.06 139.7) (xy 113.03 139.7)) + (stroke (width 0) (type default)) + (uuid a8d20603-be68-42d5-af99-ae8be30c06da) + ) + (bus (pts (xy 168.91 93.98) (xy 168.91 96.52)) + (stroke (width 0) (type default)) + (uuid a9e4d345-5f37-49f9-a9e2-d56eac8dce37) + ) + + (wire (pts (xy 99.06 91.44) (xy 113.03 91.44)) + (stroke (width 0) (type default)) + (uuid b0b6bfd2-68b8-4b3d-b747-6befee2a682d) + ) + (wire (pts (xy 153.67 71.12) (xy 166.37 71.12)) + (stroke (width 0) (type default)) + (uuid b0c8b721-2573-4ecb-9513-0c04733eeffa) + ) + (wire (pts (xy 153.67 88.9) (xy 166.37 88.9)) + (stroke (width 0) (type default)) + (uuid b0e2263c-078a-46c0-9561-c96a45c1e43c) + ) + (wire (pts (xy 99.06 149.86) (xy 113.03 149.86)) + (stroke (width 0) (type default)) + (uuid b142e9ce-bf8c-4774-8578-8ee71e1d0cb7) + ) + (bus (pts (xy 168.91 58.42) (xy 168.91 60.96)) + (stroke (width 0) (type default)) + (uuid b3cc299c-541c-4e71-ae64-56ff9719fdc4) + ) + (bus (pts (xy 96.52 71.12) (xy 96.52 73.66)) + (stroke (width 0) (type default)) + (uuid b3ffd0f8-45a0-424c-81a4-6e0ac33a25a5) + ) + (bus (pts (xy 168.91 81.28) (xy 168.91 83.82)) + (stroke (width 0) (type default)) + (uuid b6e947e1-b7e9-4fd6-a878-3139901d126c) + ) + (bus (pts (xy 168.91 71.12) (xy 168.91 73.66)) + (stroke (width 0) (type default)) + (uuid b882dcb7-e9bf-49d9-8ab6-9850fc9d2f36) + ) + + (wire (pts (xy 153.67 40.64) (xy 158.75 40.64)) + (stroke (width 0) (type default)) + (uuid bb23515d-4300-4e0a-b30a-f54c31a717cc) + ) + (wire (pts (xy 153.67 110.49) (xy 153.67 109.22)) + (stroke (width 0) (type default)) + (uuid bbb453c9-8700-4af9-b6e3-ec480ac7f708) + ) + (bus (pts (xy 96.52 30.48) (xy 96.52 33.02)) + (stroke (width 0) (type default)) + (uuid bc45996b-362f-4f92-93fe-72383ed6ef34) + ) + (bus (pts (xy 96.52 137.16) (xy 96.52 139.7)) + (stroke (width 0) (type default)) + (uuid c08293bf-5994-42fa-b4fb-72f0991d77df) + ) + + (wire (pts (xy 153.67 66.04) (xy 166.37 66.04)) + (stroke (width 0) (type default)) + (uuid c132721e-9e2f-44f3-9f46-4410f6b93eb5) + ) + (wire (pts (xy 99.06 83.82) (xy 113.03 83.82)) + (stroke (width 0) (type default)) + (uuid c149e8cf-f794-4f9d-9383-3633b2f747c3) + ) + (wire (pts (xy 99.06 55.88) (xy 113.03 55.88)) + (stroke (width 0) (type default)) + (uuid c15299ca-0d94-4008-9199-bb576627dec8) + ) + (wire (pts (xy 99.06 30.48) (xy 113.03 30.48)) + (stroke (width 0) (type default)) + (uuid c20a93ad-d046-4e82-b16b-d1b1f5eb1daf) + ) + (wire (pts (xy 153.67 76.2) (xy 166.37 76.2)) + (stroke (width 0) (type default)) + (uuid c63cedce-9571-4a8b-b0f2-7d4a9d7de969) + ) + (wire (pts (xy 99.06 104.14) (xy 113.03 104.14)) + (stroke (width 0) (type default)) + (uuid c6f99be5-a0d8-4a7a-953a-f3a767ac16f8) + ) + (wire (pts (xy 99.06 35.56) (xy 113.03 35.56)) + (stroke (width 0) (type default)) + (uuid c82f1b85-666e-4503-9575-a1b6129ccbee) + ) + (bus (pts (xy 96.52 104.14) (xy 96.52 114.3)) + (stroke (width 0) (type default)) + (uuid c8601270-ada7-4e3f-84c8-25152c850121) + ) + + (wire (pts (xy 99.06 119.38) (xy 113.03 119.38)) + (stroke (width 0) (type default)) + (uuid c8795253-f231-4598-a4bd-522cc4591214) + ) + (wire (pts (xy 153.67 55.88) (xy 166.37 55.88)) + (stroke (width 0) (type default)) + (uuid cae875ad-4026-4886-93c3-caf42a4cce03) + ) + (bus (pts (xy 96.52 83.82) (xy 96.52 86.36)) + (stroke (width 0) (type default)) + (uuid cb376613-b87b-450f-9e24-2047c921dd48) + ) + + (wire (pts (xy 153.67 91.44) (xy 166.37 91.44)) + (stroke (width 0) (type default)) + (uuid cb52ce01-4b36-4694-b5cc-9ce4b21345f2) + ) + (wire (pts (xy 99.06 81.28) (xy 113.03 81.28)) + (stroke (width 0) (type default)) + (uuid cc8f088b-abc0-41bc-b157-14ee3b483c32) + ) + (wire (pts (xy 153.67 160.02) (xy 153.67 161.29)) + (stroke (width 0) (type default)) + (uuid cedb0fc3-ecf7-43ca-a45c-275060c26503) + ) + (bus (pts (xy 96.52 134.62) (xy 96.52 137.16)) + (stroke (width 0) (type default)) + (uuid d1346c72-8d37-4077-afe8-3eaca2afca11) + ) + + (wire (pts (xy 153.67 43.18) (xy 166.37 43.18)) + (stroke (width 0) (type default)) + (uuid d15807fc-42e4-449c-8245-57e9104d818e) + ) + (bus (pts (xy 168.91 114.3) (xy 168.91 116.84)) + (stroke (width 0) (type default)) + (uuid d1ca810e-c533-405c-af8e-d26abec81d7a) + ) + (bus (pts (xy 168.91 83.82) (xy 168.91 86.36)) + (stroke (width 0) (type default)) + (uuid d245ae0d-0f33-4427-80c8-4114a222f66f) + ) + (bus (pts (xy 96.52 147.32) (xy 96.52 149.86)) + (stroke (width 0) (type default)) + (uuid d35d3253-eb42-4636-8f63-ed984e3af12a) + ) + (bus (pts (xy 168.91 121.92) (xy 168.91 124.46)) + (stroke (width 0) (type default)) + (uuid d3ade87c-e337-49a4-a428-ad268b32a99a) + ) + (bus (pts (xy 168.91 35.56) (xy 168.91 40.64)) + (stroke (width 0) (type default)) + (uuid d4d9c7a4-7280-4390-8d4a-d863c0c9a1c0) + ) + (bus (pts (xy 168.91 132.08) (xy 168.91 134.62)) + (stroke (width 0) (type default)) + (uuid d541c61e-1fa6-49a2-a49b-7013c6e67a61) + ) + (bus (pts (xy 96.52 26.67) (xy 96.52 27.94)) + (stroke (width 0) (type default)) + (uuid d54a8e1c-5cd5-4035-be5e-9abcc3f31333) + ) + (bus (pts (xy 96.52 86.36) (xy 96.52 88.9)) + (stroke (width 0) (type default)) + (uuid da089d3b-9084-42e1-a206-8e89bdd2795a) + ) + + (wire (pts (xy 99.06 86.36) (xy 113.03 86.36)) + (stroke (width 0) (type default)) + (uuid db1f82c3-1420-4b35-85a3-393b64047d4f) + ) + (bus (pts (xy 168.91 134.62) (xy 168.91 137.16)) + (stroke (width 0) (type default)) + (uuid db681550-29fd-44c5-ac73-5d52362593cf) + ) + (bus (pts (xy 96.52 63.5) (xy 96.52 66.04)) + (stroke (width 0) (type default)) + (uuid dcf5ed81-d599-40f3-86b6-b061d4baa755) + ) + (bus (pts (xy 96.52 129.54) (xy 96.52 132.08)) + (stroke (width 0) (type default)) + (uuid def2480a-6c10-4d21-8700-46e8cf3e4631) + ) + + (wire (pts (xy 99.06 60.96) (xy 113.03 60.96)) + (stroke (width 0) (type default)) + (uuid e14e210f-6e60-4502-8808-993d2dc1f868) + ) + (bus (pts (xy 168.91 68.58) (xy 168.91 71.12)) + (stroke (width 0) (type default)) + (uuid e16b268e-0d53-40ab-b1df-adf1188453f7) + ) + (bus (pts (xy 96.52 33.02) (xy 96.52 35.56)) + (stroke (width 0) (type default)) + (uuid e271a8e4-642e-4027-8ea3-d6c070276e00) + ) + + (wire (pts (xy 99.06 88.9) (xy 113.03 88.9)) + (stroke (width 0) (type default)) + (uuid e2fe4518-f696-412e-a2a6-7d872d2d8471) + ) + (wire (pts (xy 153.67 142.24) (xy 166.37 142.24)) + (stroke (width 0) (type default)) + (uuid e3e7a165-312a-4f12-be23-30de9a48cd03) + ) + (bus (pts (xy 96.52 55.88) (xy 96.52 58.42)) + (stroke (width 0) (type default)) + (uuid eb8026be-cc23-4b06-8027-6dd69e7df9b8) + ) + (bus (pts (xy 168.91 76.2) (xy 168.91 78.74)) + (stroke (width 0) (type default)) + (uuid ebf78188-4262-43d6-8d32-b51517f8d59b) + ) + + (wire (pts (xy 153.67 154.94) (xy 161.29 154.94)) + (stroke (width 0) (type default)) + (uuid edd7cc52-a8ea-4f24-9f71-aedff8563a80) + ) + (bus (pts (xy 96.52 76.2) (xy 96.52 78.74)) + (stroke (width 0) (type default)) + (uuid f0360dbb-34ad-4377-a1da-5fa08425fc04) + ) + (bus (pts (xy 168.91 147.32) (xy 168.91 149.86)) + (stroke (width 0) (type default)) + (uuid f12525ee-f582-4346-9be1-0469d212a404) + ) + + (wire (pts (xy 99.06 71.12) (xy 113.03 71.12)) + (stroke (width 0) (type default)) + (uuid f16bcd97-8f0d-4ff0-be91-e65edc78a24c) + ) + (wire (pts (xy 153.67 132.08) (xy 166.37 132.08)) + (stroke (width 0) (type default)) + (uuid f1e47987-28d9-4810-b7a5-c655230da712) + ) + (wire (pts (xy 153.67 116.84) (xy 166.37 116.84)) + (stroke (width 0) (type default)) + (uuid f22bce9a-8904-46a9-8158-4be07f350460) + ) + (wire (pts (xy 99.06 50.8) (xy 113.03 50.8)) + (stroke (width 0) (type default)) + (uuid f35e6128-8ec3-433c-868a-b96282f5391e) + ) + (wire (pts (xy 153.67 157.48) (xy 166.37 157.48)) + (stroke (width 0) (type default)) + (uuid f6959016-abb6-4e62-9aee-f7d7842de204) + ) + (wire (pts (xy 99.06 48.26) (xy 113.03 48.26)) + (stroke (width 0) (type default)) + (uuid f74a6df1-417f-4bfb-9cef-a5b828005b0a) + ) + (wire (pts (xy 153.67 30.48) (xy 158.75 30.48)) + (stroke (width 0) (type default)) + (uuid f85ef28f-1027-4619-b9d1-772d508d2f57) + ) + (wire (pts (xy 99.06 76.2) (xy 113.03 76.2)) + (stroke (width 0) (type default)) + (uuid f933eb9b-7f2a-4134-93c9-0ce5cb8aae51) + ) + (wire (pts (xy 153.67 73.66) (xy 166.37 73.66)) + (stroke (width 0) (type default)) + (uuid fb3f2d91-c101-417d-a77d-f1532ed9b304) + ) + (bus (pts (xy 168.91 26.67) (xy 168.91 30.48)) + (stroke (width 0) (type default)) + (uuid fd51b858-30bc-4188-a8b7-e744e271fc54) + ) + (bus (pts (xy 168.91 96.52) (xy 168.91 101.6)) + (stroke (width 0) (type default)) + (uuid fd8b6627-180a-4e9f-bf21-2955e14bcc09) + ) + + (wire (pts (xy 99.06 127) (xy 113.03 127)) + (stroke (width 0) (type default)) + (uuid fdce87ba-6ba2-4928-a162-18af6f7a77c5) + ) + (wire (pts (xy 99.06 66.04) (xy 113.03 66.04)) + (stroke (width 0) (type default)) + (uuid fff20556-eb2c-497e-b933-3760818ce476) + ) + + (label "DRQ1" (at 153.67 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0337c15d-a3fb-4b99-806a-6b3c5c9eaa20) + ) + (label "DRQ5" (at 153.67 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 046e2175-1d39-4a90-afb6-64ac8acc658a) + ) + (label "SA2" (at 113.03 101.6 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 04775aef-42de-49e2-8a7d-f517f15fb35c) + ) + (label "DRQ0" (at 153.67 137.16 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0ca50f16-6442-4e3d-9bd5-16f4d798e3ac) + ) + (label "IRQ6" (at 153.67 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0ffff17f-7d51-4966-82ac-d1989cbe5b17) + ) + (label "IRQ3" (at 153.67 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 11cc4eff-2b2b-4b01-83d2-a0fa92e83758) + ) + (label "~{DACK7}" (at 153.67 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 12cd4a41-d812-4cec-8ba8-adcdc0bb928a) + ) + (label "~{SMEMR}" (at 153.67 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 14da903b-b431-49ba-bbf9-897cdbae665d) + ) + (label "SD4" (at 113.03 40.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 162a35f6-c6eb-48e7-8524-081bb71e9996) + ) + (label "IRQ10" (at 153.67 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1a6c938d-0cc1-4898-a127-663ce2b353fa) + ) + (label "LA17" (at 113.03 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1b443bea-e15b-4ad2-b518-6ccf974ad383) + ) + (label "LA20" (at 113.03 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1f8ca7a4-ffbd-4b5f-9b3c-3540df8c9ef4) + ) + (label "IRQ9" (at 153.67 38.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 267becf1-3b7d-461d-b75e-2f41c21fe5b4) + ) + (label "DRQ6" (at 153.67 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 26a17a9a-4f74-4e01-9f13-9c2299a03e79) + ) + (label "LA18" (at 113.03 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2715faf5-e7b1-45f7-8236-7a1f8398c636) + ) + (label "SA10" (at 113.03 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 27c8bb31-c96f-47b0-977d-56d9d0faa0e5) + ) + (label "~{DACK2}" (at 153.67 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2bfbc30b-18c2-4eea-bafa-94cd2e96c8c7) + ) + (label "~{SMEMW}" (at 153.67 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2e7a4c5f-0999-4599-9e3f-efa4dc98d3d6) + ) + (label "IRQ4" (at 153.67 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 34430e18-c3cf-4e9c-86ce-dd2657de9a1d) + ) + (label "SD2" (at 113.03 45.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 347ae7a7-b9a8-4dc3-bb4b-9ccfec122250) + ) + (label "SA0" (at 113.03 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3adc00cc-a064-45f5-92f4-af57377622a2) + ) + (label "IRQ14" (at 153.67 132.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3e42a463-70f2-4bd3-ac76-d06c2cc9c11e) + ) + (label "SA5" (at 113.03 93.98 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4038c0bd-2de9-4ceb-b22a-93ca309a4533) + ) + (label "SA9" (at 113.03 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4074e816-f004-4796-8ba7-4c087d407d87) + ) + (label "SA19" (at 113.03 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 444e0c24-bf30-4549-a118-7efdc73f6932) + ) + (label "~{DACK3}" (at 153.67 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 448914f8-a492-447e-93fa-3e212368b892) + ) + (label "SD14" (at 113.03 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 467e50fe-ebfe-458b-92f5-85bb3ecd7ee5) + ) + (label "~{IOC16}" (at 153.67 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4a331610-0477-4b8d-83ab-09b8a8036be0) + ) + (label "IOCHRDY" (at 113.03 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4e9baeed-6479-40be-8679-d29db751c9f1) + ) + (label "SA17" (at 113.03 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5368e4e7-6aaa-4ff8-979e-1f009fb57cc1) + ) + (label "SA16" (at 113.03 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 551f6154-56c3-4a0a-96d1-76881dfec268) + ) + (label "LA22" (at 113.03 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5f271742-7359-48bb-a1c0-5dd9af8c20c9) + ) + (label "SD11" (at 113.03 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 63c6d40d-cca3-401e-a672-c17a732b9bec) + ) + (label "SA13" (at 113.03 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 63dce771-c927-42db-b064-54295c5c580c) + ) + (label "~{IOW}" (at 153.67 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 64816cb4-a51f-4296-9939-ebc56817c277) + ) + (label "SD1" (at 113.03 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 66e092ce-26f4-45dc-9c57-7af5f5ed52e8) + ) + (label "SA6" (at 113.03 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 69366bd0-5a43-4264-ad7e-ba11d119b08a) + ) + (label "LA23" (at 113.03 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 693bc6d0-b158-4ce8-868d-8903162c0d0d) + ) + (label "~{MEMW}" (at 113.03 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6a3759ac-96ef-4c67-a094-7e659bd7760e) + ) + (label "SD7" (at 113.03 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6b9b6eaf-2238-4e52-9850-31bdaf1730f6) + ) + (label "IRQ15" (at 153.67 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6c74ad26-e5da-4c8a-aaea-f31bc99a7391) + ) + (label "SD6" (at 113.03 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 787d1190-a4de-4615-86bc-f6e04532a45c) + ) + (label "LA21" (at 113.03 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 78cd1371-f0c0-486f-9113-38bbfdcaecbe) + ) + (label "SA12" (at 113.03 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7baf17ae-38ab-40aa-96fc-70b339d3610a) + ) + (label "SA14" (at 113.03 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7be3a3ac-54c2-4823-9bdd-10ee3c7fc9a7) + ) + (label "IRQ5" (at 153.67 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7c6e45ac-3b73-49d6-a286-5f85d51bd6b4) + ) + (label "~{DACK5}" (at 153.67 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 80c267cc-28a6-4600-817b-8f3ccab07440) + ) + (label "TC" (at 153.67 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8239561a-b253-4b5f-a34d-86b559299f9c) + ) + (label "IRQ11" (at 153.67 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 85ebf3b7-08b1-41a5-bf19-921fb9830521) + ) + (label "DRQ2" (at 153.67 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 894a9431-6d5d-4e16-9984-b99a4f5b585f) + ) + (label "SD8" (at 113.03 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 89b9b319-047f-434e-ad2b-2bd43efe38bc) + ) + (label "~{MEMCS16}" (at 153.67 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8f22e73a-35fc-45e3-b5f2-f6fe673b4ce3) + ) + (label "~{IOR}" (at 153.67 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8faee488-5da5-426f-b441-ab8f2fde2dc8) + ) + (label "SD3" (at 113.03 43.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 919b099c-7c2d-42cc-8578-46fdd9030409) + ) + (label "OSC" (at 153.67 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 921c2377-317e-45b8-b16b-c0d40f7419f0) + ) + (label "~{IOCHK}" (at 113.03 30.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9387055c-b300-43a0-98df-c7ecbb267036) + ) + (label "SD5" (at 113.03 38.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9719e7f5-4202-43e4-916a-44faec19cb21) + ) + (label "LA19" (at 113.03 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9e12843e-efb2-4e9d-b57a-118fbdc038f8) + ) + (label "IRQ12" (at 153.67 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a0cae2ba-343b-4923-a505-abaac3a8533f) + ) + (label "DRQ7" (at 153.67 152.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b641b175-97ff-4e35-80dc-31a348ab908c) + ) + (label "RESET" (at 153.67 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid baf7c574-3e16-4385-9cd3-4e12ca12c96a) + ) + (label "SA1" (at 113.03 104.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid be61a469-946b-4e76-92c9-b35e00e63c68) + ) + (label "SD12" (at 113.03 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c25309bc-b8da-44f2-bc2a-96835c6a76c4) + ) + (label "~{DACK0}" (at 153.67 134.62 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c499490b-fb03-41c9-8978-a90e28ffae71) + ) + (label "SD9" (at 113.03 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c56743f5-2d43-4e04-865b-7a2d0fbd06ed) + ) + (label "SD13" (at 113.03 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c780ef6b-d0b4-4e40-9213-5c2f7c6e445a) + ) + (label "DRQ3" (at 153.67 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cf144543-da8a-4c4a-bbff-ab5c2c644f57) + ) + (label "SA7" (at 113.03 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d0d47a97-bbb8-47eb-996c-5f88d3277db9) + ) + (label "SA3" (at 113.03 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d37b0f13-71b3-4eb3-9789-4cc2e5c3b6b0) + ) + (label "~{MEMR}" (at 113.03 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d4efd624-5bdd-40b4-9f03-c673b630fe03) + ) + (label "~{REFRESH}" (at 153.67 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d5d63411-6c6f-4956-96fb-df6d4a5ff088) + ) + (label "SD10" (at 113.03 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d72fb8b2-92d2-442c-a26f-25485cc7618c) + ) + (label "BCLK" (at 153.67 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da502c57-fe81-4c8f-addf-80b5191f106e) + ) + (label "IRQ7" (at 153.67 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da54b830-7a47-4467-a5e2-c2be25b6eb96) + ) + (label "~{MASTER}" (at 153.67 157.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dc5bbb33-d208-433a-ab29-2792aa30d3a8) + ) + (label "~{SBHE}" (at 113.03 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e61020ad-cae5-48a6-828f-b501def49a31) + ) + (label "SD15" (at 113.03 160.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e71ab2ff-d5dc-40e9-8014-e0fcc6ad7c6e) + ) + (label "AEN" (at 113.03 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e807b357-ce18-4598-b0db-7a0b28e0cf84) + ) + (label "SD0" (at 113.03 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e87db07a-aed6-4f78-8a0d-18279db2c5c9) + ) + (label "~{DACK6}" (at 153.67 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e8d47735-000e-4128-8230-e3c8323efdc5) + ) + (label "BALE" (at 153.67 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ec43b54d-72d2-4193-b6e8-07962abf7de6) + ) + (label "SA11" (at 113.03 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ee8df51c-1e5d-46ee-be54-58eaccf3f601) + ) + (label "~{SRDY}" (at 153.67 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f0c26b7d-ffdc-4711-84a0-921958736e6b) + ) + (label "SA18" (at 113.03 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f3e1462d-5d96-436f-a649-a6554e0b7407) + ) + (label "SA8" (at 113.03 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f4f91585-9e05-4551-bb9e-a7573ad7e78b) + ) + (label "SA4" (at 113.03 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fb86efe7-1069-4667-8877-8a2cce2ba1a5) + ) + (label "SA15" (at 113.03 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fbd5ae22-428c-4bd2-ad3c-5eb31beeced6) + ) + (label "~{DACK1}" (at 153.67 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fcd365fa-a6ff-4036-b1af-07c2c34dbc51) + ) + + (global_label "{ISA16}" (shape input) (at 88.9 26.67 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 29454495-0683-4259-a13b-f0aa70fe5361) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 78.8995 26.67 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "{ISA16}" (shape input) (at 176.53 26.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e0b80255-d982-413d-893d-b07dd27f195f) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 186.5305 26.67 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + + (symbol (lib_id "power:-5V") (at 158.75 40.64 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 06f3c26f-31a2-4567-9bdc-c275397d6b14) + (property "Reference" "#PWR07" (at 161.29 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 162.56 40.64 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 158.75 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 158.75 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cda8d6fa-c045-4446-8d95-2d740d615df2)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR07") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 156.21 161.29 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 22aa5bae-0ada-42a6-bc6f-4960a2723f54) + (property "Reference" "#PWR03" (at 162.56 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 160.02 161.29 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 156.21 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 156.21 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9ca34e17-b2c3-4e8f-a679-5197b0f499d8)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR03") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "local:Bus_PC104_16bit") (at 133.35 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 2a0e49fd-7865-4f70-bce7-af32b4412341) + (property "Reference" "J1" (at 133.35 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_PC104_16bit" (at 133.35 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "local:PC104_16bit" (at 133.35 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://pc104.org/wp-content/uploads/2015/02/PC104_Spec_v2_6.pdf" (at 133.985 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A5" (uuid 4778f066-db9a-446b-87ee-d6e30eec9233)) + (pin "A6" (uuid 8e015395-a4c8-4ce7-ae26-c87bca2a59e1)) + (pin "A31" (uuid 72e8a07c-aae3-4607-86ee-85cd6d2eca66)) + (pin "A4" (uuid 22faead3-3f4a-4e95-8494-6c204f4a8763)) + (pin "A3" (uuid af7b8d2d-19d1-459e-b3ee-6d9a20362a16)) + (pin "A30" (uuid 595dd1d0-5019-4464-af72-c3ebb927f832)) + (pin "A7" (uuid c1c95951-d583-45e2-9d73-442175206ea8)) + (pin "A8" (uuid c92fd803-0068-4200-a3c7-cbe3815172b6)) + (pin "A28" (uuid 9d47b56f-d56d-404d-b4fd-f74d03fe20a1)) + (pin "A29" (uuid a6fe2aeb-7cbe-440b-aa6c-b2ff9691db61)) + (pin "A12" (uuid 92c01e85-2082-4e7b-bb98-208658b0c633)) + (pin "C2" (uuid 2f60dd89-286c-4374-a880-29e5d7177914)) + (pin "C3" (uuid 0a41a8f6-81ec-48d2-b341-2130c27ce13e)) + (pin "C4" (uuid f0bb2a84-ccdb-42ab-adf7-e9258d5f57f6)) + (pin "C5" (uuid 58d8a9e2-d3a0-4f00-bc22-a025c431c167)) + (pin "B29" (uuid 58e428a6-93d7-49ec-89e1-8a0fe4c55e1b)) + (pin "B3" (uuid 7a808a45-0c18-45df-983a-60aabc262563)) + (pin "B30" (uuid bd2922eb-4212-4638-98b2-2fcb8f465b53)) + (pin "B31" (uuid fe2e629d-1e88-4ec0-b732-63094e031816)) + (pin "B4" (uuid f41d1b5e-6884-4640-be6c-e85e0dfeb807)) + (pin "B5" (uuid d054d32f-3c6e-4be6-952c-379a888f22d6)) + (pin "B18" (uuid 3fe194b2-f464-45f5-b735-0f4bc53d367a)) + (pin "B19" (uuid 3e3e1193-a38c-4336-8eec-83e88a505f88)) + (pin "B16" (uuid a3588aa6-4e1f-4bcf-a779-2e4a57899df0)) + (pin "B17" (uuid c701061c-e865-423b-8e31-7f5c5a55929e)) + (pin "B9" (uuid 0f54441a-51ce-4d42-b3b3-6a7e083f6959)) + (pin "C0" (uuid 4e402089-38f1-47df-ac7c-59a01d41eac5)) + (pin "B6" (uuid 5ec87817-ced8-44ed-baf2-b4c4c7255df5)) + (pin "B7" (uuid a24b34c7-1c1e-4ee9-968a-f3942612835f)) + (pin "B8" (uuid 404738ae-3382-4b63-934b-5f43cd2561db)) + (pin "C1" (uuid 19b0b947-8953-4352-8529-d8f3893c5c12)) + (pin "C10" (uuid 5f48b31f-cc55-455a-b3c7-34fcaec19e0a)) + (pin "C11" (uuid d9b25b59-3473-4097-8d9f-06db13225a12)) + (pin "C12" (uuid 8cf9e530-5d67-4a97-9afd-a9a625ce7efd)) + (pin "C13" (uuid 1f1cc2cd-c323-4a68-8c24-d10591367dfe)) + (pin "C14" (uuid 27267ca5-3f2e-411d-b940-c5dbc07acd19)) + (pin "C15" (uuid 26db44ec-9999-4e7c-92b2-3444fa27e28e)) + (pin "C16" (uuid 76dfdc22-a1f8-4fd1-8fa0-d9e14fc44d32)) + (pin "C17" (uuid fa81beb9-4be2-4d2c-aa36-66acb5995922)) + (pin "C18" (uuid d38dc2d8-8eb8-45eb-ab3c-e672e35db32d)) + (pin "B10" (uuid 2d466ff8-6a80-4e80-a738-c92b8ca942fc)) + (pin "B11" (uuid b517142c-e717-48af-ae80-a367f1ab7072)) + (pin "B12" (uuid 2ac4a8b9-3035-4805-a7ae-c379f0b1dae1)) + (pin "B13" (uuid 2cd54a89-7ddf-4fed-8a0e-6454916c2b6c)) + (pin "A24" (uuid a582f353-321d-4a22-938d-f73466aabcb5)) + (pin "A25" (uuid b1259c31-78ca-49da-8675-9b8ef2975ba9)) + (pin "A15" (uuid f5a35326-f9bf-40ec-9389-a2118c517f49)) + (pin "A1" (uuid 65f478d5-0583-4394-a8c4-d07f06b8b42c)) + (pin "A18" (uuid a485416a-7f78-4112-9cf1-10bfe5f42ee3)) + (pin "A9" (uuid 1852a2bb-63e6-4427-a6fe-2bae37d7149b)) + (pin "B1" (uuid 02eae7f5-1e91-491b-9bbf-68016c258352)) + (pin "A22" (uuid 4a53e93b-616d-40b0-b448-c24e7660300a)) + (pin "A19" (uuid 956cbd66-08f4-4bb4-9f78-393a3bd751e4)) + (pin "A14" (uuid b561e79f-0699-4f1b-aea6-3e8213ab351e)) + (pin "A23" (uuid 4cd6b329-c310-4627-a181-dfd9ca48432c)) + (pin "B23" (uuid 36607c5f-b9d3-44f0-a52d-48b85a9309c4)) + (pin "B24" (uuid dd2beea3-47fe-4ab7-a79b-5e7d8db27f17)) + (pin "B25" (uuid 57b4ce6c-9783-4872-bdc0-59e7537ec164)) + (pin "A32" (uuid b32b0b1c-90f3-4333-bfe3-5f31f3e20db4)) + (pin "B32" (uuid a8c80524-47ad-4ab3-b282-26cf5db69ea2)) + (pin "A20" (uuid 64826818-2688-4fbb-9222-ac2a7357affa)) + (pin "C19" (uuid b2cf19ed-1a01-4616-86c6-ed65a0179208)) + (pin "D19" (uuid 6862493a-6b93-46dd-ac5d-e5cd3bbb0443)) + (pin "A13" (uuid cf7b2dbc-6c8f-4809-98dc-a5c3efb8d7d2)) + (pin "A11" (uuid 4593a61e-31da-41fd-b93d-ad416abfee92)) + (pin "A21" (uuid 1807a5fd-5cea-430f-ad68-59040fe809f1)) + (pin "A10" (uuid ed45a7af-eb7b-4856-af41-03f24ae9b0f6)) + (pin "B21" (uuid 617893df-436c-46bb-88d7-32b55dc0995f)) + (pin "B22" (uuid 484ebc4a-360f-4ddd-af87-92bebd77cb52)) + (pin "A2" (uuid 063eb970-48e2-4cd8-8825-008b386c008c)) + (pin "A16" (uuid 3450d3d5-7396-4fcf-ad64-b218c4ece431)) + (pin "B14" (uuid b85df7c9-a1ee-4b79-a756-5321fb71e140)) + (pin "B15" (uuid b36a040b-2122-4641-99d0-34401680dfcc)) + (pin "A17" (uuid b2ca8c5d-4ac7-4ccb-969f-87c822c11729)) + (pin "B26" (uuid cfe03d75-8e26-4ade-8887-b2a39b2b4568)) + (pin "B27" (uuid aa25cf0e-0f64-4f8d-9203-41ab6e0b7d53)) + (pin "B28" (uuid 5ca5fca6-312c-4936-90c7-4aed85bde406)) + (pin "B2" (uuid 1b18c2fa-0d93-4458-bcbd-873277f6c9fd)) + (pin "B20" (uuid c7f76194-3dab-4787-9865-1275c926b014)) + (pin "A26" (uuid 058c1226-446f-4d5a-8f75-1df2f243ef12)) + (pin "A27" (uuid 8026434a-9941-4b20-88b2-4b267628e9ce)) + (pin "D3" (uuid 9e25189f-bb18-4d42-8e57-39ed801f549a)) + (pin "D4" (uuid e8f71b3f-7752-4f97-a158-abc253378870)) + (pin "D5" (uuid d6778d94-5cbc-421c-af4a-0c4ed5b5eddd)) + (pin "D6" (uuid 7e0c3b96-1cbc-4d84-9761-299e366f54ca)) + (pin "D7" (uuid a690a951-cf53-4044-9a82-1426decd89f6)) + (pin "D8" (uuid c1e8540a-c4a9-417c-8f6a-09e03824e91e)) + (pin "D9" (uuid 85956f52-553b-42b9-ba0e-83a668792ab4)) + (pin "C6" (uuid 7b39f1a4-fd59-48e5-bb30-cc013d778038)) + (pin "C7" (uuid ea13a67f-a2c9-4a1c-ae48-b42beeec99c1)) + (pin "C8" (uuid 18671e64-6a51-4b79-8145-183293feb235)) + (pin "C9" (uuid 4441d879-2dd5-43da-93b6-720bd87e7a7b)) + (pin "D0" (uuid f7b01ff5-14ef-4e4d-8e52-4027d5b9c75a)) + (pin "D1" (uuid 4d8e32cb-27eb-478e-8bb8-8daf67773588)) + (pin "D10" (uuid 3a725834-8708-41e8-aad3-98a786fd6b8b)) + (pin "D11" (uuid 40a876a8-6363-4042-aa4b-93f9bca863ee)) + (pin "D12" (uuid c3a08618-2750-41f4-929d-2576887263bb)) + (pin "D13" (uuid 703b4df4-300a-480d-a7ca-1e06cf2d1dde)) + (pin "D14" (uuid 3ba5f187-bc3a-47de-a8c5-89d6ea56b23e)) + (pin "D15" (uuid ed67ab80-c4c9-4d59-b684-17e76d044763)) + (pin "D16" (uuid 7444ad9b-8dbb-44c0-97e1-87bcc352c509)) + (pin "D17" (uuid 1fe491c5-f28e-46c9-b791-2c7ba4a15102)) + (pin "D18" (uuid 11baaa3a-5ffc-45f1-96fb-03d42026ada7)) + (pin "D2" (uuid f5fe513d-b006-44dd-846a-4284a395a252)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "J1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 109.22 111.76 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 2fcb4fde-9e27-4f4a-b51f-d842753da896) + (property "Reference" "#PWR01" (at 102.87 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 105.41 111.76 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 109.22 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 28b28d4b-ba70-49cf-835f-b5fa4e575a3e)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR01") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-12V") (at 158.75 45.72 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 36d8132f-6e86-4e49-8f9e-8e772e1e051a) + (property "Reference" "#PWR08" (at 161.29 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 162.56 45.72 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 158.75 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 158.75 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c2fa9841-b7f3-42c9-a174-df5a269d919f)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR08") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 156.21 110.49 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 3ffd26ec-eb4c-4ecf-9b90-b6f9ca047e19) + (property "Reference" "#PWR02" (at 162.56 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 160.02 110.49 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 156.21 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 156.21 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3a7a8eee-c391-415d-abf9-4698e6d39380)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR02") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 161.29 154.94 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 73875081-64dc-45ce-b6b8-c93af4370482) + (property "Reference" "#PWR010" (at 157.48 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 163.83 154.94 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 161.29 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 161.29 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f53f7373-5f01-4a6e-83c1-4775a156c999)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR010") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+12V") (at 158.75 50.8 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 83a485ef-f774-46a0-abc7-819fb2a585bf) + (property "Reference" "#PWR09" (at 154.94 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 162.56 50.8 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 158.75 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 158.75 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a3d9f418-17c9-4ffc-89cb-9a62b7dbf7ab)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR09") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 158.75 35.56 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 9fd10468-7422-49d5-9a4c-55e410c2353e) + (property "Reference" "#PWR06" (at 154.94 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 162.56 35.56 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 158.75 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 158.75 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3fc97700-5e11-4ae1-985a-50c99a4b56bf)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR06") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 157.48 101.6 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid bbbdb35b-a34e-4c2a-866c-00c7c7073dac) + (property "Reference" "#PWR04" (at 153.67 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 161.29 101.6 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 157.48 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 157.48 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9247b308-11a9-44d7-bc5c-a6871f09ab1d)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR04") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 158.75 30.48 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid e03e81e2-320b-42fa-ab76-98907522b307) + (property "Reference" "#PWR05" (at 165.1 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 162.56 30.48 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 158.75 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 158.75 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f6919754-4036-42ab-8619-e2432c8df174)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/56da8143-075a-4027-8c1a-43f8b4f0cd5e" + (reference "#PWR05") (unit 1) + ) + ) + ) + ) +) diff --git a/fp-lib-table b/fp-lib-table new file mode 100644 index 0000000..37b91e6 --- /dev/null +++ b/fp-lib-table @@ -0,0 +1,4 @@ +(fp_lib_table + (version 7) + (lib (name "local")(type "KiCad")(uri "${KIPRJMOD}/local.pretty")(options "")(descr "")) +) diff --git a/io_ports.kicad_sch b/io_ports.kicad_sch new file mode 100644 index 0000000..e618cde --- /dev/null +++ b/io_ports.kicad_sch @@ -0,0 +1,3248 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 140da998-4ba3-4109-b943-791ef32f5db7) + + (paper "A4") + + (title_block + (title "I/O ports") + ) + + (lib_symbols + (symbol "Connector:DE15_Receptacle_HighDensity_MountingHoles" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 0 21.59 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DE15_Receptacle_HighDensity_MountingHoles" (at 0 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -24.13 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" " ~" (at -24.13 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector receptacle de15 female D-SUB VGA" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "15-pin female receptacle socket D-SUB connector, High density (3 columns), Triple Row, Generic, VGA-connector, Mounting Hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DSUB*Female*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "DE15_Receptacle_HighDensity_MountingHoles_0_1" + (circle (center -1.905 -10.16) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center -1.905 -5.08) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center -1.905 0) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center -1.905 5.08) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center -1.905 10.16) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 0 -7.62) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 0 -2.54) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.175 7.62) + (xy -0.635 7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 -7.62) + (xy -3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 -2.54) + (xy -3.175 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 2.54) + (xy -3.175 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 12.7) + (xy -3.175 12.7) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.81 17.78) + (xy -3.81 -15.24) + (xy 3.81 -12.7) + (xy 3.81 15.24) + (xy -3.81 17.78) + ) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (circle (center 0 2.54) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 0 7.62) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 0 12.7) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.905 -10.16) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.905 -5.08) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.905 0) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.905 5.08) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.905 10.16) (radius 0.635) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "DE15_Receptacle_HighDensity_MountingHoles_1_1" + (pin passive line (at 0 -17.78 90) (length 3.81) + (name "~" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 10.16 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -7.62 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 10.16 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 5.08 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 0 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -5.08 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -10.16 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 12.7 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 7.62 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 2.54 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -2.54 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x05" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x05" (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x05, script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x05_1_1" + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 1.27 -6.35) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x05_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x05_Odd_Even" (at 1.27 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x05_Odd_Even_1_1" + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 3.81 -6.35) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x08_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x08_Odd_Even" (at 1.27 -12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x08_Odd_Even_1_1" + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 8.89) (end 3.81 -11.43) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -10.033) (end 2.54 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x17_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x17_Odd_Even" (at 1.27 -22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x17, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x17_Odd_Even_1_1" + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 21.59) (end 3.81 -21.59) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -20.193) (end 2.54 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -17.653) (end 2.54 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -15.113) (end 2.54 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -12.573) (end 2.54 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -10.033) (end 2.54 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 10.287) (end 2.54 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 12.827) (end 2.54 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 15.367) (end 2.54 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 17.907) (end 2.54 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 20.447) (end 2.54 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 10.16 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 20.32 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 3.81) + (name "Pin_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -12.7 180) (length 3.81) + (name "Pin_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -15.24 180) (length 3.81) + (name "Pin_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -17.78 180) (length 3.81) + (name "Pin_32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -20.32 180) (length 3.81) + (name "Pin_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 17.78 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 15.24 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 12.7 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x20_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x20_Odd_Even" (at 1.27 -27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x20, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x20_Odd_Even_1_1" + (rectangle (start -1.27 -25.273) (end 0 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -22.733) (end 0 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 22.987) (end 0 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 24.13) (end 3.81 -26.67) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -25.273) (end 2.54 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -22.733) (end 2.54 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -20.193) (end 2.54 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -17.653) (end 2.54 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -15.113) (end 2.54 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -12.573) (end 2.54 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -10.033) (end 2.54 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 10.287) (end 2.54 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 12.827) (end 2.54 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 15.367) (end 2.54 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 17.907) (end 2.54 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 20.447) (end 2.54 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 22.987) (end 2.54 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 22.86 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 12.7 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 10.16 180) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 22.86 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 3.81) + (name "Pin_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -12.7 180) (length 3.81) + (name "Pin_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -15.24 180) (length 3.81) + (name "Pin_32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -17.78 180) (length 3.81) + (name "Pin_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -20.32 180) (length 3.81) + (name "Pin_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -22.86 0) (length 3.81) + (name "Pin_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -22.86 180) (length 3.81) + (name "Pin_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -25.4 0) (length 3.81) + (name "Pin_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 20.32 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -25.4 180) (length 3.81) + (name "Pin_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 17.78 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 15.24 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x22_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x22_Odd_Even" (at 1.27 -30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x22, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x22_Odd_Even_1_1" + (rectangle (start -1.27 -27.813) (end 0 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -25.273) (end 0 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -22.733) (end 0 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 22.987) (end 0 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 25.527) (end 0 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 26.67) (end 3.81 -29.21) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -27.813) (end 2.54 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -25.273) (end 2.54 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -22.733) (end 2.54 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -20.193) (end 2.54 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -17.653) (end 2.54 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -15.113) (end 2.54 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -12.573) (end 2.54 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -10.033) (end 2.54 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 10.287) (end 2.54 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 12.827) (end 2.54 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 15.367) (end 2.54 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 17.907) (end 2.54 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 20.447) (end 2.54 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 22.987) (end 2.54 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 25.527) (end 2.54 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 25.4 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 15.24 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 12.7 180) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 10.16 180) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 25.4 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 22.86 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 3.81) + (name "Pin_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -12.7 180) (length 3.81) + (name "Pin_32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -15.24 180) (length 3.81) + (name "Pin_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -17.78 180) (length 3.81) + (name "Pin_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -20.32 180) (length 3.81) + (name "Pin_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -22.86 0) (length 3.81) + (name "Pin_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 22.86 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -22.86 180) (length 3.81) + (name "Pin_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -25.4 0) (length 3.81) + (name "Pin_41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -25.4 180) (length 3.81) + (name "Pin_42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -27.94 0) (length 3.81) + (name "Pin_43" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -27.94 180) (length 3.81) + (name "Pin_44" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 20.32 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 17.78 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 140.97 113.03) (diameter 0) (color 0 0 0 0) + (uuid 035982a1-4c5d-4248-af73-0277316296de) + ) + (junction (at 21.59 40.64) (diameter 0) (color 0 0 0 0) + (uuid 03ab44e6-4564-40f6-803f-efe72ff52078) + ) + (junction (at 140.97 90.17) (diameter 0) (color 0 0 0 0) + (uuid 0584df16-375a-4fd4-83fa-8ef46faa734b) + ) + (junction (at 118.11 46.99) (diameter 0) (color 0 0 0 0) + (uuid 09fa20f4-fc9f-4848-9ea5-1916ef437a73) + ) + (junction (at 118.11 162.56) (diameter 0) (color 0 0 0 0) + (uuid 13991659-44c9-4812-9423-eeabc6fd754b) + ) + (junction (at 80.01 58.42) (diameter 0) (color 0 0 0 0) + (uuid 191f7f06-d937-4bae-bc1e-68604764a3ea) + ) + (junction (at 140.97 100.33) (diameter 0) (color 0 0 0 0) + (uuid 30a4cb53-9d3b-4209-8426-72d4e0411a35) + ) + (junction (at 140.97 107.95) (diameter 0) (color 0 0 0 0) + (uuid 41e7b187-f0d3-4f08-9ce3-9a8217ca1b52) + ) + (junction (at 140.97 80.01) (diameter 0) (color 0 0 0 0) + (uuid 53f9a70e-53ae-4260-bb3a-0841ddeac43b) + ) + (junction (at 140.97 77.47) (diameter 0) (color 0 0 0 0) + (uuid 57cb06f4-b0c8-43f1-ad1f-08775698690b) + ) + (junction (at 21.59 38.1) (diameter 0) (color 0 0 0 0) + (uuid 59c9310c-0de7-4294-867c-e7cf0616adf8) + ) + (junction (at 140.97 82.55) (diameter 0) (color 0 0 0 0) + (uuid 5c007bb7-7ea9-45bf-a833-caa0c4e8e7da) + ) + (junction (at 140.97 105.41) (diameter 0) (color 0 0 0 0) + (uuid 77928094-058a-4aad-84b6-95eec183f013) + ) + (junction (at 118.11 160.02) (diameter 0) (color 0 0 0 0) + (uuid 86f31d81-8ddf-4907-919f-d8893a9557ee) + ) + (junction (at 140.97 87.63) (diameter 0) (color 0 0 0 0) + (uuid a06c9523-d3c9-40b2-b0f7-ba6f41dd7045) + ) + (junction (at 140.97 92.71) (diameter 0) (color 0 0 0 0) + (uuid a0bc3a61-905d-46ed-9a92-493ad4f1b09a) + ) + (junction (at 21.59 35.56) (diameter 0) (color 0 0 0 0) + (uuid a7123c07-b45d-4909-8dea-08ca628ab9d5) + ) + (junction (at 118.11 54.61) (diameter 0) (color 0 0 0 0) + (uuid afa49c85-78f7-4e1f-a67c-47c44af58b9d) + ) + (junction (at 80.01 55.88) (diameter 0) (color 0 0 0 0) + (uuid b49c47d5-6998-488d-8c9f-0dfc739ee2a7) + ) + (junction (at 140.97 115.57) (diameter 0) (color 0 0 0 0) + (uuid b95cbe88-da04-4a88-8198-5e4609234b05) + ) + (junction (at 140.97 102.87) (diameter 0) (color 0 0 0 0) + (uuid be2ae619-2bda-4288-98ab-54117e2d9567) + ) + (junction (at 140.97 85.09) (diameter 0) (color 0 0 0 0) + (uuid c1ef4f0e-26c2-431a-96e2-20c1fdbb7247) + ) + (junction (at 80.01 50.8) (diameter 0) (color 0 0 0 0) + (uuid c7a93d8a-df99-4422-a08b-7fb7fdc64a52) + ) + (junction (at 140.97 95.25) (diameter 0) (color 0 0 0 0) + (uuid d3c7db4c-6357-47b2-bfda-05b890de688e) + ) + (junction (at 80.01 53.34) (diameter 0) (color 0 0 0 0) + (uuid ea007df3-022d-416c-b502-ed23d4db9f04) + ) + (junction (at 140.97 110.49) (diameter 0) (color 0 0 0 0) + (uuid ebbb2b8a-dd5f-4f75-96f5-5ee1172857ba) + ) + (junction (at 140.97 97.79) (diameter 0) (color 0 0 0 0) + (uuid f65cdeca-3853-4d64-b496-c6207d79edc6) + ) + (junction (at 118.11 165.1) (diameter 0) (color 0 0 0 0) + (uuid fde9e17a-9870-46fa-8eb5-c72c6bbbab85) + ) + + (no_connect (at 123.19 80.01) (uuid 0bd5860e-acaa-4326-bbbf-73751918b5d9)) + (no_connect (at 123.19 77.47) (uuid 2c86dfd2-0ef3-4a87-a39a-81ebe1fdecc1)) + (no_connect (at 251.46 36.83) (uuid 60644ee5-c570-4efa-af8b-db2af721e659)) + (no_connect (at 38.1 22.86) (uuid 6bff66ae-bc37-4201-97e9-aae0548bd846)) + (no_connect (at 64.77 31.75) (uuid 8a4cf9d5-d536-4164-92e9-38f530225753)) + (no_connect (at 38.1 30.48) (uuid 8eee0ff9-1b16-46a9-b185-9730a02f82f4)) + (no_connect (at 119.38 39.37) (uuid 9718a1c4-5850-43d9-b5bd-b0aeeb7b33f1)) + (no_connect (at 25.4 30.48) (uuid 9b3dc8dc-21c8-4131-a8dc-154f4b6838bd)) + (no_connect (at 119.38 41.91) (uuid c20775f1-f100-4634-90d2-585772619ec6)) + (no_connect (at 134.62 26.67) (uuid d15e0dc9-1310-4a77-88da-776ff0cfde04)) + (no_connect (at 38.1 27.94) (uuid e0f2ae84-09c4-42c6-9e83-77c560067826)) + (no_connect (at 64.77 29.21) (uuid f2200edb-fff0-4b31-a86f-9392c71b4e6e)) + + (wire (pts (xy 140.97 87.63) (xy 140.97 90.17)) + (stroke (width 0) (type default)) + (uuid 00e6d5a4-8281-4906-9d60-5c4b96dda9a1) + ) + (wire (pts (xy 118.11 54.61) (xy 127 54.61)) + (stroke (width 0) (type default)) + (uuid 01b12f23-0dde-4830-b8be-0027c40f8195) + ) + (wire (pts (xy 140.97 90.17) (xy 140.97 92.71)) + (stroke (width 0) (type default)) + (uuid 06c212d8-d122-48e8-af50-9316306ac87b) + ) + (wire (pts (xy 140.97 100.33) (xy 140.97 102.87)) + (stroke (width 0) (type default)) + (uuid 09705497-bc82-467e-9663-accb38956138) + ) + (wire (pts (xy 135.89 102.87) (xy 140.97 102.87)) + (stroke (width 0) (type default)) + (uuid 0992872c-9a22-441d-849f-96f8e2267184) + ) + (wire (pts (xy 118.11 55.88) (xy 118.11 54.61)) + (stroke (width 0) (type default)) + (uuid 11f78e0a-eb7b-43d6-87de-0286a1c67233) + ) + (wire (pts (xy 109.22 34.29) (xy 119.38 34.29)) + (stroke (width 0) (type default)) + (uuid 11f9d5f8-a78f-49f2-a2aa-c2e22e2a263a) + ) + (wire (pts (xy 118.11 54.61) (xy 118.11 46.99)) + (stroke (width 0) (type default)) + (uuid 1392389e-ab4d-416d-bdc3-70cae8511278) + ) + (wire (pts (xy 135.89 95.25) (xy 140.97 95.25)) + (stroke (width 0) (type default)) + (uuid 16ff380d-1028-4871-9f5f-71f7a50e2a04) + ) + (wire (pts (xy 135.89 82.55) (xy 140.97 82.55)) + (stroke (width 0) (type default)) + (uuid 17d41f9d-a82a-4503-a075-f9665a64cc1e) + ) + (wire (pts (xy 135.89 85.09) (xy 140.97 85.09)) + (stroke (width 0) (type default)) + (uuid 193c72d5-0c91-49b7-92df-26eaca8ddf39) + ) + (wire (pts (xy 140.97 92.71) (xy 140.97 95.25)) + (stroke (width 0) (type default)) + (uuid 1ac28c66-cb38-4b23-8b4a-605993d3ddff) + ) + (wire (pts (xy 77.47 58.42) (xy 80.01 58.42)) + (stroke (width 0) (type default)) + (uuid 1b596bba-7725-4bf2-a032-ae50663ab7af) + ) + (wire (pts (xy 115.57 165.1) (xy 118.11 165.1)) + (stroke (width 0) (type default)) + (uuid 1bc3166f-fc57-43b2-b738-4df2e84bf6be) + ) + (wire (pts (xy 135.89 97.79) (xy 140.97 97.79)) + (stroke (width 0) (type default)) + (uuid 1cc532a7-0dce-4bc5-8913-9c73b9c54677) + ) + (wire (pts (xy 135.89 92.71) (xy 140.97 92.71)) + (stroke (width 0) (type default)) + (uuid 2049e863-09d4-4d7a-a403-39baedb2160b) + ) + (wire (pts (xy 21.59 38.1) (xy 21.59 40.64)) + (stroke (width 0) (type default)) + (uuid 23f11644-1513-4f4c-aff3-98657b61ded2) + ) + (wire (pts (xy 135.89 107.95) (xy 140.97 107.95)) + (stroke (width 0) (type default)) + (uuid 2bbc9479-d20f-49b6-bbac-edc667ee23f7) + ) + (wire (pts (xy 118.11 46.99) (xy 119.38 46.99)) + (stroke (width 0) (type default)) + (uuid 2f1cdc82-cf1d-4da6-992f-0ceb2bb016cf) + ) + (wire (pts (xy 21.59 35.56) (xy 25.4 35.56)) + (stroke (width 0) (type default)) + (uuid 2f536a0d-c625-49a5-96f6-fc752bf82cd3) + ) + (wire (pts (xy 77.47 55.88) (xy 80.01 55.88)) + (stroke (width 0) (type default)) + (uuid 341bb29e-ec02-48dd-bdff-e373f8b1fea8) + ) + (wire (pts (xy 140.97 105.41) (xy 140.97 107.95)) + (stroke (width 0) (type default)) + (uuid 34d29de6-080d-4802-a528-d16aebc240b2) + ) + (wire (pts (xy 140.97 95.25) (xy 140.97 97.79)) + (stroke (width 0) (type default)) + (uuid 3504f688-b35e-4d9f-b07b-426defa0f902) + ) + (wire (pts (xy 118.11 44.45) (xy 118.11 46.99)) + (stroke (width 0) (type default)) + (uuid 366cb1b1-4c44-4e44-80da-3fb6019fee70) + ) + (wire (pts (xy 118.11 162.56) (xy 118.11 160.02)) + (stroke (width 0) (type default)) + (uuid 371f2371-5fe8-4cae-9ea6-d9637b8f375c) + ) + (wire (pts (xy 77.47 53.34) (xy 80.01 53.34)) + (stroke (width 0) (type default)) + (uuid 42b73b84-a962-4376-a7af-734e6a223162) + ) + (wire (pts (xy 80.01 58.42) (xy 80.01 59.69)) + (stroke (width 0) (type default)) + (uuid 43298f4e-442a-4d5d-ac21-a39da500e3de) + ) + (wire (pts (xy 140.97 110.49) (xy 140.97 113.03)) + (stroke (width 0) (type default)) + (uuid 46fd73d2-7da0-4486-85f0-03c4b8e6e849) + ) + (wire (pts (xy 118.11 165.1) (xy 118.11 162.56)) + (stroke (width 0) (type default)) + (uuid 57d80fdd-0967-4670-aa54-e4b2f523315b) + ) + (wire (pts (xy 21.59 40.64) (xy 25.4 40.64)) + (stroke (width 0) (type default)) + (uuid 5878264a-6643-4b2d-ac8c-45072d97f3b3) + ) + (wire (pts (xy 140.97 102.87) (xy 140.97 105.41)) + (stroke (width 0) (type default)) + (uuid 594dde93-a247-48d0-bbab-572234a540b8) + ) + (wire (pts (xy 115.57 137.16) (xy 125.73 137.16)) + (stroke (width 0) (type default)) + (uuid 5b898ce2-8a8d-43ff-b33a-b2946bac64bf) + ) + (wire (pts (xy 119.38 44.45) (xy 118.11 44.45)) + (stroke (width 0) (type default)) + (uuid 66113248-7fe2-4a45-b2b9-7818c67fb3d2) + ) + (wire (pts (xy 115.57 172.72) (xy 125.73 172.72)) + (stroke (width 0) (type default)) + (uuid 68ae29d2-91d4-42e1-91b7-5648444ee38d) + ) + (wire (pts (xy 135.89 115.57) (xy 140.97 115.57)) + (stroke (width 0) (type default)) + (uuid 696e0266-14fc-4436-97ee-3390e9c185fc) + ) + (wire (pts (xy 135.89 87.63) (xy 140.97 87.63)) + (stroke (width 0) (type default)) + (uuid 6970dacb-20da-4bfc-9999-696c5f37f096) + ) + (wire (pts (xy 140.97 107.95) (xy 140.97 110.49)) + (stroke (width 0) (type default)) + (uuid 6d826002-5888-4859-8930-41484838795b) + ) + (wire (pts (xy 100.33 158.75) (xy 100.33 160.02)) + (stroke (width 0) (type default)) + (uuid 744dfae8-70c8-4bc0-98a0-749015968440) + ) + (wire (pts (xy 140.97 77.47) (xy 140.97 80.01)) + (stroke (width 0) (type default)) + (uuid 74f4486b-092f-4c0e-b40a-906bab3302ad) + ) + (wire (pts (xy 21.59 38.1) (xy 25.4 38.1)) + (stroke (width 0) (type default)) + (uuid 7893c97e-eb6f-4967-983d-f3a5afd84858) + ) + (wire (pts (xy 135.89 77.47) (xy 140.97 77.47)) + (stroke (width 0) (type default)) + (uuid 7d11f7fe-51b3-4fa3-ae56-1de7b2be3d0a) + ) + (wire (pts (xy 135.89 74.93) (xy 140.97 74.93)) + (stroke (width 0) (type default)) + (uuid 83625bf7-5c63-4c69-b477-d1bf796159a0) + ) + (wire (pts (xy 135.89 80.01) (xy 140.97 80.01)) + (stroke (width 0) (type default)) + (uuid 85b64fe5-ddbb-4679-8c49-e58673170023) + ) + (wire (pts (xy 109.22 24.13) (xy 119.38 24.13)) + (stroke (width 0) (type default)) + (uuid 8b2e7d1c-6edf-4863-92e9-a54a9b67be94) + ) + (wire (pts (xy 109.22 29.21) (xy 119.38 29.21)) + (stroke (width 0) (type default)) + (uuid 94bb0924-a827-45c0-bc15-aa60eb5066b5) + ) + (wire (pts (xy 21.59 35.56) (xy 21.59 38.1)) + (stroke (width 0) (type default)) + (uuid 977ae952-bc09-4b17-b1da-cc7dc8fa3a78) + ) + (wire (pts (xy 140.97 113.03) (xy 140.97 115.57)) + (stroke (width 0) (type default)) + (uuid a195e6a9-ec0f-46f1-a4b2-223e2c2a1ccf) + ) + (wire (pts (xy 115.57 160.02) (xy 118.11 160.02)) + (stroke (width 0) (type default)) + (uuid a3c33a3d-50c6-42ce-a2ec-2d85341b03b6) + ) + (wire (pts (xy 100.33 160.02) (xy 102.87 160.02)) + (stroke (width 0) (type default)) + (uuid a67b4eb9-47a1-45f5-a7e2-ee5b180d17a7) + ) + (wire (pts (xy 118.11 167.64) (xy 118.11 165.1)) + (stroke (width 0) (type default)) + (uuid a93f4a35-4669-4ee0-91c7-ba88af766a48) + ) + (wire (pts (xy 135.89 90.17) (xy 140.97 90.17)) + (stroke (width 0) (type default)) + (uuid a9793f78-12d5-4a80-80fd-baaea2dfcd3f) + ) + (wire (pts (xy 140.97 115.57) (xy 140.97 116.84)) + (stroke (width 0) (type default)) + (uuid b0614ab1-a26e-426e-b56f-f4e1133a9e9c) + ) + (wire (pts (xy 80.01 50.8) (xy 80.01 53.34)) + (stroke (width 0) (type default)) + (uuid b7c0d9ad-0d9a-409f-afea-5218c9b2dcd2) + ) + (wire (pts (xy 77.47 48.26) (xy 80.01 48.26)) + (stroke (width 0) (type default)) + (uuid bae5725f-3022-4c3f-bcba-13dadf1ccaab) + ) + (wire (pts (xy 135.89 113.03) (xy 140.97 113.03)) + (stroke (width 0) (type default)) + (uuid c1091dad-7278-4bfd-a657-d091226d0507) + ) + (wire (pts (xy 135.89 105.41) (xy 140.97 105.41)) + (stroke (width 0) (type default)) + (uuid c20289c6-2922-4ed6-895a-d72888cae990) + ) + (wire (pts (xy 115.57 167.64) (xy 118.11 167.64)) + (stroke (width 0) (type default)) + (uuid c2bb35b3-5906-4162-99ec-cd33bb31ae8a) + ) + (wire (pts (xy 140.97 85.09) (xy 140.97 87.63)) + (stroke (width 0) (type default)) + (uuid c4c30111-12a2-4629-8188-e274d8f7ebb2) + ) + (wire (pts (xy 140.97 74.93) (xy 140.97 77.47)) + (stroke (width 0) (type default)) + (uuid c54aecc2-0f1e-4a3a-a7d6-526d27876e64) + ) + (wire (pts (xy 21.59 40.64) (xy 21.59 41.91)) + (stroke (width 0) (type default)) + (uuid c5ee4373-c29e-43f8-a16f-aa760b1b3cdf) + ) + (wire (pts (xy 115.57 185.42) (xy 125.73 185.42)) + (stroke (width 0) (type default)) + (uuid d0ffadfb-a070-41cd-a1f7-07a5179974b9) + ) + (wire (pts (xy 25.4 33.02) (xy 21.59 33.02)) + (stroke (width 0) (type default)) + (uuid d1e2e854-41b4-4989-bf05-4fdf3b4b743c) + ) + (wire (pts (xy 80.01 53.34) (xy 80.01 55.88)) + (stroke (width 0) (type default)) + (uuid d20715e3-69a6-4e5a-bac2-2ce7c512b3b5) + ) + (wire (pts (xy 140.97 82.55) (xy 140.97 85.09)) + (stroke (width 0) (type default)) + (uuid d52d741a-3187-4d56-b195-8a8e1eff6feb) + ) + (wire (pts (xy 140.97 80.01) (xy 140.97 82.55)) + (stroke (width 0) (type default)) + (uuid d99bed1a-8de1-45f9-9ef4-41ad9c834353) + ) + (wire (pts (xy 77.47 50.8) (xy 80.01 50.8)) + (stroke (width 0) (type default)) + (uuid da40fb4f-6a8f-4599-a1b2-38cf6b46bc22) + ) + (wire (pts (xy 135.89 100.33) (xy 140.97 100.33)) + (stroke (width 0) (type default)) + (uuid dcf9a639-64b9-435a-85ab-c4098b6b9b61) + ) + (wire (pts (xy 115.57 162.56) (xy 118.11 162.56)) + (stroke (width 0) (type default)) + (uuid ded8ae1e-e6f6-4206-ac01-a90c18ce185d) + ) + (wire (pts (xy 21.59 33.02) (xy 21.59 35.56)) + (stroke (width 0) (type default)) + (uuid e6484f53-c519-4721-982f-1b8cb000e0a4) + ) + (wire (pts (xy 80.01 48.26) (xy 80.01 50.8)) + (stroke (width 0) (type default)) + (uuid ea6ac4ab-3b3f-4e89-9e16-07a19cd673e9) + ) + (wire (pts (xy 140.97 97.79) (xy 140.97 100.33)) + (stroke (width 0) (type default)) + (uuid ec750873-d41f-4dba-95d4-8ef9f0e8a393) + ) + (wire (pts (xy 135.89 110.49) (xy 140.97 110.49)) + (stroke (width 0) (type default)) + (uuid f629c7a1-c70d-47cd-8458-039180b6838f) + ) + (wire (pts (xy 80.01 55.88) (xy 80.01 58.42)) + (stroke (width 0) (type default)) + (uuid f70bd128-d7d6-4c88-b4c1-da963a4efce0) + ) + (wire (pts (xy 118.11 160.02) (xy 125.73 160.02)) + (stroke (width 0) (type default)) + (uuid fe1a8fe3-8c06-46d7-a166-078852452273) + ) + + (text_box "MOUSE (USB to PS/2)" + (at 147.32 43.18 0) (size 133.35 48.26) + (stroke (width 0) (type default)) + (fill (type none)) + (effects (font (size 1.27 1.27)) (justify left top)) + (uuid 9f3a01b9-890a-4199-9087-008da1d29670) + ) + (text_box "IDE" + (at 12.7 124.46 0) (size 133.35 71.12) + (stroke (width 0) (type default)) + (fill (type none)) + (effects (font (size 1.27 1.27)) (justify left top)) + (uuid d86d62e7-8438-4998-9624-fba65bfe10d3) + ) + (text_box "FLOPPY" + (at 12.7 67.31 0) (size 133.35 55.88) + (stroke (width 0) (type default)) + (fill (type none)) + (effects (font (size 1.27 1.27)) (justify left top)) + (uuid db413aa0-e5a5-46ad-906d-1243d4333cfe) + ) + (text_box "KEYBOARD" + (at 147.32 12.7 0) (size 123.19 29.21) + (stroke (width 0) (type default)) + (fill (type none)) + (effects (font (size 1.27 1.27)) (justify left top)) + (uuid ef2b7a97-f676-4980-8673-9ef7d4aa0d4c) + ) + (text_box "VGA" + (at 12.7 12.7 0) (size 133.35 53.34) + (stroke (width 0) (type default)) + (fill (type none)) + (effects (font (size 1.27 1.27)) (justify left top)) + (uuid ffae86aa-eab0-48c9-98fb-bb05990fc6c1) + ) + + (text "This connector also offers RESET and SPEAKER" (at 229.87 161.29 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 038801f0-2e72-4653-a770-88024360ad71) + ) + + (label "MOUSE_DATA" (at 257.81 157.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 03edb176-8195-49cc-8381-2fc61c5e6a07) + ) + (label "VGA_RED" (at 119.38 26.67 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 06a733be-25ba-48d2-a9d6-8cbc0fb33bbe) + ) + (label "IDE_ADDR1" (at 102.87 177.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0a4c11e8-09e1-47f1-ada9-30485ff21b99) + ) + (label "VGA_VSYNC" (at 38.1 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0b006bc0-268e-49a5-a7ac-5a6ed5251f29) + ) + (label "IDE_D14" (at 115.57 154.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 11aa8d81-7bff-43d9-acb7-15ae91fc2a9d) + ) + (label "IDE_D3" (at 102.87 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 126adc02-418e-43b9-b85f-700a47432520) + ) + (label "FDD_~{MTR0}" (at 123.19 85.09 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 13152aea-59d2-4446-809e-927b69e3e247) + ) + (label "IDE_D1" (at 102.87 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 14a8f7d4-eb62-47fe-9c02-7ab33df8686c) + ) + (label "IDE_D0" (at 102.87 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 152f14cf-2ebf-4ef1-9578-dd0a328d9e03) + ) + (label "FDD_DENSEL" (at 123.19 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1a98a681-f487-4fcd-abe2-1e9444bbeb1a) + ) + (label "FDD_~{WRTPRT}" (at 123.19 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1f263373-7b2a-4aac-b024-9739239822d1) + ) + (label "IDE_D7" (at 102.87 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 274e03a9-7084-42e2-b152-7ecc65b9b556) + ) + (label "VGA_GREEN" (at 77.47 29.21 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2976b674-26d6-431d-a00f-068ad04673c5) + ) + (label "VGA_RED" (at 64.77 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 29fe8cfe-54e7-4ddf-ab5d-13278e2b65c9) + ) + (label "VGA_VSYNC" (at 64.77 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 30194ada-d4b0-4bad-8962-b61dc7efea04) + ) + (label "VGA_SCL" (at 77.47 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 30220284-4215-4a30-ac07-65858ca3e6d4) + ) + (label "VGA_HSYNC" (at 77.47 34.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 325d705d-73e0-433f-9d34-c312abe459e7) + ) + (label "IDE_ADDR0" (at 102.87 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 35984a72-7f5d-48fa-81ac-d0e018500ceb) + ) + (label "FDD_~{DIR}" (at 123.19 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3bd450ce-6237-4c27-8393-5ffe35dcf213) + ) + (label "KB_DATA" (at 251.46 29.21 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3dd9cc59-f500-4715-9318-4935a2a149af) + ) + (label "FDD_~{INDEX}" (at 123.19 82.55 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3f4e7837-ffd3-4b6d-9272-7f50ae31858d) + ) + (label "KB_CLK" (at 251.46 26.67 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3f7a560c-d838-4960-ab1f-97828ae56710) + ) + (label "IDE_~{IOR}" (at 102.87 167.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 41873d7e-f676-400c-99e4-9e4166b6a15a) + ) + (label "IDE_IRQ14" (at 102.87 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 41ab985f-de6e-4768-aee9-128b9b49194b) + ) + (label "VGA_RED" (at 25.4 22.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 44e97094-3008-42db-a88b-b3de8f93ae8b) + ) + (label "IDE_~{IOW}" (at 102.87 165.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 488f56aa-329b-4341-8b1b-921b75903703) + ) + (label "IDE_DACK" (at 102.87 172.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 492f8942-d3a2-4299-a64d-56598600fab8) + ) + (label "VGA_HSYNC" (at 38.1 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4b39965a-cb56-4932-b412-c55273e226bf) + ) + (label "IDE_~{CS1}" (at 115.57 182.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4b92280a-87af-434d-9914-4fe4ce118c53) + ) + (label "KB_DATA" (at 245.11 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 52c2c6b5-421a-4403-8a72-2806bf27e904) + ) + (label "VGA_SCL" (at 134.62 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 538aa04b-f6e6-4e96-baa9-a4cace8170e2) + ) + (label "FDD_~{MTR1}" (at 123.19 92.71 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 570b829a-eff5-461e-9ca4-ecd2915115dd) + ) + (label "VGA_GREEN" (at 119.38 31.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 62654a3b-c6dc-46da-b904-7388289317c0) + ) + (label "VGA_HSYNC" (at 64.77 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 64077a49-573e-430d-bccd-9f1c305f0c0a) + ) + (label "VGA_VSYNC" (at 64.77 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 654531c4-0b80-4e22-b585-f9b7380ebaf4) + ) + (label "IDE_D10" (at 115.57 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 65adab4d-dea4-4bd2-819d-03d23d908288) + ) + (label "FDD_~{TRK00}" (at 123.19 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 68aeb299-ff4f-4996-9713-caa69efd3808) + ) + (label "FDD_~{HDSEL}" (at 123.19 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 72f23929-cf0c-457d-b635-4b0b7b9e506d) + ) + (label "VGA_SDA" (at 64.77 34.29 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 744aa4a4-c023-4627-8342-af6101d1c32f) + ) + (label "IDE_~{CS0}" (at 102.87 182.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 74d6efc8-2ec6-4a12-b634-c20a877ed49c) + ) + (label "IDE_D6" (at 102.87 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 75f9529f-30c0-4ab3-a371-9d2c89c9d3c9) + ) + (label "IDE_SPSYNC" (at 115.57 170.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 76351350-db39-4ef6-a988-c7d495ecf072) + ) + (label "FDD_~{WDATA}" (at 123.19 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 767fddb3-c862-4f1a-9967-5f914ba8391c) + ) + (label "IDE_D2" (at 102.87 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 772a076a-755a-4c84-8d4b-dacc1b1814f8) + ) + (label "IDE_D11" (at 115.57 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 80765a67-7d3c-4841-8755-2efe36a59b84) + ) + (label "FDD_~{RDATA}" (at 123.19 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 82fcfb52-c47b-456d-b56b-311a37d7fc9f) + ) + (label "IDE_D4" (at 102.87 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8607ccf8-ae17-4f45-b43b-558f3acf205f) + ) + (label "VGA_HSYNC" (at 134.62 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 88c70dba-e512-44cf-a4e4-51993cbb0a28) + ) + (label "IDE_PDIAG" (at 115.57 177.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8afd2d52-9129-4a8a-8fbd-4b7e0d468b28) + ) + (label "VGA_GREEN" (at 25.4 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8ebd904e-c8d4-4326-ba8a-372506623b88) + ) + (label "IDE_IORDY" (at 102.87 170.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 97c5f803-5dc1-47ea-9339-2749ce16c79e) + ) + (label "IDE_D5" (at 102.87 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a142a879-49fc-4141-84fa-513ab801376a) + ) + (label "VGA_VSYNC" (at 134.62 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a284f322-e0ee-4f4f-b2b8-3ada80fd91e7) + ) + (label "FDD_~{DS0}" (at 123.19 90.17 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a8107a83-5dee-4409-89cb-e66b91a6fa26) + ) + (label "FDD_~{STEP}" (at 123.19 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a9050f38-0905-490d-8f71-f450c63c3e09) + ) + (label "IDE_ADDR2" (at 115.57 180.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid adfddcdd-7bb5-4c22-9598-1f23c1b6162d) + ) + (label "IDE_D12" (at 115.57 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ae7f8a92-1934-428f-ac24-0b414d0b947d) + ) + (label "FDD_~{DS1}" (at 123.19 87.63 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid afb6ee87-c089-46e1-ae45-44b77036ab45) + ) + (label "IDE_D13" (at 115.57 152.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b0b3ebac-e319-4c55-8aba-89ad3e551c30) + ) + (label "IDE_D8" (at 115.57 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b0f3ed87-2e0a-4014-a31c-6e65b2c2f963) + ) + (label "VGA_SDA" (at 134.62 31.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b97fbf08-24df-4946-bd32-0a9f95c41298) + ) + (label "IDE_~{RESET}" (at 102.87 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bc1faf6b-9207-49f0-abdb-f8ef91fa2105) + ) + (label "VGA_BLUE" (at 77.47 31.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid be579fe3-4c3c-4df2-be08-b07851dbde0a) + ) + (label "VGA_BLUE" (at 64.77 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c0749431-b263-4599-b518-f3995fe2fed1) + ) + (label "IDE_~{IOCS16}" (at 115.57 175.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cedbd314-d368-4dd1-88d9-7d187e2f1e77) + ) + (label "IDE_D15" (at 115.57 157.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cef58eb3-300a-42c4-82d4-000d043490b2) + ) + (label "FDD_~{DSKCHG}" (at 123.19 115.57 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d74b0656-ee6e-4af1-b13e-c47b4959fdca) + ) + (label "RTC_BATTERY" (at 257.81 154.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid daffeaec-3ad3-4c85-a275-f8e3966ce5f8) + ) + (label "IDE_DREQ" (at 102.87 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid df28e6bb-6bc0-4ad5-85e3-4cd49701c235) + ) + (label "VGA_GREEN" (at 64.77 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dff13dc3-942d-4f8a-bc4e-9ff9ca1c28ef) + ) + (label "VGA_BLUE" (at 119.38 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e081df8d-75a3-444d-9c14-689cba06c1cb) + ) + (label "IDE_~{ACTIVE}" (at 102.87 185.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e0ec904b-e881-44fd-95dd-d43f567b1afc) + ) + (label "VGA_BLUE" (at 25.4 27.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e21ec67f-af89-48b8-b76f-0db900260443) + ) + (label "VGA_RED" (at 77.47 26.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ebcc5270-9e10-43b9-bbfb-de536215156f) + ) + (label "MOUSE_CLK" (at 245.11 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid eef47c0f-c968-446a-8d69-f04d6d193f0a) + ) + (label "KB_CLK" (at 257.81 152.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f79f0862-0149-45e7-8a76-4bf0fa4e59db) + ) + (label "IDE_D9" (at 115.57 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f81c91e3-dfd8-4d82-9861-393e98a70648) + ) + (label "FDD_~{WGATE}" (at 123.19 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fdeb431f-7d32-4fa7-88b6-a728fd56d1ff) + ) + + (symbol (lib_id "power:GND") (at 118.11 55.88 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 103203c4-78d7-426f-8b08-658e01bc2076) + (property "Reference" "#PWR025" (at 118.11 62.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 118.11 60.96 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 118.11 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 118.11 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 320395a0-e869-41de-85be-b64e68de4ef4)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR025") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 140.97 116.84 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 12a8d759-0863-476a-bb49-a1f2e38fd6a8) + (property "Reference" "#PWR036" (at 140.97 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 140.97 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 140.97 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 140.97 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5c92c212-a2a2-4ba8-9100-87daee3cd7f8)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR036") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x22_Odd_Even") (at 72.39 158.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 2c8eb388-cda4-445d-8800-97e0578a6509) + (property "Reference" "J12" (at 73.66 127 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "X60_KONTRON" (at 73.66 129.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_PinHeader_2.00mm:PinHeader_2x22_P2.00mm_Vertical" (at 72.39 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 72.39 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "13" (uuid f7d4a0bc-d5d8-4df3-b2a6-ed8a35b20c03)) + (pin "14" (uuid 6468cdf2-7617-46c2-b073-3904abeb52ee)) + (pin "15" (uuid cd743b91-da94-41e5-8881-aeb97bc6384f)) + (pin "16" (uuid 410b98ea-4ff6-425c-bb46-abb10e551c1a)) + (pin "17" (uuid 2e2821e7-e41e-4c45-b4d7-3d8ce20ae08f)) + (pin "18" (uuid b7ff3ee7-bb62-4a61-94d5-129a92a46f67)) + (pin "19" (uuid 7785c63e-7b82-4e4d-8e56-4909d9ecb1c5)) + (pin "2" (uuid 9a7fb014-fe84-4007-86af-c9b7490723d4)) + (pin "20" (uuid 7cf6b05b-0564-4535-9a38-4303c1debcd7)) + (pin "21" (uuid d523ad34-6902-44a9-938c-6b94deac8c7f)) + (pin "22" (uuid 4746523e-e978-44a1-b9ca-dc565ca01a96)) + (pin "10" (uuid ade161ad-7bbe-41ac-8dc5-946d2c7a4ca4)) + (pin "1" (uuid d6667182-ce4a-4903-a8ae-feb29a542317)) + (pin "12" (uuid 0f4e5c1b-63e2-477e-9c0f-5626f95a08ec)) + (pin "23" (uuid 14db829b-ee76-4e6c-be56-31eee357a8eb)) + (pin "24" (uuid 4bdcc702-8926-4941-aa79-19ef9d7d2c0f)) + (pin "25" (uuid 652e0dd5-85f6-455e-8618-8d10f96d8b6c)) + (pin "26" (uuid 7854f2b3-d487-4b0d-91fa-1f134f66b926)) + (pin "27" (uuid cf119d10-8417-4287-83b7-e41ed45e3200)) + (pin "28" (uuid e791732e-c800-4aa5-8fab-a03117a0ba91)) + (pin "29" (uuid 5d241779-0b02-43ae-9ef5-45f205f00d77)) + (pin "3" (uuid ff8ea9ee-fb1d-436f-9d95-6909f8dd16eb)) + (pin "30" (uuid a24f4b29-7c4a-4108-8f5b-22d11a0aa9c7)) + (pin "31" (uuid b1f7ab6e-9fdf-463a-8dd2-53aede18e0bd)) + (pin "32" (uuid f601ed10-a50c-4912-8d43-7a79815cbe49)) + (pin "33" (uuid d465940b-bf83-43da-a966-e3ce68213f4e)) + (pin "34" (uuid 427ef852-189b-49f5-a0c1-f0b4efccc8a5)) + (pin "35" (uuid 47f70294-ed81-4ceb-98df-7fe3c676f7a4)) + (pin "36" (uuid bd684a1c-c9cf-4906-85f2-bfb322bc34b9)) + (pin "37" (uuid 7abdb55c-00b3-4d49-9230-a31cd511eb94)) + (pin "38" (uuid 6d57876b-1988-43bd-8ee4-32df72339d1c)) + (pin "39" (uuid b34633c7-8804-40f7-8bee-7ee1ce8fcc01)) + (pin "4" (uuid 78d6a4c0-0d87-4d89-b971-9c65fa2cda8b)) + (pin "40" (uuid 51f5ded4-6fa8-4976-9cc7-cd9e1a805dbd)) + (pin "41" (uuid ecb89ac5-b1ff-42da-a753-b0da4db44533)) + (pin "42" (uuid 407c1f12-dd98-4b63-b7f8-69e1acbb483c)) + (pin "43" (uuid ebe41606-8037-45d4-b818-733e55309d48)) + (pin "44" (uuid 2de13f61-dab2-44a7-b287-29fa72fd1890)) + (pin "5" (uuid e39d3e77-4cbd-441d-8a25-b45b64f9a783)) + (pin "6" (uuid 140a1feb-4ffa-4598-9844-d236a225b8c4)) + (pin "7" (uuid 6a22c561-ec6a-433a-97e2-b99e001f25a2)) + (pin "8" (uuid e1c928de-527c-4dd0-8e0a-1ef3989b73a2)) + (pin "9" (uuid 114e1b77-aeca-4fc0-99a8-12c1a7f4bccd)) + (pin "11" (uuid 65094b11-7414-4c9e-ae91-eba1a40f4fbc)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "J12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 125.73 160.02 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 3229abc0-1309-4f34-9222-18ad7f27e8a0) + (property "Reference" "#PWR038" (at 132.08 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 129.54 160.02 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 125.73 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 125.73 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3125ff0e-ccde-40c8-baeb-980a5a6b004c)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR038") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x05") (at 256.54 31.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 38a751fb-1eb7-4255-81f6-27c970a0596f) + (property "Reference" "J8" (at 259.08 30.48 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "KEYBOARD" (at 259.08 33.02 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Connector_JST:JST_EH_B5B-EH-A_1x05_P2.50mm_Vertical" (at 256.54 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 256.54 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "3" (uuid c8ec749c-bf46-40ff-922b-616333988fd8)) + (pin "2" (uuid 37ed3ca6-cdd1-41c7-b13a-a1364aac2358)) + (pin "1" (uuid 8192de8a-fbe3-44db-a6e3-b3191b677dd5)) + (pin "4" (uuid e8f35246-0446-4ce3-92ec-ab78de62306f)) + (pin "5" (uuid af612201-3782-459e-8fcf-6fcdba8a321b)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "J8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 25.4 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 3a2c3e88-e1b4-4117-a6c7-6e19e1d00471) + (property "Reference" "#PWR030" (at 44.45 25.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 41.91 25.4 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 38.1 25.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 25.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 62de48ec-b4a0-4a4c-970d-4611eef0f11e)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR030") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 21.59 41.91 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 42bf8996-44e9-469b-bc03-d5ed22d85e05) + (property "Reference" "#PWR029" (at 21.59 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 21.59 46.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 21.59 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 21.59 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 44897f13-6d36-41d1-bc25-0920f06d2b86)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR029") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x08_Odd_Even") (at 30.48 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 526c1bb8-ff5e-40a8-a97a-9c95c2454d48) + (property "Reference" "J5" (at 31.75 16.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "VGA_PCM" (at 31.75 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x08_P2.54mm_Vertical" (at 30.48 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 30.48 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "5" (uuid a1e12646-e33b-4c50-be10-bf94ac7b998b)) + (pin "2" (uuid 447ad8e8-e104-48d5-8f32-002ca4e78582)) + (pin "9" (uuid 7178f499-c3c4-437b-b838-8b014ebf30ea)) + (pin "6" (uuid 8b8afa00-ca67-4e21-b731-bed61d0ef9ea)) + (pin "4" (uuid 68020c21-3e70-4969-9a96-05afd65e5e74)) + (pin "7" (uuid 1459a15e-7df3-474b-9662-2628d232d78f)) + (pin "10" (uuid b446cf45-ecb9-4c16-bc9c-d915e904f096)) + (pin "11" (uuid 56c97cc3-7621-4221-821a-f5e84510c821)) + (pin "12" (uuid 36efa274-fc98-4189-81de-73496b7c22d0)) + (pin "8" (uuid e8c1e033-17d8-4016-998a-dfee931f8f6c)) + (pin "3" (uuid b9ec4839-9417-4f56-b0f0-8a7c6b89810a)) + (pin "1" (uuid deff9702-92b3-4c9a-839c-891e6d4d7e8b)) + (pin "14" (uuid 2ea5f852-f6e8-4076-ae2e-93a3d5b0ced1)) + (pin "15" (uuid 4b37f4ad-d91f-4f64-b707-a2c8537a7ffb)) + (pin "16" (uuid 27269ccf-242c-4dbf-b6e7-ae92f9523d80)) + (pin "13" (uuid 39889afa-a3b1-4814-8c3c-73822f919d61)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "J5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 100.33 158.75 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 55c19823-2ea2-43ca-a91a-7e6067944ebc) + (property "Reference" "#PWR041" (at 93.98 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 96.52 158.75 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 100.33 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 100.33 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f6ad63e6-164a-449e-8f2e-ca9c29902b07)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR041") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 245.11 154.94 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 68faff87-7227-4541-91d5-9d0d2d1ffc31) + (property "Reference" "#PWR035" (at 238.76 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 241.3 154.94 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 245.11 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 245.11 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e34cf6e2-bbf4-4755-a97d-ba2cc09ad736)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR035") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 250.19 152.4 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 6e2d1f9b-54fd-40ca-9f8d-fc3bc7cab348) + (property "Reference" "J9" (at 251.46 140.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "X31_KONTRON" (at 251.46 143.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x05_P2.54mm_Vertical" (at 250.19 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 250.19 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "3" (uuid 9a78141d-63e9-4870-bb65-e9f5a8e811fe)) + (pin "2" (uuid 83ddb005-9aad-4022-9847-457d6ea02a79)) + (pin "1" (uuid 9310d472-0e3c-4b59-acb7-618e27a77b8c)) + (pin "4" (uuid fe0299b0-95aa-4a82-b6b8-ca0c81fc3c84)) + (pin "5" (uuid 3b624ad8-6864-4327-89e7-62f12c2ca957)) + (pin "10" (uuid 0fb92f1f-e950-4f22-a642-c9d62b6bec2f)) + (pin "7" (uuid 809b47eb-8413-448c-a741-84eada5d90f4)) + (pin "8" (uuid 740cf39c-2803-4617-b61d-b5e59da5ec69)) + (pin "9" (uuid d464e431-b133-4cdc-b9a5-839da4786b59)) + (pin "6" (uuid 1d2291f4-688c-4281-b31d-cd05056f6cac)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "J9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 251.46 31.75 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 75f6b21d-495f-46e2-9458-f202c360abdc) + (property "Reference" "#PWR034" (at 245.11 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 247.65 31.75 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 251.46 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 251.46 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0ccf6341-9320-46b5-83cb-38b8fda9b9b3)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR034") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x17_Odd_Even") (at 130.81 95.25 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8a3176d3-2030-4d33-b47f-81f60d5dfc30) + (property "Reference" "J10" (at 129.54 68.58 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "FLOPPY" (at 129.54 71.12 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_IDC:IDC-Header_2x17_P2.54mm_Vertical" (at 130.81 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 130.81 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4c1b19fa-47d8-4739-ada2-664a5e216e3c)) + (pin "16" (uuid 576aa58a-4d3c-41e1-97b2-28916f7b2dd4)) + (pin "15" (uuid 55c33a25-a83b-485c-be55-de152cf09a45)) + (pin "14" (uuid fc3053f4-cb0f-4f19-8df2-643b3ef9a2d6)) + (pin "12" (uuid 2e1e3b8d-7238-4538-8e26-3a385540960b)) + (pin "13" (uuid 074bc3fd-03e8-4306-bb13-c98430fe054d)) + (pin "17" (uuid 84a59abd-c285-4e0a-a7ef-6262cfd83ca4)) + (pin "19" (uuid 131d5dc1-a817-485b-a82d-f8d46f9b8ce3)) + (pin "20" (uuid fa72ccb2-ec8e-47df-92dd-b8ec225d42f5)) + (pin "10" (uuid c0969b77-4e60-442e-bcc8-b7cb9dd47204)) + (pin "11" (uuid b0ea73b5-b372-4302-b0c4-084565c65b22)) + (pin "21" (uuid 49a3b3cf-085c-4e66-bde8-2f11081f5bee)) + (pin "22" (uuid a96a2a23-ac83-4fbb-b2e7-96f45d9737e4)) + (pin "23" (uuid bd09f283-075c-496f-9c1f-c2d9d77b0766)) + (pin "24" (uuid 0f249246-65c2-45fe-ad02-53386099be8c)) + (pin "25" (uuid 91a443cd-6b0e-44e8-975b-3e2a6aa4fb64)) + (pin "26" (uuid 28012716-4ef3-43ee-8556-d8a3410c9c7c)) + (pin "27" (uuid 8a94018e-8a27-4e38-ae5e-ed299498c36c)) + (pin "28" (uuid 1e1d4982-e5cf-4d6b-a783-af88c2c1fc27)) + (pin "29" (uuid 39dd822b-d8dc-4a23-a901-2c2b63a5c880)) + (pin "3" (uuid 71afedd6-7dcf-481d-9f41-a0027ff7c3f4)) + (pin "30" (uuid fd7b36b4-8169-4abf-a20f-c8e75540abce)) + (pin "31" (uuid e49ee6e6-2a9a-4ee8-b351-d5535c33b865)) + (pin "32" (uuid 3bf67e39-4398-40df-873c-3ae4803607f9)) + (pin "33" (uuid 58bded55-e797-40ee-9df2-7795e1b45ddc)) + (pin "34" (uuid 09305a75-37ad-4883-aac3-bfe6b8444b6f)) + (pin "4" (uuid 6388a0f2-0f12-48f0-8838-4e636b4d093a)) + (pin "5" (uuid 393bfd36-1746-41cb-afa6-b4ccf4d96245)) + (pin "6" (uuid 9e351e69-f153-460b-a9b7-93d529c1cf33)) + (pin "7" (uuid db5f003d-7248-4f5f-a212-439bab139664)) + (pin "8" (uuid 71de801a-b76d-42d8-acf5-f95a0e1e41f1)) + (pin "9" (uuid 3bfb191b-1672-4d0c-99cf-fb5bd3f33a8f)) + (pin "2" (uuid 08ccce02-3339-4b7f-89f8-921308d9305d)) + (pin "18" (uuid 500a28af-adbe-459b-b648-4443f5197ecb)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "J10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 125.73 172.72 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 96ccf6f5-aaad-4e75-bd96-a4e8cb1e95bb) + (property "Reference" "#PWR039" (at 132.08 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 129.54 172.72 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 125.73 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 125.73 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8ae3b922-d2d5-4851-8b14-fb1e1b9486f2)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR039") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 125.73 185.42 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 9987ba87-8348-40c3-98d1-e261b6731fca) + (property "Reference" "#PWR040" (at 132.08 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 129.54 185.42 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 125.73 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 125.73 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f8f10d18-9469-4a2f-aa91-d8121a547808)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR040") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 109.22 34.29 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a04262b7-dd8b-4712-aafb-3d0671f04583) + (property "Reference" "#PWR026" (at 102.87 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 105.41 34.29 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 109.22 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ac13577e-7c3f-4e58-930b-2c68fe968955)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR026") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 80.01 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a28d81ab-3497-4bfa-86cc-206175f59430) + (property "Reference" "#PWR031" (at 80.01 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 80.01 64.77 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 80.01 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 80.01 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 728c0a5b-e860-46b3-afe9-d35bccece00c)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR031") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 125.73 137.16 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a2ed4995-6169-4948-991a-171c34203b61) + (property "Reference" "#PWR037" (at 132.08 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 129.54 137.16 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 125.73 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 125.73 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eb25e91f-80e3-40a6-b845-b4d9010fef13)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR037") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 69.85 31.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid aea5819b-9bb1-42a4-a72b-ef121052c4bc) + (property "Reference" "J6" (at 71.12 20.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "X10_KONTRON" (at 71.12 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x05_P2.54mm_Vertical" (at 69.85 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 69.85 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "5" (uuid 62fad437-881c-410c-bd43-9bcb76fe9aab)) + (pin "2" (uuid cd834d93-e559-4e0f-895a-98633a263130)) + (pin "9" (uuid bf980c58-6a23-41e1-9248-1e7e3972bdb5)) + (pin "6" (uuid 0ad4d088-8e0b-40c0-989a-576a707944e1)) + (pin "4" (uuid 5a28a830-e277-4234-892a-6f999e641966)) + (pin "7" (uuid d84c7b2b-20be-48d0-a025-ed53cc216bc4)) + (pin "10" (uuid a063d371-5006-4aab-a8c5-bb72b68ad10a)) + (pin "8" (uuid 99e1f058-ba13-4c50-bdf3-8464f4e9a283)) + (pin "3" (uuid 9b4db591-ecc1-4289-bcc6-690c1c2028c1)) + (pin "1" (uuid 72d22339-6d14-4a9b-b2b0-5d705f399b37)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "J6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x20_Odd_Even") (at 107.95 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid b157c0b8-403b-4663-9e33-9d72a9942a0c) + (property "Reference" "J11" (at 109.22 130.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "IDE" (at 109.22 133.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_IDC:IDC-Header_2x20_P2.54mm_Vertical" (at 107.95 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 107.95 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "22" (uuid 75b4a48c-9387-4ffc-8560-7e258c5d1c8c)) + (pin "15" (uuid 7a1c317c-2644-4f8f-841e-3b2d0a3498e3)) + (pin "36" (uuid 8b401207-ad06-45c1-836a-6c09952b4f4b)) + (pin "11" (uuid ac99076e-a908-48cb-8e01-f626e5648990)) + (pin "32" (uuid 7a0cadbd-f2c0-489d-ab95-d271a4cced8a)) + (pin "12" (uuid 065963e5-0ad0-4e71-9373-a2280af7af5d)) + (pin "34" (uuid edf5a5be-a25e-4ee6-9422-f008d9764a3c)) + (pin "33" (uuid b5a33156-b185-4300-a4ec-9a98b2ca1512)) + (pin "37" (uuid e5928f96-56b5-4d8a-9174-e4acbca2e8ab)) + (pin "35" (uuid 239d81e6-25fd-4e33-991a-a84d20090751)) + (pin "3" (uuid 6e5748f7-758c-4e49-86e5-6172581335a1)) + (pin "8" (uuid 33c13969-b552-441f-a193-48b4475d4ad9)) + (pin "6" (uuid c4b6af24-cb85-4767-b571-bd0f5b096d95)) + (pin "10" (uuid 1a4459ca-49fa-41e7-b4db-83b1aceac016)) + (pin "26" (uuid 87d0853f-448c-4eed-be58-c9f9e2aba896)) + (pin "21" (uuid f776b0f7-539e-4210-9ef5-0365ac2ecba3)) + (pin "39" (uuid 0539f62a-9c95-4044-a853-e693e099be17)) + (pin "31" (uuid 6dbe6a84-cc3e-4aca-b8a5-19539b06b71e)) + (pin "28" (uuid 64efab1f-c733-4e11-831e-2d45a0d50070)) + (pin "29" (uuid d1a71bf6-8b52-452a-848f-93d6a8da1020)) + (pin "9" (uuid da111afb-5e84-471b-ad9e-8d50b5d4073a)) + (pin "30" (uuid cfb60c8f-3f26-4af7-9ae4-bde149d5c0c7)) + (pin "1" (uuid 139b26fc-99a5-425b-8842-f2d0f54bda3d)) + (pin "7" (uuid 4d0ec3c7-3179-4f48-beba-fe2093289485)) + (pin "38" (uuid 6fa90b90-67ff-4ccb-b5d5-7cc5c498886a)) + (pin "5" (uuid 7a2aee11-8555-4970-b3f2-89d9eaaf65f5)) + (pin "40" (uuid 8076b48c-6561-4d46-af4a-869c4da82369)) + (pin "20" (uuid 72b58d51-60b3-4fd7-988b-adf908680a24)) + (pin "24" (uuid 223c9def-d92b-4571-9728-d340728fb8f3)) + (pin "27" (uuid 8ae70195-5196-4690-a7b0-b950e10da935)) + (pin "4" (uuid 9e821842-454c-4d4a-bc96-e23680484529)) + (pin "23" (uuid 6997ac5d-7cc2-48ac-892b-787e14b81677)) + (pin "14" (uuid 2f3f4e4e-8a28-485d-bebc-49f3ed6b3194)) + (pin "18" (uuid e18a8548-b0f6-439c-b72e-00071a4a0ee6)) + (pin "19" (uuid bcbccf83-c619-4ae1-8c25-c0a22ae89ba2)) + (pin "17" (uuid 3958ce1a-3a44-4854-bfef-4e09461ba7fc)) + (pin "13" (uuid a27f03e0-6859-494b-be66-7e8e15652873)) + (pin "16" (uuid 62a14e1f-7de5-4465-92da-7185b25cf089)) + (pin "2" (uuid 84235bc7-7a93-4b84-8306-efb2d1ba283e)) + (pin "25" (uuid 85b350c9-56ec-40df-bf0b-545072a06431)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "J11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 251.46 34.29 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid ba25d5f8-24bd-4df8-ba82-76fab4505ad2) + (property "Reference" "#PWR033" (at 255.27 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 247.65 34.29 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 251.46 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 251.46 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d9b93355-961f-40db-ad3a-03b60b25e941)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR033") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 109.22 29.21 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid d1c5c365-b800-4204-ac21-e04d11f6411a) + (property "Reference" "#PWR027" (at 102.87 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 105.41 29.21 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 109.22 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0ab5686a-af9e-4d08-9ded-53a940a26861)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR027") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 64.77 26.67 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid d66e03a5-0ad5-48fb-97b2-6deaa2594820) + (property "Reference" "#PWR032" (at 58.42 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 60.96 26.67 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 64.77 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 64.77 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2e51b146-4858-44d3-b063-b5f5e5a416ed)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR032") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 69.85 53.34 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid dd3bb28e-8e95-490c-a004-89ab944e363b) + (property "Reference" "J7" (at 71.12 41.91 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "VGA_VORTEX" (at 71.12 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_PinHeader_2.00mm:PinHeader_2x05_P2.00mm_Vertical" (at 69.85 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 69.85 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "5" (uuid 8a3ecf72-cc91-4482-bd61-14d472e03ac3)) + (pin "2" (uuid bd4f4909-c190-4401-b10f-3c19487bac23)) + (pin "9" (uuid 5fd9dfe0-20fd-43c2-8cee-1ef140f4340c)) + (pin "6" (uuid 8b10b05e-0415-41da-8cb1-ee8e9abdf3fe)) + (pin "4" (uuid d9306942-4b43-4c9f-a3d4-e7ae1b784ff3)) + (pin "7" (uuid adeec479-fc90-4e6b-bc70-07244971fb7f)) + (pin "10" (uuid e0929c61-47c1-4756-bf25-43082547c12b)) + (pin "8" (uuid 154dd39a-bef5-456c-8671-695d329d96a9)) + (pin "3" (uuid e6288a15-3bf4-4f93-8a51-de096c28c36b)) + (pin "1" (uuid c3fb9abb-2d70-4d6d-b18a-00e39d74311c)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "J7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:DE15_Receptacle_HighDensity_MountingHoles") (at 127 36.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f3fcbce0-ce03-4acb-a02b-b798e99267f2) + (property "Reference" "J4" (at 127 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "VGA" (at 127 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_Dsub:DSUB-15-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm" (at 102.87 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" " ~" (at 102.87 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "15" (uuid f9e7e7e4-f987-42bb-9da0-161a291552d3)) + (pin "2" (uuid 635972c2-dc36-414f-9e1d-d80622cd412d)) + (pin "13" (uuid 24d83b24-b077-4d0c-bd93-e4bb8b16e66a)) + (pin "12" (uuid dc132fec-0854-4b10-b41d-b8d5c8dfe0b4)) + (pin "11" (uuid 719cec60-eabb-4bca-add3-5ea7f8c7d4f2)) + (pin "5" (uuid 4d876dfa-1fa1-4400-9471-05a7d72a662e)) + (pin "14" (uuid eaea6dfe-6b3c-4553-8368-148f1b0fe3a0)) + (pin "4" (uuid 49754984-7816-42b5-9f0b-d068623198ed)) + (pin "3" (uuid 086c5818-84da-4627-8c12-87dbc64a10b8)) + (pin "8" (uuid 771a0c73-5089-4c86-89fa-cb07151b2f11)) + (pin "10" (uuid b2dcb832-2b65-46b2-add4-6d21dc2cf666)) + (pin "9" (uuid 52506b82-7b09-4a53-829f-73b3e4cda969)) + (pin "1" (uuid e7201515-1f45-493e-b2fe-34db95be92f8)) + (pin "7" (uuid f969768d-eac2-4bc3-8206-6ab83742c277)) + (pin "6" (uuid 3609a998-6580-4b30-b81a-af1e24302b1f)) + (pin "0" (uuid 02c5e949-3889-43b3-9ea3-d624d7192cf6)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "J4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 109.22 24.13 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid fbcdbf06-c959-4fcf-99bb-ee29609f1a44) + (property "Reference" "#PWR028" (at 102.87 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 105.41 24.13 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 109.22 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 06daaaac-8311-4b67-85fd-b49eadfe561d)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/c7fc1a65-b714-4f24-92ad-b3e970562fcd" + (reference "#PWR028") (unit 1) + ) + ) + ) + ) +) diff --git a/isa_slots.kicad_sch b/isa_slots.kicad_sch new file mode 100644 index 0000000..8aca729 --- /dev/null +++ b/isa_slots.kicad_sch @@ -0,0 +1,4256 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 727fc2f4-bc03-4550-8c49-cc757d595ca1) + + (paper "A4") + + (title_block + (title "ISA slots") + ) + + (lib_symbols + (symbol "Connector:Bus_ISA_16bit" (in_bom yes) (on_board yes) + (property "Reference" "J" (at 0 67.945 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_ISA_16bit" (at 0 -65.405 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://en.wikipedia.org/wiki/Industry_Standard_Architecture" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "ISA" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "16-bit ISA-AT bus connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bus_ISA_16bit_0_1" + (rectangle (start -15.24 -63.5) (end 15.24 66.04) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "Bus_ISA_16bit_1_1" + (pin power_in line (at -17.78 63.5 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 40.64 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 38.1 0) (length 2.54) + (name "~{SMEMW}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 35.56 0) (length 2.54) + (name "~{SMEMR}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 33.02 0) (length 2.54) + (name "~{IOW}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 30.48 0) (length 2.54) + (name "~{IOR}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 27.94 0) (length 2.54) + (name "~{DACK3}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 25.4 0) (length 2.54) + (name "DRQ3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 22.86 0) (length 2.54) + (name "~{DACK1}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 20.32 0) (length 2.54) + (name "DRQ1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 17.78 0) (length 2.54) + (name "~{REFRESH}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 60.96 0) (length 2.54) + (name "RESET" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 15.24 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 12.7 0) (length 2.54) + (name "IRQ7" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 10.16 0) (length 2.54) + (name "IRQ6" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 7.62 0) (length 2.54) + (name "IRQ5" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 5.08 0) (length 2.54) + (name "IRQ4" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 2.54 0) (length 2.54) + (name "IRQ3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 0 0) (length 2.54) + (name "~{DACK2}" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -2.54 0) (length 2.54) + (name "TC" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -5.08 0) (length 2.54) + (name "ALE" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -7.62 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 58.42 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -10.16 0) (length 2.54) + (name "OSC" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -12.7 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 63.5 180) (length 2.54) + (name "IO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 60.96 180) (length 2.54) + (name "DB7" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 58.42 180) (length 2.54) + (name "DB6" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 55.88 180) (length 2.54) + (name "DB5" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 53.34 180) (length 2.54) + (name "DB4" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 50.8 180) (length 2.54) + (name "DB3" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 48.26 180) (length 2.54) + (name "DB2" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 45.72 180) (length 2.54) + (name "DB1" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 55.88 0) (length 2.54) + (name "IRQ2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 43.18 180) (length 2.54) + (name "DB0" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 40.64 180) (length 2.54) + (name "IO_READY" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 38.1 180) (length 2.54) + (name "AEN" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 35.56 180) (length 2.54) + (name "BA19" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 33.02 180) (length 2.54) + (name "BA18" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 30.48 180) (length 2.54) + (name "BA17" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 27.94 180) (length 2.54) + (name "BA16" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 25.4 180) (length 2.54) + (name "BA15" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 22.86 180) (length 2.54) + (name "BA14" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 20.32 180) (length 2.54) + (name "BA13" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 53.34 0) (length 2.54) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 17.78 180) (length 2.54) + (name "BA12" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 15.24 180) (length 2.54) + (name "BA11" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 12.7 180) (length 2.54) + (name "BA10" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 10.16 180) (length 2.54) + (name "BA09" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 7.62 180) (length 2.54) + (name "BA08" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 5.08 180) (length 2.54) + (name "BA07" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 2.54 180) (length 2.54) + (name "BA06" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 0 180) (length 2.54) + (name "BA05" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -2.54 180) (length 2.54) + (name "BA04" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -5.08 180) (length 2.54) + (name "BA03" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 50.8 0) (length 2.54) + (name "DRQ2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -7.62 180) (length 2.54) + (name "BA02" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -10.16 180) (length 2.54) + (name "BA01" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -12.7 180) (length 2.54) + (name "BA00" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -17.78 0) (length 2.54) + (name "~{MEMCS16}" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -20.32 0) (length 2.54) + (name "~{IOCS16}" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -22.86 0) (length 2.54) + (name "IRQ10" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -25.4 0) (length 2.54) + (name "IRQ11" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -27.94 0) (length 2.54) + (name "IRQ12" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -30.48 0) (length 2.54) + (name "IRQ15" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -33.02 0) (length 2.54) + (name "IRQ14" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 48.26 0) (length 2.54) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -35.56 0) (length 2.54) + (name "~{DACK0}" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -38.1 0) (length 2.54) + (name "DRQ0" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -40.64 0) (length 2.54) + (name "~{DACK5}" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -43.18 0) (length 2.54) + (name "DRQ5" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -45.72 0) (length 2.54) + (name "~{DACK6}" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -48.26 0) (length 2.54) + (name "DRQ6" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -50.8 0) (length 2.54) + (name "~{DACK7}" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -53.34 0) (length 2.54) + (name "DRQ7" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -55.88 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -58.42 0) (length 2.54) + (name "MASTER" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 45.72 0) (length 2.54) + (name "UNUSED" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -60.96 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -17.78 180) (length 2.54) + (name "SBHE" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -20.32 180) (length 2.54) + (name "LA23" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -22.86 180) (length 2.54) + (name "LA22" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -25.4 180) (length 2.54) + (name "LA21" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -27.94 180) (length 2.54) + (name "LA20" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -30.48 180) (length 2.54) + (name "LA19" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -33.02 180) (length 2.54) + (name "LA18" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -35.56 180) (length 2.54) + (name "LA17" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -38.1 180) (length 2.54) + (name "~{MEMR}" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 43.18 0) (length 2.54) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -40.64 180) (length 2.54) + (name "~{MEMW}" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -43.18 180) (length 2.54) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -45.72 180) (length 2.54) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -48.26 180) (length 2.54) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -50.8 180) (length 2.54) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -53.34 180) (length 2.54) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -55.88 180) (length 2.54) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -58.42 180) (length 2.54) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -60.96 180) (length 2.54) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+12V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+12V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+12V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:-12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-12V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-12V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-12V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + ) + (symbol "power:-5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-5V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-5V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + + (bus_entry (at 99.06 29.21) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 00ba4a36-b8bc-4cc1-a547-b56ec348ced1) + ) + (bus_entry (at 191.77 115.57) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0167a4fd-ae89-4277-9833-a3189e109ce7) + ) + (bus_entry (at 133.35 80.01) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0224cb08-2812-47f2-b241-589dcea62b1c) + ) + (bus_entry (at 99.06 110.49) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 02430a9a-8fb3-43e5-9fba-10cfaf87c332) + ) + (bus_entry (at 191.77 52.07) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 04ba58aa-c37c-4de2-a2f6-53666e0d96c6) + ) + (bus_entry (at 191.77 130.81) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 050135b1-3adc-4cb0-8e8f-cf5729a69d0e) + ) + (bus_entry (at 40.64 125.73) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0503f6fa-ee5f-45b6-bc62-00689f667dbe) + ) + (bus_entry (at 191.77 120.65) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 052aecbe-0393-4947-b45c-64e258f258b5) + ) + (bus_entry (at 40.64 72.39) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 096a1b58-f2c3-422e-80d7-f4f8ed122974) + ) + (bus_entry (at 191.77 138.43) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0a98f021-e885-4049-aa1e-82f8522bb03b) + ) + (bus_entry (at 133.35 59.69) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0ab9d99d-93e1-453d-89a2-149f4d38a574) + ) + (bus_entry (at 99.06 26.67) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0b0d9e65-3737-4478-8730-86ef08f50cd5) + ) + (bus_entry (at 191.77 74.93) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0b854e06-d6b9-4f5c-b76b-873e2dffe1f2) + ) + (bus_entry (at 191.77 110.49) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0bfc441b-2893-41aa-8485-7dcda7c540b1) + ) + (bus_entry (at 191.77 107.95) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 111ece4b-2e38-4f03-a7c6-e56ac71d7d69) + ) + (bus_entry (at 40.64 130.81) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 129a0dbf-75cd-4874-98bb-ddee2aa546b5) + ) + (bus_entry (at 133.35 148.59) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1340523e-aef8-49cd-852f-2c66aad8d50e) + ) + (bus_entry (at 40.64 57.15) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 14a6b93d-0f36-4a0a-b28d-5353b81c57da) + ) + (bus_entry (at 133.35 74.93) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 173da09b-409f-4363-8ba2-50020358b132) + ) + (bus_entry (at 40.64 52.07) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 18b572cd-2f6b-4de1-ad4a-8156cbef49fd) + ) + (bus_entry (at 133.35 87.63) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1c0ef8e7-f588-4380-81cd-4180f2435e41) + ) + (bus_entry (at 99.06 67.31) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1d6c40e0-ab59-488d-a8a4-155580433e16) + ) + (bus_entry (at 99.06 52.07) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1db8ac87-e56a-4109-b60c-f0cecc9e0cff) + ) + (bus_entry (at 99.06 92.71) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 24f618f9-c455-49aa-9c7f-e840198732e5) + ) + (bus_entry (at 191.77 140.97) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 24ffbbfa-7d8b-4400-8eef-57c7b0513534) + ) + (bus_entry (at 191.77 67.31) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 26dad73b-54d9-4190-a21f-5cb0a930b79c) + ) + (bus_entry (at 191.77 85.09) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 26ecbec0-f94c-4ce3-aa7f-3d4bd18f066b) + ) + (bus_entry (at 40.64 128.27) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 29a5ec02-2ab4-4d88-9a83-8c9dabf2900f) + ) + (bus_entry (at 40.64 95.25) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2b3cf2c2-5526-4d16-966e-67cbb1c72bfe) + ) + (bus_entry (at 191.77 87.63) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2c1ea7b3-dc76-41a5-b0d2-56366b43dc2a) + ) + (bus_entry (at 99.06 44.45) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2d64a3b8-56f5-4bfa-b893-a49cdc11d6a8) + ) + (bus_entry (at 191.77 80.01) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2d970cf8-a694-4b1a-8655-a05f66a89af0) + ) + (bus_entry (at 191.77 123.19) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2e421da1-b0b1-4007-811e-689db0e6ef69) + ) + (bus_entry (at 40.64 29.21) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2f218a97-8f18-4553-8a39-7365c26852f9) + ) + (bus_entry (at 191.77 26.67) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 310c2400-4d00-40cb-873a-9f8c57833aa9) + ) + (bus_entry (at 40.64 80.01) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 32803b1d-446a-4b66-91ce-a49f9ae3fd72) + ) + (bus_entry (at 191.77 95.25) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3292766d-0f74-4259-9279-446fbb18ba09) + ) + (bus_entry (at 40.64 118.11) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3320911b-fce2-4961-a143-a7a59a7c32dd) + ) + (bus_entry (at 191.77 44.45) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3381a4b5-44aa-4f23-ab40-27dad38dd6cc) + ) + (bus_entry (at 133.35 90.17) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 349fcddb-0623-4bf1-a063-35df9a32fb0c) + ) + (bus_entry (at 191.77 29.21) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 35f22fed-ed69-41be-9694-c9b30597f9f5) + ) + (bus_entry (at 99.06 125.73) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 36f9f46d-5a27-4039-80cb-d50462de699a) + ) + (bus_entry (at 191.77 92.71) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3b3079e2-8943-48f9-b345-0d261fd43067) + ) + (bus_entry (at 133.35 29.21) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3b331983-1a08-41ad-8d50-485536ffdfaa) + ) + (bus_entry (at 133.35 133.35) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3bfb51e9-af52-4709-830d-21d6cb62a182) + ) + (bus_entry (at 133.35 67.31) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3db68c2d-dbce-4fb7-8c05-753d5f84340d) + ) + (bus_entry (at 133.35 118.11) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4323061b-fc82-4e41-aa26-c7b2e95dbad8) + ) + (bus_entry (at 99.06 102.87) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4b257280-74c0-4e6e-8e5a-9f99b717afa3) + ) + (bus_entry (at 133.35 100.33) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4c1e8e2b-1046-4ae2-a1ee-bbd02a5eee90) + ) + (bus_entry (at 191.77 125.73) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4c7083a8-ac4c-4515-ad5b-2e11ea78df8f) + ) + (bus_entry (at 40.64 62.23) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4d629152-c92b-4744-ad6c-8c2c9891d257) + ) + (bus_entry (at 191.77 97.79) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4e132faf-62d5-48e6-863f-636101aa8e15) + ) + (bus_entry (at 191.77 102.87) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4e1c7642-1f73-4dbf-afa0-f484cbf3f5b1) + ) + (bus_entry (at 191.77 34.29) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 53434b31-a7fb-402a-b737-8586f1fd08bb) + ) + (bus_entry (at 99.06 113.03) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5373df29-26de-40d9-b5af-44a25870ca44) + ) + (bus_entry (at 133.35 128.27) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 55190dee-9713-4144-8555-fc77fb7758ee) + ) + (bus_entry (at 191.77 113.03) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 551960dd-e09d-4280-95a3-d8975dd78afd) + ) + (bus_entry (at 99.06 64.77) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 56686fcd-24a5-4475-9af7-026629276b43) + ) + (bus_entry (at 191.77 39.37) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 56fc4c3d-8ecc-4488-a3e8-c81d46de034f) + ) + (bus_entry (at 191.77 118.11) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 57a1b959-4d0f-4b9d-be13-09a16cfd0042) + ) + (bus_entry (at 99.06 77.47) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 584beec2-86f7-4e7a-a10e-f79784699a53) + ) + (bus_entry (at 133.35 54.61) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 58ca295f-b4d3-4d7a-bd51-7427d9076a52) + ) + (bus_entry (at 191.77 64.77) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 58dbc728-548d-4b4b-a71f-ed2633f2f88b) + ) + (bus_entry (at 99.06 31.75) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 58e737ef-2638-42e3-b829-be41f95c1e7c) + ) + (bus_entry (at 99.06 34.29) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 59991535-c574-4bbd-8b76-b6a16e8a362d) + ) + (bus_entry (at 99.06 123.19) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5ba31600-7fc6-4845-a780-8579ebd04558) + ) + (bus_entry (at 99.06 151.13) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5ca32831-537d-4140-8cc8-87370351d7cf) + ) + (bus_entry (at 191.77 57.15) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5ff497b0-0be0-4c0f-bbbb-d568a5045c59) + ) + (bus_entry (at 40.64 87.63) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 60ab286d-63a8-4ae0-a1e6-c1a91ec62f13) + ) + (bus_entry (at 133.35 39.37) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 61ff3312-51cd-4fad-a690-3181fe812760) + ) + (bus_entry (at 133.35 52.07) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 623c5d33-94e4-49f4-80b2-11443510c65a) + ) + (bus_entry (at 99.06 59.69) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6316e6f0-af21-4763-ad5f-4a3e301f1154) + ) + (bus_entry (at 40.64 110.49) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6447afd3-3aa1-4980-a75a-456d5cdaf958) + ) + (bus_entry (at 99.06 135.89) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 64ce610a-8186-4d78-9f9a-7441740555c7) + ) + (bus_entry (at 133.35 110.49) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6571883a-7ddd-4e81-93e8-e38ba0dfbe53) + ) + (bus_entry (at 133.35 125.73) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 66c7dadc-4bbb-4823-a1f3-83c47a7c6f22) + ) + (bus_entry (at 40.64 74.93) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6839cb3d-04ba-400e-8838-104ed481fc34) + ) + (bus_entry (at 99.06 41.91) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6c9e267c-8a1f-4bd0-b57b-f9c9e33dd068) + ) + (bus_entry (at 99.06 130.81) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6f63fdb1-1a8a-489a-b92f-4234ce4afc68) + ) + (bus_entry (at 99.06 90.17) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 755cedac-2fec-4ca7-b8ef-e2341c9054e4) + ) + (bus_entry (at 133.35 107.95) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 76097be4-e194-491a-b3db-2ce55e9786dd) + ) + (bus_entry (at 40.64 90.17) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 76606bdf-fe62-4721-984b-563ee928bac7) + ) + (bus_entry (at 99.06 36.83) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 79b24be2-b1cb-42f7-81d6-4c7a7ac4c984) + ) + (bus_entry (at 133.35 143.51) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7b83ab3d-a48d-4e9e-92ed-14915af03c1b) + ) + (bus_entry (at 40.64 120.65) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7c115ebc-12f5-41dc-aff6-e3779fcce882) + ) + (bus_entry (at 99.06 80.01) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7c87d45f-8832-446b-9dba-28096d66e64f) + ) + (bus_entry (at 133.35 77.47) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7ddf2306-8162-4ddf-8476-1b64b89ccfe4) + ) + (bus_entry (at 99.06 72.39) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7e0fd5ab-b9a1-4698-95fa-cd4e9f39e815) + ) + (bus_entry (at 133.35 62.23) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7e780770-298e-4a34-a8ca-514be9d54835) + ) + (bus_entry (at 40.64 59.69) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 82b079e0-e82d-44a1-b054-055f9a4fe513) + ) + (bus_entry (at 133.35 92.71) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 85301152-87d9-43d7-abd1-51082dda8bfc) + ) + (bus_entry (at 133.35 113.03) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 856868f2-20f6-418c-a243-09be986f0cdd) + ) + (bus_entry (at 40.64 140.97) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 87db2d4c-1722-401b-824b-91814fe0e89a) + ) + (bus_entry (at 191.77 31.75) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 880bd19b-bd54-42bf-a4c9-d8566f54ecd5) + ) + (bus_entry (at 40.64 69.85) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 89d49e26-6c55-4ab0-abe5-279e862af3cc) + ) + (bus_entry (at 191.77 82.55) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8a32165b-d019-45ac-bd99-3035ebdd7b64) + ) + (bus_entry (at 99.06 39.37) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8a361152-9c6d-4487-8944-f16b405d72a2) + ) + (bus_entry (at 191.77 41.91) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8ad60597-6107-431f-86e4-501725452995) + ) + (bus_entry (at 40.64 67.31) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8af2ce99-aa3d-4d59-b96c-9ef2903a9938) + ) + (bus_entry (at 99.06 87.63) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8cba92f9-a64c-4baf-888b-807287e91091) + ) + (bus_entry (at 191.77 72.39) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8cd20306-1d5c-4129-a449-50a6bc75fbd2) + ) + (bus_entry (at 133.35 64.77) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8d55898e-debc-4226-b596-72e629d6d836) + ) + (bus_entry (at 191.77 49.53) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 917d5ddc-8247-4f41-b500-61b5480301d5) + ) + (bus_entry (at 40.64 133.35) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 93692e6c-e1d4-4ffe-b266-810250399507) + ) + (bus_entry (at 133.35 140.97) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9577d97a-cd56-4a0e-8cbe-08b82bbc1285) + ) + (bus_entry (at 99.06 143.51) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 97ae9f19-059d-4524-84fb-87c10ad3a967) + ) + (bus_entry (at 40.64 39.37) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 988b6c34-7427-4eca-855c-d29d9e6518da) + ) + (bus_entry (at 191.77 148.59) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9a575964-453a-4122-a3d5-f26f22d6dc4b) + ) + (bus_entry (at 99.06 140.97) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9b08d47d-0c80-4982-beed-e1cc6123fd05) + ) + (bus_entry (at 99.06 74.93) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9eb1b13f-231e-4342-8a83-44aa43439bfe) + ) + (bus_entry (at 133.35 123.19) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a250f5ad-94fe-49b4-96d2-b4c21c241495) + ) + (bus_entry (at 99.06 118.11) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a4d9adfa-8f67-4533-89cd-a149643f5e66) + ) + (bus_entry (at 133.35 69.85) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a5553eeb-066e-4837-896b-89bceef753fc) + ) + (bus_entry (at 191.77 143.51) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a6226b5a-2901-4b05-bc0b-930795bbb16e) + ) + (bus_entry (at 40.64 54.61) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a6336c25-fb63-4320-ab53-eebb5133b691) + ) + (bus_entry (at 191.77 54.61) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid abda2553-93a9-435b-8878-93485899b3fa) + ) + (bus_entry (at 40.64 107.95) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid aca648eb-343b-4418-8f48-c67b4f8ce43e) + ) + (bus_entry (at 99.06 46.99) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid acb7fe98-99f4-4acc-a493-6f874f46163a) + ) + (bus_entry (at 99.06 146.05) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid af4bdbfc-0d67-4a77-bbad-0e0c7fb01fbc) + ) + (bus_entry (at 191.77 151.13) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b04fbcb2-8233-4057-84ab-28539158bbad) + ) + (bus_entry (at 99.06 82.55) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b0e9b87b-eae2-47ed-9f98-3e00e49c29f3) + ) + (bus_entry (at 133.35 95.25) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b0fb658b-44fb-4948-80f7-ed6fb37c11e0) + ) + (bus_entry (at 191.77 36.83) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b4878bd8-48b7-439a-8bd3-e7ea332a4710) + ) + (bus_entry (at 99.06 54.61) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b5d2b2ce-17e2-4209-a655-91b8694cc9c1) + ) + (bus_entry (at 133.35 115.57) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b5d5098e-51c4-418b-bc49-c948a0fa9dd5) + ) + (bus_entry (at 191.77 135.89) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b688e738-bbcf-4489-8d63-273cf57bb897) + ) + (bus_entry (at 99.06 107.95) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b6d63ec4-c4af-43e0-92d7-e4cc8a51ad9f) + ) + (bus_entry (at 40.64 77.47) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b6f2a340-8b10-46b2-acfe-01aa5e86643d) + ) + (bus_entry (at 40.64 135.89) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b713ef09-f50a-4191-9c94-0dba6d5c5adb) + ) + (bus_entry (at 40.64 34.29) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid babbb3dc-1ac4-4a53-9341-e037f72996b1) + ) + (bus_entry (at 99.06 69.85) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid bc745d6e-77b8-4611-beff-9c76ca9d4948) + ) + (bus_entry (at 99.06 97.79) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid bf022b68-df3d-4c9c-87df-448d0860cd4f) + ) + (bus_entry (at 99.06 85.09) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c2dd1695-e8c4-406d-aa08-d2ec75d46b3f) + ) + (bus_entry (at 99.06 133.35) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c2eb0074-9d01-4216-b110-8b891cc7436d) + ) + (bus_entry (at 40.64 123.19) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c31f08ca-617a-47ec-97d0-4f5731b01611) + ) + (bus_entry (at 40.64 113.03) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c36ca517-27fa-480e-8b0b-1659244902c5) + ) + (bus_entry (at 99.06 49.53) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c40fde03-f3a0-461f-a72b-b26fca7d3ff3) + ) + (bus_entry (at 133.35 82.55) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c45e9b44-f5fd-4d35-b0b6-914948afbdd2) + ) + (bus_entry (at 40.64 92.71) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c5745f00-e207-4256-ac69-a2235c108595) + ) + (bus_entry (at 99.06 100.33) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c693d968-6bbd-42be-8a5a-46aa708bcc33) + ) + (bus_entry (at 191.77 77.47) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c91c3170-c800-4415-9049-c84f1a3484c1) + ) + (bus_entry (at 191.77 46.99) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c96516bd-2212-4118-be0c-7e91b98a1417) + ) + (bus_entry (at 99.06 115.57) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid cba86635-10c5-46d8-9221-3dcde61f907f) + ) + (bus_entry (at 40.64 85.09) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ceb86548-8241-4676-992f-828496e7f1ac) + ) + (bus_entry (at 133.35 138.43) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d1588705-ec35-40c5-9ef3-aa18241fed34) + ) + (bus_entry (at 191.77 133.35) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid d4be4b82-b5ae-4044-91fb-2e004d549ff7) + ) + (bus_entry (at 99.06 120.65) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid d6176390-1e58-400c-95e1-a6185d004927) + ) + (bus_entry (at 191.77 128.27) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid d68256b5-832f-447f-a5b9-4024da87c5c6) + ) + (bus_entry (at 191.77 62.23) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid d8e27969-b18b-41be-8c79-faa0f28f3437) + ) + (bus_entry (at 133.35 85.09) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid da58e842-aee6-4a15-b1cd-47b19c40d62b) + ) + (bus_entry (at 191.77 59.69) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid dbd5e758-5e6c-4458-b941-aad727c18841) + ) + (bus_entry (at 191.77 146.05) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid ddf08690-f722-4a5f-b665-5ce11773ae27) + ) + (bus_entry (at 133.35 120.65) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid df56b682-cc12-4eeb-899a-4d0908f18194) + ) + (bus_entry (at 99.06 128.27) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid df582123-9cd8-444b-adcc-27d85729cf34) + ) + (bus_entry (at 40.64 115.57) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid dfb74bf1-74d9-4d71-9f00-5071e8e92727) + ) + (bus_entry (at 40.64 138.43) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e12a619a-b1a8-42eb-94bc-dd704665150b) + ) + (bus_entry (at 133.35 130.81) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e494690a-8367-4c0a-887b-369828f3d931) + ) + (bus_entry (at 99.06 95.25) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e6162176-50f5-4f7b-aaca-22070781fa68) + ) + (bus_entry (at 40.64 100.33) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e6ed6706-8b12-4bd5-8416-3ac60d97178c) + ) + (bus_entry (at 133.35 72.39) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e7f80a1c-755a-4ab3-9b25-98320359314e) + ) + (bus_entry (at 133.35 135.89) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid eb2c5cf4-3fc5-42a2-a398-3c81cc9f09c4) + ) + (bus_entry (at 133.35 57.15) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ef5f7161-4c1f-42c2-9057-0e16b8248aed) + ) + (bus_entry (at 99.06 138.43) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f10ecc27-5646-40f4-8ba7-6e0df1ef40f1) + ) + (bus_entry (at 191.77 90.17) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f1175d48-e9a6-46a5-a5cf-5185d5b3ede3) + ) + (bus_entry (at 191.77 69.85) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f1322619-70f7-4036-876b-467ef05d3716) + ) + (bus_entry (at 99.06 148.59) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f3b434f0-8314-45b2-b7c4-6e38572fd14e) + ) + (bus_entry (at 133.35 34.29) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f3e76137-27eb-4adc-b3b4-f28668c354b7) + ) + (bus_entry (at 40.64 64.77) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f401c504-4054-4e7c-ab43-242521c5b793) + ) + (bus_entry (at 99.06 57.15) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f45199c2-9cba-4197-a36b-643bd386643c) + ) + (bus_entry (at 99.06 62.23) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f989a811-5d57-46a0-9694-005d6529dc18) + ) + (bus_entry (at 40.64 143.51) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid fa8407f1-6829-42fc-a5ab-3e562e42623a) + ) + (bus_entry (at 191.77 100.33) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid fafb2b6d-ada8-4be8-8331-d07cd56f1c0e) + ) + (bus_entry (at 40.64 82.55) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid fcf709ac-f625-4d71-8c14-b066ce3133af) + ) + (bus_entry (at 40.64 148.59) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ff621d12-3eca-4ea6-ba63-cf986ae54bce) + ) + + (bus (pts (xy 133.35 113.03) (xy 133.35 115.57)) + (stroke (width 0) (type default)) + (uuid 00563c0f-f7db-4bc0-8e6c-bfbe12937c52) + ) + (bus (pts (xy 99.06 120.65) (xy 99.06 123.19)) + (stroke (width 0) (type default)) + (uuid 0073b2da-2a34-4003-9e98-079aa0aa3bf1) + ) + + (wire (pts (xy 180.34 41.91) (xy 189.23 41.91)) + (stroke (width 0) (type default)) + (uuid 009eec16-b407-4fbb-b8e0-57dbb3f291c5) + ) + (bus (pts (xy 40.64 77.47) (xy 40.64 80.01)) + (stroke (width 0) (type default)) + (uuid 010fd858-f111-4d59-bf3f-9e66151790b9) + ) + (bus (pts (xy 40.64 82.55) (xy 40.64 85.09)) + (stroke (width 0) (type default)) + (uuid 01dbcdd5-0039-4096-8d60-743974fd2edf) + ) + (bus (pts (xy 40.64 67.31) (xy 40.64 69.85)) + (stroke (width 0) (type default)) + (uuid 025ebac6-95a4-4933-aa8d-09df4bd0772e) + ) + + (wire (pts (xy 43.18 133.35) (xy 52.07 133.35)) + (stroke (width 0) (type default)) + (uuid 02722edd-c099-4ce4-9859-e35ca9e11c71) + ) + (wire (pts (xy 135.89 87.63) (xy 144.78 87.63)) + (stroke (width 0) (type default)) + (uuid 0277d417-6a53-4f51-80d5-b07c9e24044f) + ) + (bus (pts (xy 133.35 135.89) (xy 133.35 138.43)) + (stroke (width 0) (type default)) + (uuid 0386b2a4-2cb8-4518-95e5-7663c6d2c29d) + ) + + (wire (pts (xy 180.34 151.13) (xy 189.23 151.13)) + (stroke (width 0) (type default)) + (uuid 03a99943-e620-4b76-bfab-a197cbeee085) + ) + (bus (pts (xy 99.06 125.73) (xy 99.06 128.27)) + (stroke (width 0) (type default)) + (uuid 04584aa0-0cba-4616-aa9b-bfe4805b9df7) + ) + + (wire (pts (xy 135.89 59.69) (xy 144.78 59.69)) + (stroke (width 0) (type default)) + (uuid 04cc1039-3bc9-4e39-b5b6-236cdd2f4dde) + ) + (wire (pts (xy 135.89 97.79) (xy 144.78 97.79)) + (stroke (width 0) (type default)) + (uuid 05415ff5-60af-47ce-aa78-e28bbd885148) + ) + (wire (pts (xy 43.18 67.31) (xy 52.07 67.31)) + (stroke (width 0) (type default)) + (uuid 072720ed-9055-4561-ba67-1df0fa25d950) + ) + (wire (pts (xy 87.63 44.45) (xy 96.52 44.45)) + (stroke (width 0) (type default)) + (uuid 07899120-1298-4975-913e-c9ef445c9c3c) + ) + (bus (pts (xy 40.64 54.61) (xy 40.64 57.15)) + (stroke (width 0) (type default)) + (uuid 09dbfc21-3564-4bb2-b288-071df3c18bac) + ) + (bus (pts (xy 40.64 120.65) (xy 40.64 123.19)) + (stroke (width 0) (type default)) + (uuid 0aa37824-6862-461a-a6e3-57b4c2895b11) + ) + + (wire (pts (xy 87.63 151.13) (xy 96.52 151.13)) + (stroke (width 0) (type default)) + (uuid 0dd34794-310c-4db2-9fd6-61f43adb4a0b) + ) + (bus (pts (xy 128.27 25.4) (xy 133.35 25.4)) + (stroke (width 0) (type default)) + (uuid 0e202f15-818b-4ccb-8640-77ffc079b1a7) + ) + (bus (pts (xy 40.64 57.15) (xy 40.64 59.69)) + (stroke (width 0) (type default)) + (uuid 0fd10537-c99b-4484-88e7-781c4d5353ed) + ) + + (wire (pts (xy 135.89 67.31) (xy 144.78 67.31)) + (stroke (width 0) (type default)) + (uuid 103fb941-d8f6-4dd4-9b3a-09c6da199f65) + ) + (wire (pts (xy 180.34 57.15) (xy 189.23 57.15)) + (stroke (width 0) (type default)) + (uuid 114b2f82-57a1-4dd5-b5d3-4ebe03320586) + ) + (bus (pts (xy 99.06 143.51) (xy 99.06 146.05)) + (stroke (width 0) (type default)) + (uuid 116ed613-53ba-44d6-b817-463eacc40cbb) + ) + + (wire (pts (xy 43.18 110.49) (xy 52.07 110.49)) + (stroke (width 0) (type default)) + (uuid 11965630-4246-49a9-8042-98d68020688c) + ) + (bus (pts (xy 40.64 128.27) (xy 40.64 130.81)) + (stroke (width 0) (type default)) + (uuid 12af7594-c857-4bf8-b112-a33b1ada35ee) + ) + + (wire (pts (xy 140.97 34.29) (xy 144.78 34.29)) + (stroke (width 0) (type default)) + (uuid 138c96f5-fd14-4d5d-955d-1fbea910a944) + ) + (bus (pts (xy 133.35 54.61) (xy 133.35 57.15)) + (stroke (width 0) (type default)) + (uuid 13c02f63-23c8-423f-9c9e-0fd6f9d58b06) + ) + + (wire (pts (xy 135.89 115.57) (xy 144.78 115.57)) + (stroke (width 0) (type default)) + (uuid 13c522f4-8cce-42d9-a3a9-d1799632b46d) + ) + (bus (pts (xy 40.64 80.01) (xy 40.64 82.55)) + (stroke (width 0) (type default)) + (uuid 13e55e31-88cb-4e0c-8245-e5451a11aff4) + ) + + (wire (pts (xy 135.89 77.47) (xy 144.78 77.47)) + (stroke (width 0) (type default)) + (uuid 14290c42-67fa-47b0-a923-26fc1e03e376) + ) + (bus (pts (xy 191.77 95.25) (xy 191.77 97.79)) + (stroke (width 0) (type default)) + (uuid 1435870c-8e06-4440-aaf2-86f4978df41a) + ) + + (wire (pts (xy 43.18 41.91) (xy 52.07 41.91)) + (stroke (width 0) (type default)) + (uuid 1533a875-15a5-4de8-a66c-7d96b8e0331f) + ) + (wire (pts (xy 135.89 110.49) (xy 144.78 110.49)) + (stroke (width 0) (type default)) + (uuid 1591fe4f-ab10-4f4c-9c11-3217582a79b6) + ) + (bus (pts (xy 191.77 36.83) (xy 191.77 39.37)) + (stroke (width 0) (type default)) + (uuid 15e66b61-7077-4f96-b197-bb8169d5a5cd) + ) + + (wire (pts (xy 135.89 123.19) (xy 144.78 123.19)) + (stroke (width 0) (type default)) + (uuid 16a19686-ad14-47f6-946a-326928b5569c) + ) + (bus (pts (xy 191.77 80.01) (xy 191.77 82.55)) + (stroke (width 0) (type default)) + (uuid 16eaff0a-76c9-4738-a1e8-ddc462cc176b) + ) + (bus (pts (xy 133.35 120.65) (xy 133.35 123.19)) + (stroke (width 0) (type default)) + (uuid 16faa363-0811-40bf-ac5a-3a64d01a9321) + ) + + (wire (pts (xy 180.34 146.05) (xy 189.23 146.05)) + (stroke (width 0) (type default)) + (uuid 184036ac-2e33-4267-ae46-e07d2c0d1db8) + ) + (wire (pts (xy 87.63 72.39) (xy 96.52 72.39)) + (stroke (width 0) (type default)) + (uuid 1b025b0d-1c78-445a-8e7c-5074f12681b2) + ) + (bus (pts (xy 99.06 80.01) (xy 99.06 82.55)) + (stroke (width 0) (type default)) + (uuid 1b6e086c-e15a-4e2b-bb56-51be0b27aef2) + ) + + (wire (pts (xy 180.34 153.67) (xy 189.23 153.67)) + (stroke (width 0) (type default)) + (uuid 1bbb497b-d0d6-4f0c-8f56-967767c5e52c) + ) + (wire (pts (xy 48.26 147.32) (xy 52.07 147.32)) + (stroke (width 0) (type default)) + (uuid 1c306892-7590-41a1-9e5a-9fc7308df311) + ) + (wire (pts (xy 43.18 113.03) (xy 52.07 113.03)) + (stroke (width 0) (type default)) + (uuid 1c930172-5e54-4c10-b245-d1c86f5fbd3f) + ) + (bus (pts (xy 191.77 133.35) (xy 191.77 135.89)) + (stroke (width 0) (type default)) + (uuid 1cf59e97-15d7-4398-a77e-03c80b1d20e7) + ) + + (wire (pts (xy 180.34 87.63) (xy 189.23 87.63)) + (stroke (width 0) (type default)) + (uuid 1d15c8cc-1e07-46bd-bbca-266aaea3561a) + ) + (bus (pts (xy 133.35 115.57) (xy 133.35 118.11)) + (stroke (width 0) (type default)) + (uuid 1da7e501-4e2f-4a49-9112-f8dbc7155921) + ) + (bus (pts (xy 40.64 107.95) (xy 40.64 110.49)) + (stroke (width 0) (type default)) + (uuid 1e364749-3902-48ca-a132-470e751bf322) + ) + + (wire (pts (xy 135.89 135.89) (xy 144.78 135.89)) + (stroke (width 0) (type default)) + (uuid 1e5e5502-afab-4375-828d-ebd48c589eb2) + ) + (wire (pts (xy 48.26 39.37) (xy 52.07 39.37)) + (stroke (width 0) (type default)) + (uuid 1e67d1a6-b42f-4639-abc6-5d6931c25a7b) + ) + (bus (pts (xy 40.64 92.71) (xy 40.64 95.25)) + (stroke (width 0) (type default)) + (uuid 1eb6971a-e19d-4e61-b91c-b3c6bb023940) + ) + (bus (pts (xy 40.64 118.11) (xy 40.64 120.65)) + (stroke (width 0) (type default)) + (uuid 1ec74b2e-023d-48fb-84f0-b796b381a6c2) + ) + + (wire (pts (xy 49.53 49.53) (xy 52.07 49.53)) + (stroke (width 0) (type default)) + (uuid 1ef0887d-33be-4d9e-ab8d-dc4af02d2724) + ) + (wire (pts (xy 180.34 95.25) (xy 189.23 95.25)) + (stroke (width 0) (type default)) + (uuid 1f751959-db05-42ca-a763-1e701b602602) + ) + (bus (pts (xy 133.35 29.21) (xy 133.35 34.29)) + (stroke (width 0) (type default)) + (uuid 1fd8865b-00f8-4be7-bc51-e32981d15755) + ) + (bus (pts (xy 99.06 97.79) (xy 99.06 100.33)) + (stroke (width 0) (type default)) + (uuid 1fe3d280-4669-4de7-8562-6aa225a97f68) + ) + (bus (pts (xy 99.06 54.61) (xy 99.06 57.15)) + (stroke (width 0) (type default)) + (uuid 20d67ea8-8185-48c3-b541-8c611978f992) + ) + + (wire (pts (xy 135.89 82.55) (xy 144.78 82.55)) + (stroke (width 0) (type default)) + (uuid 20dca955-b5e3-4ac2-af51-6eb7bf08a603) + ) + (wire (pts (xy 87.63 97.79) (xy 96.52 97.79)) + (stroke (width 0) (type default)) + (uuid 20e78e87-6e04-4f73-9478-fe05c5d85a7d) + ) + (wire (pts (xy 87.63 105.41) (xy 96.52 105.41)) + (stroke (width 0) (type default)) + (uuid 213faf84-6da7-4902-839c-cd7d4defe7a2) + ) + (bus (pts (xy 191.77 110.49) (xy 191.77 113.03)) + (stroke (width 0) (type default)) + (uuid 218acb80-336f-409a-b2bb-872b8eeaa912) + ) + (bus (pts (xy 191.77 138.43) (xy 191.77 140.97)) + (stroke (width 0) (type default)) + (uuid 21f47056-182c-4c56-aa10-5a5d4d889d55) + ) + + (wire (pts (xy 180.34 52.07) (xy 189.23 52.07)) + (stroke (width 0) (type default)) + (uuid 223fa76a-77f1-4908-a58d-79f0fa8140fe) + ) + (wire (pts (xy 87.63 41.91) (xy 96.52 41.91)) + (stroke (width 0) (type default)) + (uuid 227b155a-34af-478d-887d-76449fbc9afa) + ) + (bus (pts (xy 133.35 123.19) (xy 133.35 125.73)) + (stroke (width 0) (type default)) + (uuid 24da8c91-b8af-4642-975e-4db249bdbb73) + ) + + (wire (pts (xy 43.18 90.17) (xy 52.07 90.17)) + (stroke (width 0) (type default)) + (uuid 25af8fea-5cd7-49b2-bdf1-18b2b09d7fa0) + ) + (wire (pts (xy 43.18 146.05) (xy 52.07 146.05)) + (stroke (width 0) (type default)) + (uuid 25f94350-01d2-48f2-9964-a85fd8b18717) + ) + (bus (pts (xy 191.77 25.4) (xy 191.77 26.67)) + (stroke (width 0) (type default)) + (uuid 2675923e-187e-47dc-90d9-6f35deb346f3) + ) + + (wire (pts (xy 180.34 140.97) (xy 189.23 140.97)) + (stroke (width 0) (type default)) + (uuid 26dcc8c5-c6e5-4440-8562-4f9f838e2175) + ) + (wire (pts (xy 135.89 95.25) (xy 144.78 95.25)) + (stroke (width 0) (type default)) + (uuid 282e0bbc-715d-422d-aa48-d233888b2c9e) + ) + (bus (pts (xy 191.77 87.63) (xy 191.77 90.17)) + (stroke (width 0) (type default)) + (uuid 283728b4-fdca-4fca-bc4f-ca035d1e3703) + ) + (bus (pts (xy 191.77 52.07) (xy 191.77 54.61)) + (stroke (width 0) (type default)) + (uuid 2af3d189-9ad1-4620-899b-1f8a8502dd0a) + ) + (bus (pts (xy 191.77 39.37) (xy 191.77 41.91)) + (stroke (width 0) (type default)) + (uuid 2af91a63-1e5a-4a7e-a543-cd46d97ccdc7) + ) + + (wire (pts (xy 43.18 130.81) (xy 52.07 130.81)) + (stroke (width 0) (type default)) + (uuid 2cc568d1-bce2-497f-b8a2-78300b8d13ca) + ) + (bus (pts (xy 191.77 46.99) (xy 191.77 49.53)) + (stroke (width 0) (type default)) + (uuid 2e415ef5-79b0-47dc-8062-cf43f9f1ad56) + ) + + (wire (pts (xy 135.89 125.73) (xy 144.78 125.73)) + (stroke (width 0) (type default)) + (uuid 2e5d49da-4613-4196-a4aa-ae3e6eccd54a) + ) + (wire (pts (xy 87.63 80.01) (xy 96.52 80.01)) + (stroke (width 0) (type default)) + (uuid 2ead5a6d-1b77-4bfe-8e8a-02d696de841d) + ) + (wire (pts (xy 43.18 125.73) (xy 52.07 125.73)) + (stroke (width 0) (type default)) + (uuid 2ee8de49-0396-48a3-8ee7-85e5749fc347) + ) + (bus (pts (xy 99.06 34.29) (xy 99.06 36.83)) + (stroke (width 0) (type default)) + (uuid 2ff47a45-ef96-470b-8a31-88a8e0108cc8) + ) + (bus (pts (xy 133.35 52.07) (xy 133.35 54.61)) + (stroke (width 0) (type default)) + (uuid 30ca9422-2b51-4a23-bb7e-d094e0cecd37) + ) + + (wire (pts (xy 87.63 123.19) (xy 96.52 123.19)) + (stroke (width 0) (type default)) + (uuid 31c2d73e-fc4e-4f4a-baeb-9e9d3d008279) + ) + (wire (pts (xy 43.18 72.39) (xy 52.07 72.39)) + (stroke (width 0) (type default)) + (uuid 324268d5-2a13-4221-a110-482da8fed816) + ) + (wire (pts (xy 87.63 77.47) (xy 96.52 77.47)) + (stroke (width 0) (type default)) + (uuid 33b039a4-2489-48de-91b1-4021d74d1db4) + ) + (bus (pts (xy 191.77 29.21) (xy 191.77 31.75)) + (stroke (width 0) (type default)) + (uuid 34221b02-f084-41f0-8d46-75d158f4bad5) + ) + + (wire (pts (xy 43.18 95.25) (xy 52.07 95.25)) + (stroke (width 0) (type default)) + (uuid 3452d7e5-5e58-4d31-b9d0-28de6d6987b1) + ) + (bus (pts (xy 99.06 146.05) (xy 99.06 148.59)) + (stroke (width 0) (type default)) + (uuid 34533671-7d92-4505-8d5c-9026dc737501) + ) + (bus (pts (xy 40.64 115.57) (xy 40.64 118.11)) + (stroke (width 0) (type default)) + (uuid 35885b11-176f-4f9c-940b-3800aa35c346) + ) + + (wire (pts (xy 180.34 100.33) (xy 189.23 100.33)) + (stroke (width 0) (type default)) + (uuid 3816af24-d2bc-4c2f-9b43-cd121d987e43) + ) + (wire (pts (xy 87.63 125.73) (xy 96.52 125.73)) + (stroke (width 0) (type default)) + (uuid 38f7dbdb-26f0-4b58-bf83-33f8d178c68f) + ) + (wire (pts (xy 43.18 120.65) (xy 52.07 120.65)) + (stroke (width 0) (type default)) + (uuid 3901be58-74e1-422b-b58f-85b68a25c411) + ) + (bus (pts (xy 191.77 107.95) (xy 191.77 110.49)) + (stroke (width 0) (type default)) + (uuid 39444fc7-cbe5-4335-994b-5f344d2b7e84) + ) + (bus (pts (xy 99.06 90.17) (xy 99.06 92.71)) + (stroke (width 0) (type default)) + (uuid 3972c4ce-bb76-42ca-8245-1568affdc60f) + ) + (bus (pts (xy 40.64 85.09) (xy 40.64 87.63)) + (stroke (width 0) (type default)) + (uuid 3980d5db-d7a8-4c93-8e4c-4ede46a96541) + ) + + (wire (pts (xy 140.97 105.41) (xy 144.78 105.41)) + (stroke (width 0) (type default)) + (uuid 39da54fd-b5a2-42a6-b39d-3301999b8abe) + ) + (bus (pts (xy 191.77 62.23) (xy 191.77 64.77)) + (stroke (width 0) (type default)) + (uuid 3a754429-7cdb-4221-99c2-956cd6017c65) + ) + + (wire (pts (xy 135.89 146.05) (xy 144.78 146.05)) + (stroke (width 0) (type default)) + (uuid 3b63158c-3607-49de-9991-39c7cc701b47) + ) + (bus (pts (xy 99.06 100.33) (xy 99.06 102.87)) + (stroke (width 0) (type default)) + (uuid 3bcd22ad-8662-463a-b599-0da921fb4b2c) + ) + (bus (pts (xy 191.77 97.79) (xy 191.77 100.33)) + (stroke (width 0) (type default)) + (uuid 3bda9ea1-962c-4b8e-ab28-66900deb18ad) + ) + (bus (pts (xy 133.35 90.17) (xy 133.35 92.71)) + (stroke (width 0) (type default)) + (uuid 3c2c8c0b-d974-4a07-a5a4-2a0c52ad700e) + ) + + (wire (pts (xy 43.18 87.63) (xy 52.07 87.63)) + (stroke (width 0) (type default)) + (uuid 3ce71531-b4b6-4c3d-8d03-524a4f5441f7) + ) + (wire (pts (xy 48.26 100.33) (xy 52.07 100.33)) + (stroke (width 0) (type default)) + (uuid 3de7e9cf-b10b-4315-a818-ac45681bd545) + ) + (bus (pts (xy 40.64 140.97) (xy 40.64 143.51)) + (stroke (width 0) (type default)) + (uuid 3eadb191-62c2-4a11-a3fa-b8459570dfdf) + ) + + (wire (pts (xy 43.18 59.69) (xy 52.07 59.69)) + (stroke (width 0) (type default)) + (uuid 3f7b279e-ef18-452f-9b45-5f2c041394bd) + ) + (wire (pts (xy 180.34 62.23) (xy 189.23 62.23)) + (stroke (width 0) (type default)) + (uuid 400288d4-07c8-422d-92d2-b6b45d847cde) + ) + (bus (pts (xy 99.06 133.35) (xy 99.06 135.89)) + (stroke (width 0) (type default)) + (uuid 40b4a545-0663-4b58-ae96-7db4ecda1425) + ) + (bus (pts (xy 191.77 115.57) (xy 191.77 118.11)) + (stroke (width 0) (type default)) + (uuid 40fd2aa8-8182-41ca-8748-b684d1538507) + ) + (bus (pts (xy 99.06 31.75) (xy 99.06 34.29)) + (stroke (width 0) (type default)) + (uuid 44b3b9a2-af97-4532-a537-edefe92bb6ec) + ) + + (wire (pts (xy 135.89 120.65) (xy 144.78 120.65)) + (stroke (width 0) (type default)) + (uuid 44cf3ec4-40fa-48f7-9262-a6a42a8e12ca) + ) + (bus (pts (xy 133.35 59.69) (xy 133.35 62.23)) + (stroke (width 0) (type default)) + (uuid 45aaf0d6-3701-41a3-8ed3-74d803391e0d) + ) + + (wire (pts (xy 43.18 69.85) (xy 52.07 69.85)) + (stroke (width 0) (type default)) + (uuid 45bf715e-1ee9-4944-9dcc-39a4d36790dd) + ) + (bus (pts (xy 40.64 74.93) (xy 40.64 77.47)) + (stroke (width 0) (type default)) + (uuid 465f2550-26b5-46a9-b52e-add53e697826) + ) + + (wire (pts (xy 180.34 44.45) (xy 189.23 44.45)) + (stroke (width 0) (type default)) + (uuid 46c5162d-77a6-41d1-916e-3a8c672234ff) + ) + (wire (pts (xy 180.34 59.69) (xy 189.23 59.69)) + (stroke (width 0) (type default)) + (uuid 47414b5e-289c-41b7-9396-578a0452b0df) + ) + (wire (pts (xy 48.26 34.29) (xy 52.07 34.29)) + (stroke (width 0) (type default)) + (uuid 47d1b591-5ae8-4cda-9c60-f0f0961a9fe1) + ) + (bus (pts (xy 133.35 128.27) (xy 133.35 130.81)) + (stroke (width 0) (type default)) + (uuid 498dda22-324b-49e0-adf7-1e460c39ea65) + ) + + (wire (pts (xy 135.89 74.93) (xy 144.78 74.93)) + (stroke (width 0) (type default)) + (uuid 49b82637-8f53-42d5-8bf3-b54006ef98b6) + ) + (wire (pts (xy 87.63 95.25) (xy 96.52 95.25)) + (stroke (width 0) (type default)) + (uuid 49ef7827-0175-4d13-8137-ccf2329cb136) + ) + (wire (pts (xy 87.63 87.63) (xy 96.52 87.63)) + (stroke (width 0) (type default)) + (uuid 4a8defc1-0180-434d-82ca-f0fc8c895719) + ) + (bus (pts (xy 133.35 34.29) (xy 133.35 39.37)) + (stroke (width 0) (type default)) + (uuid 4bac9695-88e8-44ae-a5b0-a33174c65bc4) + ) + + (wire (pts (xy 87.63 140.97) (xy 96.52 140.97)) + (stroke (width 0) (type default)) + (uuid 4c8e9383-6d50-4288-b47a-603df29d16d2) + ) + (wire (pts (xy 135.89 41.91) (xy 144.78 41.91)) + (stroke (width 0) (type default)) + (uuid 4f991560-f272-4a9c-91e6-4e17faa8d518) + ) + (bus (pts (xy 99.06 72.39) (xy 99.06 74.93)) + (stroke (width 0) (type default)) + (uuid 510b7e1c-04ea-4342-88db-6ea01a768b97) + ) + + (wire (pts (xy 87.63 128.27) (xy 96.52 128.27)) + (stroke (width 0) (type default)) + (uuid 5142c9be-eaa5-49d7-9e61-1cc3d907596f) + ) + (bus (pts (xy 99.06 92.71) (xy 99.06 95.25)) + (stroke (width 0) (type default)) + (uuid 51d09fdd-3fde-4b08-b903-9022e30df2cf) + ) + + (wire (pts (xy 180.34 90.17) (xy 189.23 90.17)) + (stroke (width 0) (type default)) + (uuid 5220139e-e527-4ba7-a6bd-61fba62244bd) + ) + (wire (pts (xy 142.24 49.53) (xy 144.78 49.53)) + (stroke (width 0) (type default)) + (uuid 5365464d-c56d-4647-a4f6-b8368a3e030b) + ) + (bus (pts (xy 191.77 123.19) (xy 191.77 125.73)) + (stroke (width 0) (type default)) + (uuid 53821f64-1b6d-4758-a0e4-a12ce3fbdde4) + ) + + (wire (pts (xy 135.89 138.43) (xy 144.78 138.43)) + (stroke (width 0) (type default)) + (uuid 55820500-2058-45a4-ae30-9ccae6f581c2) + ) + (wire (pts (xy 180.34 46.99) (xy 189.23 46.99)) + (stroke (width 0) (type default)) + (uuid 55974717-047a-4ae1-85e1-f42c325c4ca5) + ) + (wire (pts (xy 135.89 36.83) (xy 144.78 36.83)) + (stroke (width 0) (type default)) + (uuid 56b4efe2-85ec-4e7f-8df8-ceffd3f859e8) + ) + (wire (pts (xy 180.34 128.27) (xy 189.23 128.27)) + (stroke (width 0) (type default)) + (uuid 571b975c-5b37-4de8-8b1c-742ec0e3aded) + ) + (wire (pts (xy 144.78 147.32) (xy 144.78 148.59)) + (stroke (width 0) (type default)) + (uuid 573f967d-5751-40cb-ae50-409639a53223) + ) + (bus (pts (xy 99.06 26.67) (xy 99.06 29.21)) + (stroke (width 0) (type default)) + (uuid 57ff1d9b-7d70-46b4-b91f-5c8bfd0c0223) + ) + (bus (pts (xy 191.77 143.51) (xy 191.77 146.05)) + (stroke (width 0) (type default)) + (uuid 581e750e-9e94-49fd-8c5e-73aaa8c5bf3f) + ) + + (wire (pts (xy 180.34 34.29) (xy 189.23 34.29)) + (stroke (width 0) (type default)) + (uuid 592587d3-d4cd-4e9d-96d2-e9e572f3446f) + ) + (bus (pts (xy 99.06 87.63) (xy 99.06 90.17)) + (stroke (width 0) (type default)) + (uuid 5a69fd9a-5310-4b9e-a9c5-65bc00bf507d) + ) + + (wire (pts (xy 87.63 120.65) (xy 96.52 120.65)) + (stroke (width 0) (type default)) + (uuid 5abd5399-6ea7-4adf-94a3-3e53ed831f9d) + ) + (bus (pts (xy 99.06 25.4) (xy 99.06 26.67)) + (stroke (width 0) (type default)) + (uuid 5b4405c1-9cb6-4d0a-bcf0-ee8ed88a5d27) + ) + (bus (pts (xy 191.77 41.91) (xy 191.77 44.45)) + (stroke (width 0) (type default)) + (uuid 5b6e546d-8600-4a25-897f-db2270bd9a8b) + ) + (bus (pts (xy 99.06 44.45) (xy 99.06 46.99)) + (stroke (width 0) (type default)) + (uuid 5c6c6123-2312-4e29-a0d7-087dc3b8c1bd) + ) + (bus (pts (xy 191.77 118.11) (xy 191.77 120.65)) + (stroke (width 0) (type default)) + (uuid 5cfce348-efb2-49d5-a1da-cbfb949ba07a) + ) + + (wire (pts (xy 43.18 80.01) (xy 52.07 80.01)) + (stroke (width 0) (type default)) + (uuid 5e6e8e43-3b8f-45d8-935a-bdefdd9f5e1a) + ) + (wire (pts (xy 43.18 140.97) (xy 52.07 140.97)) + (stroke (width 0) (type default)) + (uuid 5f5e177c-bbf3-4caf-9072-19d7353d714f) + ) + (bus (pts (xy 99.06 67.31) (xy 99.06 69.85)) + (stroke (width 0) (type default)) + (uuid 60707ba2-851d-408a-8a48-3c0c3b5d6ba9) + ) + (bus (pts (xy 191.77 67.31) (xy 191.77 69.85)) + (stroke (width 0) (type default)) + (uuid 6085913c-b96f-458d-a931-6aa06380e34c) + ) + + (wire (pts (xy 49.53 44.45) (xy 52.07 44.45)) + (stroke (width 0) (type default)) + (uuid 60a3b4e0-f291-426c-ac0c-c1f6a0c98a8f) + ) + (bus (pts (xy 191.77 100.33) (xy 191.77 102.87)) + (stroke (width 0) (type default)) + (uuid 6548dec0-2b58-4fa2-b71f-005b4ac609a3) + ) + (bus (pts (xy 99.06 74.93) (xy 99.06 77.47)) + (stroke (width 0) (type default)) + (uuid 665b4df4-e484-42b4-ac6e-73c75cf2c6a2) + ) + (bus (pts (xy 191.77 113.03) (xy 191.77 115.57)) + (stroke (width 0) (type default)) + (uuid 6680b87d-9a77-4f38-8927-e3e846551e83) + ) + + (wire (pts (xy 87.63 146.05) (xy 96.52 146.05)) + (stroke (width 0) (type default)) + (uuid 66cc9df4-bd5d-4d70-9d34-3f9a69070d43) + ) + (bus (pts (xy 191.77 146.05) (xy 191.77 148.59)) + (stroke (width 0) (type default)) + (uuid 6749a481-7293-4a12-b911-fd314f6bf29b) + ) + + (wire (pts (xy 180.34 115.57) (xy 189.23 115.57)) + (stroke (width 0) (type default)) + (uuid 67a18294-c9be-4f7b-8f8d-c5b99b65e2b6) + ) + (bus (pts (xy 99.06 85.09) (xy 99.06 87.63)) + (stroke (width 0) (type default)) + (uuid 68597c68-aa83-48ea-a665-a58b6c0effca) + ) + + (wire (pts (xy 180.34 85.09) (xy 189.23 85.09)) + (stroke (width 0) (type default)) + (uuid 6936311b-6923-464d-a149-350e4ae786ae) + ) + (bus (pts (xy 133.35 125.73) (xy 133.35 128.27)) + (stroke (width 0) (type default)) + (uuid 6b969325-bdc7-4556-8951-1f6fd62c28e5) + ) + + (wire (pts (xy 87.63 64.77) (xy 96.52 64.77)) + (stroke (width 0) (type default)) + (uuid 6bfb5b40-353d-46e4-bfa8-ec9285998837) + ) + (wire (pts (xy 87.63 135.89) (xy 96.52 135.89)) + (stroke (width 0) (type default)) + (uuid 6c0f99c0-8461-4d1e-8063-1e87125dd913) + ) + (bus (pts (xy 40.64 135.89) (xy 40.64 138.43)) + (stroke (width 0) (type default)) + (uuid 6cb394d5-60df-4e61-a901-3d38f17c7ea6) + ) + + (wire (pts (xy 180.34 67.31) (xy 189.23 67.31)) + (stroke (width 0) (type default)) + (uuid 6ccf60d5-bb6d-403a-83d2-7eb13645fb1c) + ) + (bus (pts (xy 99.06 107.95) (xy 99.06 110.49)) + (stroke (width 0) (type default)) + (uuid 6e0e147f-fb25-4acc-8721-4a9a2fadf81e) + ) + + (wire (pts (xy 43.18 62.23) (xy 52.07 62.23)) + (stroke (width 0) (type default)) + (uuid 6e5b6ebe-17a6-430c-9568-a050675253d0) + ) + (wire (pts (xy 43.18 138.43) (xy 52.07 138.43)) + (stroke (width 0) (type default)) + (uuid 6e820fff-2e63-4277-9111-661e56e5890e) + ) + (bus (pts (xy 191.77 120.65) (xy 191.77 123.19)) + (stroke (width 0) (type default)) + (uuid 6f6f51a8-d050-4e4a-aae2-6e644431ec0f) + ) + + (wire (pts (xy 180.34 64.77) (xy 189.23 64.77)) + (stroke (width 0) (type default)) + (uuid 700da2c8-aa12-404b-a7fb-299603c4e5cf) + ) + (bus (pts (xy 191.77 148.59) (xy 191.77 151.13)) + (stroke (width 0) (type default)) + (uuid 70c44b25-6c89-4f6d-ae0a-8207bcfcaea3) + ) + (bus (pts (xy 133.35 39.37) (xy 133.35 52.07)) + (stroke (width 0) (type default)) + (uuid 70f374f0-37d8-4237-b01c-5de665887d42) + ) + + (wire (pts (xy 87.63 39.37) (xy 96.52 39.37)) + (stroke (width 0) (type default)) + (uuid 71bcf8c5-5647-425e-b075-34e5f165e311) + ) + (wire (pts (xy 180.34 29.21) (xy 189.23 29.21)) + (stroke (width 0) (type default)) + (uuid 71c7e49a-dc41-4500-9895-5c537999e019) + ) + (wire (pts (xy 180.34 148.59) (xy 189.23 148.59)) + (stroke (width 0) (type default)) + (uuid 723998b9-8038-4d4d-9477-99581eea9568) + ) + (wire (pts (xy 180.34 97.79) (xy 189.23 97.79)) + (stroke (width 0) (type default)) + (uuid 72a0f35e-a7ff-441c-8d41-dab4e276aad6) + ) + (bus (pts (xy 191.77 140.97) (xy 191.77 143.51)) + (stroke (width 0) (type default)) + (uuid 745065d5-64b9-4591-922b-d32704e02c6e) + ) + (bus (pts (xy 40.64 123.19) (xy 40.64 125.73)) + (stroke (width 0) (type default)) + (uuid 74a1cbda-0e72-4db1-a11c-2648e0021988) + ) + (bus (pts (xy 191.77 130.81) (xy 191.77 133.35)) + (stroke (width 0) (type default)) + (uuid 74c20964-f54d-4099-a537-2049850becbc) + ) + + (wire (pts (xy 180.34 123.19) (xy 189.23 123.19)) + (stroke (width 0) (type default)) + (uuid 74c830ce-5d7b-492e-a820-348b23f8dbc0) + ) + (bus (pts (xy 99.06 64.77) (xy 99.06 67.31)) + (stroke (width 0) (type default)) + (uuid 756c70fa-3541-443b-be69-de1679fb7272) + ) + + (wire (pts (xy 52.07 147.32) (xy 52.07 148.59)) + (stroke (width 0) (type default)) + (uuid 75901f88-c0ae-491a-8a40-1bd813adc47d) + ) + (bus (pts (xy 133.35 62.23) (xy 133.35 64.77)) + (stroke (width 0) (type default)) + (uuid 75df984f-6722-4951-bbff-684f3736c90c) + ) + + (wire (pts (xy 43.18 128.27) (xy 52.07 128.27)) + (stroke (width 0) (type default)) + (uuid 790c6910-6fb7-4b47-b262-b73f1156b352) + ) + (wire (pts (xy 180.34 82.55) (xy 189.23 82.55)) + (stroke (width 0) (type default)) + (uuid 79db001f-cbe8-4c1c-830b-9e173b6436dc) + ) + (wire (pts (xy 180.34 39.37) (xy 189.23 39.37)) + (stroke (width 0) (type default)) + (uuid 7a279ec6-dc2a-4767-b31b-8499841c377b) + ) + (bus (pts (xy 133.35 95.25) (xy 133.35 100.33)) + (stroke (width 0) (type default)) + (uuid 7a50dc13-7bf5-4191-943e-0bd90cda832e) + ) + (bus (pts (xy 191.77 57.15) (xy 191.77 59.69)) + (stroke (width 0) (type default)) + (uuid 7b319f6e-eb5f-46c4-b040-57eb94b10563) + ) + (bus (pts (xy 99.06 138.43) (xy 99.06 140.97)) + (stroke (width 0) (type default)) + (uuid 7b723e80-4bb4-40fe-93c4-e91bae0d11fa) + ) + + (wire (pts (xy 87.63 143.51) (xy 96.52 143.51)) + (stroke (width 0) (type default)) + (uuid 7c783242-e5ef-4a45-b298-eaf3b2de1b33) + ) + (wire (pts (xy 87.63 92.71) (xy 96.52 92.71)) + (stroke (width 0) (type default)) + (uuid 7ce6e11b-2c86-487c-8e89-00fc34ec9c4c) + ) + (bus (pts (xy 191.77 102.87) (xy 191.77 107.95)) + (stroke (width 0) (type default)) + (uuid 7d2d76aa-dc7c-4d87-a6f7-82b81ad6ec4a) + ) + (bus (pts (xy 99.06 118.11) (xy 99.06 120.65)) + (stroke (width 0) (type default)) + (uuid 7e6564da-6a4f-4812-93f4-af4cbaf3693f) + ) + + (wire (pts (xy 43.18 31.75) (xy 52.07 31.75)) + (stroke (width 0) (type default)) + (uuid 7e6e77a1-2b02-4bee-880e-09644670b0c8) + ) + (wire (pts (xy 87.63 36.83) (xy 96.52 36.83)) + (stroke (width 0) (type default)) + (uuid 7f7bbf0b-091d-49c4-a749-fd8b0be9d366) + ) + (wire (pts (xy 140.97 39.37) (xy 144.78 39.37)) + (stroke (width 0) (type default)) + (uuid 7faa26a9-6d9d-4326-973d-4072518427e4) + ) + (bus (pts (xy 40.64 69.85) (xy 40.64 72.39)) + (stroke (width 0) (type default)) + (uuid 7fef16e5-255c-4c30-bb9b-ba816b64c55a) + ) + (bus (pts (xy 99.06 46.99) (xy 99.06 49.53)) + (stroke (width 0) (type default)) + (uuid 81488639-581d-49dc-9db1-e42525337f7d) + ) + + (wire (pts (xy 43.18 85.09) (xy 52.07 85.09)) + (stroke (width 0) (type default)) + (uuid 81b7b601-6219-4baa-9778-b8e5cabe6863) + ) + (wire (pts (xy 180.34 130.81) (xy 189.23 130.81)) + (stroke (width 0) (type default)) + (uuid 83b033a5-823b-41c1-ac2b-aafa5f4e6588) + ) + (bus (pts (xy 99.06 113.03) (xy 99.06 115.57)) + (stroke (width 0) (type default)) + (uuid 84b8d5dc-05cc-4098-b678-2a63b452cad9) + ) + (bus (pts (xy 133.35 140.97) (xy 133.35 143.51)) + (stroke (width 0) (type default)) + (uuid 8515db9b-e940-456f-af31-219db4e1474e) + ) + + (wire (pts (xy 87.63 69.85) (xy 96.52 69.85)) + (stroke (width 0) (type default)) + (uuid 85ebf1bf-2b1c-4163-bddc-6fa2472267d2) + ) + (bus (pts (xy 99.06 52.07) (xy 99.06 54.61)) + (stroke (width 0) (type default)) + (uuid 860d4ace-a552-4f92-9465-62b8604feecf) + ) + (bus (pts (xy 191.77 34.29) (xy 191.77 36.83)) + (stroke (width 0) (type default)) + (uuid 86d6fa88-ec26-4796-90ba-5ad052036ae8) + ) + + (wire (pts (xy 135.89 118.11) (xy 144.78 118.11)) + (stroke (width 0) (type default)) + (uuid 889b995d-97fb-4d7d-a0dd-d6b7b898d132) + ) + (wire (pts (xy 180.34 54.61) (xy 189.23 54.61)) + (stroke (width 0) (type default)) + (uuid 88d82412-b023-44dd-9506-006a2694a219) + ) + (wire (pts (xy 180.34 125.73) (xy 189.23 125.73)) + (stroke (width 0) (type default)) + (uuid 88fefe88-1d55-4360-82d9-5917cfeafeb4) + ) + (wire (pts (xy 87.63 74.93) (xy 96.52 74.93)) + (stroke (width 0) (type default)) + (uuid 8933f096-7b86-4591-8351-fb73114dccc4) + ) + (wire (pts (xy 43.18 92.71) (xy 52.07 92.71)) + (stroke (width 0) (type default)) + (uuid 89b0c10a-0129-43d2-83c1-0e19e9bd52a0) + ) + (bus (pts (xy 191.77 54.61) (xy 191.77 57.15)) + (stroke (width 0) (type default)) + (uuid 8a227e0a-0557-4a75-854e-6df0064e9adc) + ) + (bus (pts (xy 191.77 64.77) (xy 191.77 67.31)) + (stroke (width 0) (type default)) + (uuid 8a4ed419-7841-4a31-aff7-c211abbb27cf) + ) + + (wire (pts (xy 189.23 110.49) (xy 180.34 110.49)) + (stroke (width 0) (type default)) + (uuid 8a5f3cc3-1190-4f95-9858-b024350d421d) + ) + (wire (pts (xy 43.18 36.83) (xy 52.07 36.83)) + (stroke (width 0) (type default)) + (uuid 8b06c4c0-e679-461b-a8f2-00e5e98edf86) + ) + (bus (pts (xy 191.77 85.09) (xy 191.77 87.63)) + (stroke (width 0) (type default)) + (uuid 8ce870fc-e9d1-4ffd-87e3-8ce38b539ff9) + ) + (bus (pts (xy 133.35 100.33) (xy 133.35 107.95)) + (stroke (width 0) (type default)) + (uuid 8d79eea3-5e20-4ac6-a4cf-5050caaf0dbb) + ) + + (wire (pts (xy 48.26 105.41) (xy 52.07 105.41)) + (stroke (width 0) (type default)) + (uuid 8daffb40-56b1-4314-a1d4-9d13857a9c79) + ) + (wire (pts (xy 43.18 123.19) (xy 52.07 123.19)) + (stroke (width 0) (type default)) + (uuid 8def565c-fcbb-4f8e-a727-5f4c25457bea) + ) + (wire (pts (xy 180.34 138.43) (xy 189.23 138.43)) + (stroke (width 0) (type default)) + (uuid 8e572e27-17b6-4cde-acc1-19737be09e48) + ) + (bus (pts (xy 40.64 138.43) (xy 40.64 140.97)) + (stroke (width 0) (type default)) + (uuid 8f138d0e-188d-4061-bea9-06dbf9b4e129) + ) + + (wire (pts (xy 180.34 113.03) (xy 189.23 113.03)) + (stroke (width 0) (type default)) + (uuid 902df798-b9b9-4c7f-96c0-64793f15b13a) + ) + (bus (pts (xy 133.35 148.59) (xy 133.35 149.86)) + (stroke (width 0) (type default)) + (uuid 90ae7899-e26f-4966-820a-b8be9fc8c5fc) + ) + (bus (pts (xy 99.06 110.49) (xy 99.06 113.03)) + (stroke (width 0) (type default)) + (uuid 91d2fbb4-3814-4ea8-a658-d26517bd0af1) + ) + (bus (pts (xy 99.06 49.53) (xy 99.06 52.07)) + (stroke (width 0) (type default)) + (uuid 91e05a08-d0a3-4c6c-b65f-e149d50d89ca) + ) + + (wire (pts (xy 87.63 130.81) (xy 96.52 130.81)) + (stroke (width 0) (type default)) + (uuid 92dc3a9d-dc5e-4949-8367-66ade3314ecd) + ) + (wire (pts (xy 87.63 115.57) (xy 96.52 115.57)) + (stroke (width 0) (type default)) + (uuid 937a8a33-63cf-4136-8811-d71d39b6bf0b) + ) + (bus (pts (xy 40.64 34.29) (xy 40.64 39.37)) + (stroke (width 0) (type default)) + (uuid 941d8e83-0e12-4306-ab71-92ee36949d99) + ) + + (wire (pts (xy 135.89 85.09) (xy 144.78 85.09)) + (stroke (width 0) (type default)) + (uuid 96055270-01e8-439d-a3a2-a836233bd041) + ) + (bus (pts (xy 133.35 118.11) (xy 133.35 120.65)) + (stroke (width 0) (type default)) + (uuid 96d10cab-cdf2-4298-b599-d6a0ca2b4ba7) + ) + (bus (pts (xy 40.64 95.25) (xy 40.64 100.33)) + (stroke (width 0) (type default)) + (uuid 98513ff3-4292-4bb3-8625-b0b4ea89737c) + ) + + (wire (pts (xy 135.89 64.77) (xy 144.78 64.77)) + (stroke (width 0) (type default)) + (uuid 999ea647-0360-4cac-b8fc-9c62c9b0d2db) + ) + (wire (pts (xy 135.89 90.17) (xy 144.78 90.17)) + (stroke (width 0) (type default)) + (uuid 9c259328-7e22-4a84-935f-5e398d2ff259) + ) + (bus (pts (xy 191.77 31.75) (xy 191.77 34.29)) + (stroke (width 0) (type default)) + (uuid 9d0c0a31-11ea-4321-a41d-b9b5f34a3e2a) + ) + (bus (pts (xy 133.35 133.35) (xy 133.35 135.89)) + (stroke (width 0) (type default)) + (uuid 9d4c765f-70ed-4f57-98b7-f15ac6f1e35c) + ) + + (wire (pts (xy 87.63 118.11) (xy 96.52 118.11)) + (stroke (width 0) (type default)) + (uuid 9ddc7549-3fcd-431f-ac31-8a8e7f1a675f) + ) + (wire (pts (xy 87.63 54.61) (xy 96.52 54.61)) + (stroke (width 0) (type default)) + (uuid 9e5df2d9-0096-4997-9f2f-9c903b9a0e00) + ) + (bus (pts (xy 191.77 49.53) (xy 191.77 52.07)) + (stroke (width 0) (type default)) + (uuid 9f21e587-aa4d-4101-918d-85ae3da9369b) + ) + (bus (pts (xy 133.35 87.63) (xy 133.35 90.17)) + (stroke (width 0) (type default)) + (uuid 9f752135-ddf9-401b-807d-4eeff584dd40) + ) + (bus (pts (xy 40.64 143.51) (xy 40.64 148.59)) + (stroke (width 0) (type default)) + (uuid a01133d9-f306-482d-b490-7314b6a7546b) + ) + + (wire (pts (xy 87.63 148.59) (xy 96.52 148.59)) + (stroke (width 0) (type default)) + (uuid a0ff9eb9-0549-4d7e-87fc-ed914d46004f) + ) + (wire (pts (xy 43.18 102.87) (xy 52.07 102.87)) + (stroke (width 0) (type default)) + (uuid a182f6d7-a742-45fd-91ba-a7f4f0c4fb4b) + ) + (wire (pts (xy 135.89 54.61) (xy 144.78 54.61)) + (stroke (width 0) (type default)) + (uuid a1ae296c-2455-4307-86d5-800d8342f02b) + ) + (bus (pts (xy 99.06 135.89) (xy 99.06 138.43)) + (stroke (width 0) (type default)) + (uuid a1c2ece2-bb0c-49a3-acac-7772511bf3b2) + ) + + (wire (pts (xy 43.18 97.79) (xy 52.07 97.79)) + (stroke (width 0) (type default)) + (uuid a2609a94-e215-4866-8dbb-6e49635e2d3d) + ) + (bus (pts (xy 191.77 59.69) (xy 191.77 62.23)) + (stroke (width 0) (type default)) + (uuid a31676b4-fff0-4aa4-8bb6-894e24c88d6d) + ) + + (wire (pts (xy 43.18 54.61) (xy 52.07 54.61)) + (stroke (width 0) (type default)) + (uuid a3778b6e-17ec-4c66-ac3f-2903d33ba1cd) + ) + (bus (pts (xy 191.77 69.85) (xy 191.77 72.39)) + (stroke (width 0) (type default)) + (uuid a42389c9-caab-4646-a0b2-f6a223d27b12) + ) + (bus (pts (xy 191.77 135.89) (xy 191.77 138.43)) + (stroke (width 0) (type default)) + (uuid a4a249db-a8a3-4dc9-a0f6-db973dadf122) + ) + + (wire (pts (xy 180.34 77.47) (xy 189.23 77.47)) + (stroke (width 0) (type default)) + (uuid a5602f5a-a697-4133-938b-4d5f0c515e6e) + ) + (bus (pts (xy 191.77 82.55) (xy 191.77 85.09)) + (stroke (width 0) (type default)) + (uuid a6496444-0e1c-4a89-bf6b-f557fa1c9bf1) + ) + (bus (pts (xy 133.35 69.85) (xy 133.35 72.39)) + (stroke (width 0) (type default)) + (uuid a65549e3-2611-4f46-a914-7dd17619986d) + ) + + (wire (pts (xy 180.34 49.53) (xy 189.23 49.53)) + (stroke (width 0) (type default)) + (uuid a6a62005-dbda-44ae-8fb7-450db0c115eb) + ) + (wire (pts (xy 180.34 133.35) (xy 189.23 133.35)) + (stroke (width 0) (type default)) + (uuid a742a1a6-5b4f-48af-aeb7-7adb067f624d) + ) + (bus (pts (xy 40.64 90.17) (xy 40.64 92.71)) + (stroke (width 0) (type default)) + (uuid a768fbe8-5da3-40b3-b7c9-9c982e635237) + ) + + (wire (pts (xy 87.63 34.29) (xy 96.52 34.29)) + (stroke (width 0) (type default)) + (uuid a7e9622a-67af-4791-9fa3-b137f3ec7e00) + ) + (wire (pts (xy 135.89 92.71) (xy 144.78 92.71)) + (stroke (width 0) (type default)) + (uuid a7eb173f-15de-44ba-8d6a-42e71aef70e7) + ) + (wire (pts (xy 140.97 100.33) (xy 144.78 100.33)) + (stroke (width 0) (type default)) + (uuid a7f7fdd8-acfe-468f-9fa6-3195960e3ba9) + ) + (bus (pts (xy 133.35 107.95) (xy 133.35 110.49)) + (stroke (width 0) (type default)) + (uuid a7fe5f4b-676b-498a-9a21-2c5f666f3562) + ) + + (wire (pts (xy 43.18 57.15) (xy 52.07 57.15)) + (stroke (width 0) (type default)) + (uuid aa002d41-31ec-45b8-9f8a-cb630d987ed9) + ) + (bus (pts (xy 133.35 92.71) (xy 133.35 95.25)) + (stroke (width 0) (type default)) + (uuid aa1f2471-1515-4e5f-b6ea-16ff70a19fe8) + ) + (bus (pts (xy 40.64 148.59) (xy 40.64 149.86)) + (stroke (width 0) (type default)) + (uuid aab1acae-afff-43a6-9519-b6111a9c50bd) + ) + (bus (pts (xy 99.06 95.25) (xy 99.06 97.79)) + (stroke (width 0) (type default)) + (uuid ab1a95e5-1092-4cd4-9d64-a3218fd7e3e3) + ) + (bus (pts (xy 133.35 72.39) (xy 133.35 74.93)) + (stroke (width 0) (type default)) + (uuid abc8b23f-214b-44e5-a0ef-63ee1e496189) + ) + (bus (pts (xy 133.35 143.51) (xy 133.35 148.59)) + (stroke (width 0) (type default)) + (uuid abdb6c2b-d928-47de-a354-e7738d97e669) + ) + + (wire (pts (xy 43.18 77.47) (xy 52.07 77.47)) + (stroke (width 0) (type default)) + (uuid acb528b7-d668-4db3-abd4-13c4f1c91547) + ) + (bus (pts (xy 40.64 39.37) (xy 40.64 52.07)) + (stroke (width 0) (type default)) + (uuid ad70bb89-ca6a-4199-8237-316876a65845) + ) + + (wire (pts (xy 43.18 151.13) (xy 52.07 151.13)) + (stroke (width 0) (type default)) + (uuid ad9fb3ac-bdb5-43ec-b267-04a3ce47f3c4) + ) + (bus (pts (xy 191.77 90.17) (xy 191.77 92.71)) + (stroke (width 0) (type default)) + (uuid ada27856-3f0f-4cf6-bb1f-dee9bf9616fa) + ) + + (wire (pts (xy 135.89 31.75) (xy 144.78 31.75)) + (stroke (width 0) (type default)) + (uuid ade0b881-3bf6-4e57-a496-715d0bddfab1) + ) + (bus (pts (xy 104.14 25.4) (xy 99.06 25.4)) + (stroke (width 0) (type default)) + (uuid aec53bfc-43de-4ca7-8e15-58c0b7b3dc49) + ) + (bus (pts (xy 133.35 57.15) (xy 133.35 59.69)) + (stroke (width 0) (type default)) + (uuid b0bba20e-0cc3-47bb-9c54-0c1103bcdfdb) + ) + (bus (pts (xy 40.64 125.73) (xy 40.64 128.27)) + (stroke (width 0) (type default)) + (uuid b12d2eeb-4b39-44a4-b55a-5b09ce56d5f2) + ) + + (wire (pts (xy 135.89 143.51) (xy 144.78 143.51)) + (stroke (width 0) (type default)) + (uuid b15db430-72e0-4d96-91f9-b7e0e4d6b2c4) + ) + (wire (pts (xy 180.34 80.01) (xy 189.23 80.01)) + (stroke (width 0) (type default)) + (uuid b2637101-be22-4011-9a0e-9d9776d2c594) + ) + (wire (pts (xy 87.63 153.67) (xy 96.52 153.67)) + (stroke (width 0) (type default)) + (uuid b2a724b1-ad39-49e0-b502-d035a26baa98) + ) + (bus (pts (xy 40.64 52.07) (xy 40.64 54.61)) + (stroke (width 0) (type default)) + (uuid b3e1470c-35f8-4d3d-8e97-ee6294a07d40) + ) + + (wire (pts (xy 43.18 135.89) (xy 52.07 135.89)) + (stroke (width 0) (type default)) + (uuid b4579868-895d-4e3e-9b95-94e3bf933218) + ) + (bus (pts (xy 40.64 25.4) (xy 40.64 29.21)) + (stroke (width 0) (type default)) + (uuid b48f8c7e-6f17-40d8-bd3f-74e75f04a547) + ) + + (wire (pts (xy 135.89 130.81) (xy 144.78 130.81)) + (stroke (width 0) (type default)) + (uuid b5409892-4c61-48ab-910c-e53d940d4751) + ) + (wire (pts (xy 87.63 133.35) (xy 96.52 133.35)) + (stroke (width 0) (type default)) + (uuid b56d6ee7-e048-4966-a017-7fae0e2a4d29) + ) + (wire (pts (xy 135.89 102.87) (xy 144.78 102.87)) + (stroke (width 0) (type default)) + (uuid b600a87d-9d84-456a-b11f-4f6f3ffe17bb) + ) + (bus (pts (xy 40.64 29.21) (xy 40.64 34.29)) + (stroke (width 0) (type default)) + (uuid b621e8e8-9496-4bd3-9b6f-716b060cd7b6) + ) + + (wire (pts (xy 135.89 151.13) (xy 144.78 151.13)) + (stroke (width 0) (type default)) + (uuid b7befe95-729d-4d0c-b792-64a7e851c206) + ) + (bus (pts (xy 40.64 87.63) (xy 40.64 90.17)) + (stroke (width 0) (type default)) + (uuid b801051b-80ff-4c4e-82a4-2af863837e5f) + ) + + (wire (pts (xy 180.34 102.87) (xy 189.23 102.87)) + (stroke (width 0) (type default)) + (uuid b8535f22-a06a-47fd-ba60-428fbc40d0b8) + ) + (bus (pts (xy 40.64 133.35) (xy 40.64 135.89)) + (stroke (width 0) (type default)) + (uuid b91bf604-4607-4b40-85fc-bf0c0f7742ba) + ) + (bus (pts (xy 40.64 110.49) (xy 40.64 113.03)) + (stroke (width 0) (type default)) + (uuid bafb24ab-639c-497b-a3b0-606c9c650de2) + ) + (bus (pts (xy 40.64 100.33) (xy 40.64 107.95)) + (stroke (width 0) (type default)) + (uuid bbaaab41-3f73-4c18-bba4-69a51b7b5a55) + ) + (bus (pts (xy 99.06 82.55) (xy 99.06 85.09)) + (stroke (width 0) (type default)) + (uuid bc14fe3e-7d26-4864-a6ee-2a3eb974e0ed) + ) + (bus (pts (xy 133.35 110.49) (xy 133.35 113.03)) + (stroke (width 0) (type default)) + (uuid bd7d3432-47c1-4f3d-a00b-69deb44f4057) + ) + + (wire (pts (xy 180.34 74.93) (xy 189.23 74.93)) + (stroke (width 0) (type default)) + (uuid bdd90228-0241-46ef-b3e3-6a85badfd0ba) + ) + (wire (pts (xy 87.63 102.87) (xy 96.52 102.87)) + (stroke (width 0) (type default)) + (uuid bebe77ab-6447-4631-a7ec-4f52e91d1e44) + ) + (wire (pts (xy 135.89 140.97) (xy 144.78 140.97)) + (stroke (width 0) (type default)) + (uuid bed8d3c0-6900-4822-844b-7cacc4595312) + ) + (wire (pts (xy 135.89 133.35) (xy 144.78 133.35)) + (stroke (width 0) (type default)) + (uuid c1339d47-4a1a-4b26-94c0-9f20adda67de) + ) + (bus (pts (xy 191.77 26.67) (xy 191.77 29.21)) + (stroke (width 0) (type default)) + (uuid c4337e97-5d41-4e7a-ac29-3b88b08b5d9b) + ) + (bus (pts (xy 99.06 102.87) (xy 99.06 107.95)) + (stroke (width 0) (type default)) + (uuid c49b1f1b-67dd-4c54-bf51-b160472ec763) + ) + (bus (pts (xy 40.64 62.23) (xy 40.64 64.77)) + (stroke (width 0) (type default)) + (uuid c49d8191-089e-4a89-a5bf-59449a893ad9) + ) + (bus (pts (xy 35.56 25.4) (xy 40.64 25.4)) + (stroke (width 0) (type default)) + (uuid c4e054b4-a652-4576-b6f3-b4998c63bbec) + ) + (bus (pts (xy 99.06 128.27) (xy 99.06 130.81)) + (stroke (width 0) (type default)) + (uuid c5de6c14-9c01-4fd0-a1db-f0e56fd2a84e) + ) + (bus (pts (xy 191.77 72.39) (xy 191.77 74.93)) + (stroke (width 0) (type default)) + (uuid c6f077aa-8ce0-4b88-b945-d10a5ee540fa) + ) + (bus (pts (xy 40.64 130.81) (xy 40.64 133.35)) + (stroke (width 0) (type default)) + (uuid c839d954-3af3-4fcf-ae57-9dd3dd49a27d) + ) + + (wire (pts (xy 43.18 118.11) (xy 52.07 118.11)) + (stroke (width 0) (type default)) + (uuid c8799c82-fa45-4a87-ade9-ba3d44760ad2) + ) + (wire (pts (xy 87.63 67.31) (xy 96.52 67.31)) + (stroke (width 0) (type default)) + (uuid c8fa84e3-6787-4158-b3fc-539164be653d) + ) + (wire (pts (xy 96.52 110.49) (xy 87.63 110.49)) + (stroke (width 0) (type default)) + (uuid c8fc1533-fbda-4c31-a46a-14f4bed8560d) + ) + (bus (pts (xy 191.77 77.47) (xy 191.77 80.01)) + (stroke (width 0) (type default)) + (uuid ca51e00a-30a9-4061-b7eb-8295c3cf07af) + ) + (bus (pts (xy 99.06 148.59) (xy 99.06 151.13)) + (stroke (width 0) (type default)) + (uuid cb18e6ce-b3da-4557-b677-417a57b5b1cd) + ) + + (wire (pts (xy 142.24 44.45) (xy 144.78 44.45)) + (stroke (width 0) (type default)) + (uuid cbe5925b-e743-49b6-b1bf-c32703958e56) + ) + (bus (pts (xy 99.06 59.69) (xy 99.06 62.23)) + (stroke (width 0) (type default)) + (uuid cbebf2af-7892-41a1-9c5d-e21641da8578) + ) + (bus (pts (xy 99.06 29.21) (xy 99.06 31.75)) + (stroke (width 0) (type default)) + (uuid cc405d0b-f0dc-4524-92f9-a5e0de2bd70c) + ) + + (wire (pts (xy 43.18 115.57) (xy 52.07 115.57)) + (stroke (width 0) (type default)) + (uuid cc501b59-774c-4256-8e58-000974347de4) + ) + (wire (pts (xy 180.34 105.41) (xy 189.23 105.41)) + (stroke (width 0) (type default)) + (uuid ce5e2963-16ff-4bc6-9452-9c977907060e) + ) + (bus (pts (xy 133.35 130.81) (xy 133.35 133.35)) + (stroke (width 0) (type default)) + (uuid ce8be130-7ef3-49ea-842f-f449894e3d0d) + ) + + (wire (pts (xy 180.34 31.75) (xy 189.23 31.75)) + (stroke (width 0) (type default)) + (uuid cea14e00-b5a0-4633-b12c-f5a56ee22411) + ) + (bus (pts (xy 191.77 125.73) (xy 191.77 128.27)) + (stroke (width 0) (type default)) + (uuid ceb8e5c6-b77b-48f3-b8ed-04ee9665a085) + ) + (bus (pts (xy 99.06 41.91) (xy 99.06 44.45)) + (stroke (width 0) (type default)) + (uuid cf421efb-53a2-48f9-a53f-7501221a364f) + ) + (bus (pts (xy 99.06 57.15) (xy 99.06 59.69)) + (stroke (width 0) (type default)) + (uuid d05d3d06-838c-497a-9e54-882551ce386b) + ) + (bus (pts (xy 99.06 115.57) (xy 99.06 118.11)) + (stroke (width 0) (type default)) + (uuid d11ea7c3-abc5-43ef-b385-048a07e4b2c1) + ) + + (wire (pts (xy 180.34 143.51) (xy 189.23 143.51)) + (stroke (width 0) (type default)) + (uuid d166b52a-a203-4e84-b97f-df366ddb3986) + ) + (bus (pts (xy 133.35 74.93) (xy 133.35 77.47)) + (stroke (width 0) (type default)) + (uuid d199c4f0-1563-4017-92a5-2513f807ce81) + ) + + (wire (pts (xy 87.63 82.55) (xy 96.52 82.55)) + (stroke (width 0) (type default)) + (uuid d23a409b-e18a-4304-9fc1-c048a15831fe) + ) + (bus (pts (xy 196.85 25.4) (xy 191.77 25.4)) + (stroke (width 0) (type default)) + (uuid d2bbe95a-c6a4-45ea-bd8f-b7a3c0a365b0) + ) + (bus (pts (xy 99.06 39.37) (xy 99.06 41.91)) + (stroke (width 0) (type default)) + (uuid d475f046-65b9-4fc1-a309-31ee20926498) + ) + + (wire (pts (xy 135.89 69.85) (xy 144.78 69.85)) + (stroke (width 0) (type default)) + (uuid d49eac49-9421-4503-ab69-c9d14f29f60f) + ) + (wire (pts (xy 180.34 69.85) (xy 189.23 69.85)) + (stroke (width 0) (type default)) + (uuid d5ba5b70-e7d8-4389-84ea-1419eb64217f) + ) + (bus (pts (xy 40.64 64.77) (xy 40.64 67.31)) + (stroke (width 0) (type default)) + (uuid d6e0de17-ab4f-48f7-8ef5-a67787ac9aeb) + ) + + (wire (pts (xy 87.63 31.75) (xy 96.52 31.75)) + (stroke (width 0) (type default)) + (uuid d6e43a2e-664d-40b1-9a48-9e12b32e7027) + ) + (bus (pts (xy 133.35 64.77) (xy 133.35 67.31)) + (stroke (width 0) (type default)) + (uuid d77321f3-d836-4ebc-8bf0-c5bceef9c01e) + ) + (bus (pts (xy 133.35 67.31) (xy 133.35 69.85)) + (stroke (width 0) (type default)) + (uuid d7bba3f7-cc34-4eee-9567-a4e60cfb6e04) + ) + + (wire (pts (xy 180.34 120.65) (xy 189.23 120.65)) + (stroke (width 0) (type default)) + (uuid d7d56ac5-df80-4fec-a8f9-435a5706f497) + ) + (bus (pts (xy 191.77 44.45) (xy 191.77 46.99)) + (stroke (width 0) (type default)) + (uuid d83568a9-8939-4f80-b144-a547f5ae82cb) + ) + (bus (pts (xy 133.35 25.4) (xy 133.35 29.21)) + (stroke (width 0) (type default)) + (uuid d93022fb-c8ec-479d-810f-a8c4c5f8071f) + ) + (bus (pts (xy 99.06 130.81) (xy 99.06 133.35)) + (stroke (width 0) (type default)) + (uuid d93d42ef-9b82-489d-86f5-223146454c90) + ) + + (wire (pts (xy 43.18 74.93) (xy 52.07 74.93)) + (stroke (width 0) (type default)) + (uuid d9cf166c-b502-4bbc-b614-22576d36291b) + ) + (wire (pts (xy 135.89 57.15) (xy 144.78 57.15)) + (stroke (width 0) (type default)) + (uuid dacfb9ac-0d17-4b8b-983e-a094e074ce2b) + ) + (wire (pts (xy 135.89 72.39) (xy 144.78 72.39)) + (stroke (width 0) (type default)) + (uuid db84d9a7-985f-4325-96f3-1cfffe1ba247) + ) + (wire (pts (xy 87.63 46.99) (xy 96.52 46.99)) + (stroke (width 0) (type default)) + (uuid dc78bacc-23da-46f3-89e2-bf2a066b1c49) + ) + (wire (pts (xy 87.63 59.69) (xy 96.52 59.69)) + (stroke (width 0) (type default)) + (uuid dce27157-4d08-4b75-83ac-19066ce26ea3) + ) + (wire (pts (xy 43.18 82.55) (xy 52.07 82.55)) + (stroke (width 0) (type default)) + (uuid def3ee9d-312a-47db-b207-e215a132cb31) + ) + (wire (pts (xy 135.89 80.01) (xy 144.78 80.01)) + (stroke (width 0) (type default)) + (uuid df6863f4-9dad-46ca-aa98-ed2f6d734bd4) + ) + (wire (pts (xy 180.34 36.83) (xy 189.23 36.83)) + (stroke (width 0) (type default)) + (uuid e1da3999-d578-48e6-9c3c-ac9f47abdd93) + ) + (bus (pts (xy 191.77 74.93) (xy 191.77 77.47)) + (stroke (width 0) (type default)) + (uuid e1e03616-853d-472f-820a-bc807de5792e) + ) + (bus (pts (xy 40.64 59.69) (xy 40.64 62.23)) + (stroke (width 0) (type default)) + (uuid e2cdb707-5735-453e-adfe-ee96014f23ef) + ) + + (wire (pts (xy 180.34 92.71) (xy 189.23 92.71)) + (stroke (width 0) (type default)) + (uuid e3e18dab-fe7b-418f-b743-a24ed89dc339) + ) + (bus (pts (xy 40.64 113.03) (xy 40.64 115.57)) + (stroke (width 0) (type default)) + (uuid e419fc0a-d51f-4d8e-bde0-ebd778bbfc99) + ) + (bus (pts (xy 99.06 123.19) (xy 99.06 125.73)) + (stroke (width 0) (type default)) + (uuid e4ee8f03-0b8d-4761-b295-7255f20d0627) + ) + + (wire (pts (xy 87.63 57.15) (xy 96.52 57.15)) + (stroke (width 0) (type default)) + (uuid e737e1dd-f340-4d7a-b664-2040928313e2) + ) + (wire (pts (xy 87.63 85.09) (xy 96.52 85.09)) + (stroke (width 0) (type default)) + (uuid e76cd2f0-c25b-4c1a-80f7-2879f4b22623) + ) + (bus (pts (xy 191.77 92.71) (xy 191.77 95.25)) + (stroke (width 0) (type default)) + (uuid e7908dbd-187f-4956-abb9-82fedbbf8afd) + ) + + (wire (pts (xy 87.63 138.43) (xy 96.52 138.43)) + (stroke (width 0) (type default)) + (uuid e96690a1-3792-41a6-899a-04d2b9f7d773) + ) + (wire (pts (xy 87.63 49.53) (xy 96.52 49.53)) + (stroke (width 0) (type default)) + (uuid eba2660c-9607-460b-a307-da651ac2184f) + ) + (wire (pts (xy 87.63 90.17) (xy 96.52 90.17)) + (stroke (width 0) (type default)) + (uuid ec6c13a9-585c-47a4-a38f-add71daac496) + ) + (wire (pts (xy 87.63 29.21) (xy 96.52 29.21)) + (stroke (width 0) (type default)) + (uuid ecc78038-f1bb-499f-840d-29d922de3e71) + ) + (wire (pts (xy 87.63 62.23) (xy 96.52 62.23)) + (stroke (width 0) (type default)) + (uuid edc7e525-986f-4ddd-8c3a-9cc933a35c98) + ) + (bus (pts (xy 99.06 140.97) (xy 99.06 143.51)) + (stroke (width 0) (type default)) + (uuid eea569e7-270f-4584-b57b-b39c58980b86) + ) + (bus (pts (xy 99.06 62.23) (xy 99.06 64.77)) + (stroke (width 0) (type default)) + (uuid ef20783c-d610-477a-bd32-a12919565064) + ) + + (wire (pts (xy 43.18 143.51) (xy 52.07 143.51)) + (stroke (width 0) (type default)) + (uuid ef9dbb26-b71d-41b2-b157-14d6dcef9f8b) + ) + (wire (pts (xy 135.89 62.23) (xy 144.78 62.23)) + (stroke (width 0) (type default)) + (uuid efff3acc-6a09-4537-a7db-4630fafa66ed) + ) + (bus (pts (xy 133.35 138.43) (xy 133.35 140.97)) + (stroke (width 0) (type default)) + (uuid f0dab1b0-4ac6-4ec2-a037-b00aa2e3df02) + ) + + (wire (pts (xy 87.63 113.03) (xy 96.52 113.03)) + (stroke (width 0) (type default)) + (uuid f2d17455-d64c-447a-a805-c8d8e7ec36ba) + ) + (wire (pts (xy 180.34 118.11) (xy 189.23 118.11)) + (stroke (width 0) (type default)) + (uuid f3f1d2f1-7aa7-4668-a3ff-63ef937ed845) + ) + (wire (pts (xy 87.63 52.07) (xy 96.52 52.07)) + (stroke (width 0) (type default)) + (uuid f4e40818-34f8-47e1-980c-fa7f796555e1) + ) + (wire (pts (xy 135.89 113.03) (xy 144.78 113.03)) + (stroke (width 0) (type default)) + (uuid f5526b87-2f1c-465f-b347-cbd13f8e4bb6) + ) + (bus (pts (xy 133.35 85.09) (xy 133.35 87.63)) + (stroke (width 0) (type default)) + (uuid f620950e-7ccb-415f-b419-7bf2d7f65a3a) + ) + (bus (pts (xy 133.35 77.47) (xy 133.35 80.01)) + (stroke (width 0) (type default)) + (uuid fa50b8cd-b4c0-45f0-9476-d9054aacaa57) + ) + + (wire (pts (xy 87.63 100.33) (xy 96.52 100.33)) + (stroke (width 0) (type default)) + (uuid fa6b67cd-f813-478c-ae7a-bc35da03844c) + ) + (bus (pts (xy 40.64 72.39) (xy 40.64 74.93)) + (stroke (width 0) (type default)) + (uuid fb511fc9-4452-43e6-9d12-942d0fbc97ca) + ) + (bus (pts (xy 99.06 36.83) (xy 99.06 39.37)) + (stroke (width 0) (type default)) + (uuid fb875e64-6b60-46d5-b7a7-beceb660a844) + ) + (bus (pts (xy 133.35 82.55) (xy 133.35 85.09)) + (stroke (width 0) (type default)) + (uuid fc6dd946-c8f7-4689-bcbe-9c93e61b6754) + ) + + (wire (pts (xy 180.34 72.39) (xy 189.23 72.39)) + (stroke (width 0) (type default)) + (uuid fcd32551-f434-45fe-ba93-5ca85b6f06ab) + ) + (wire (pts (xy 43.18 64.77) (xy 52.07 64.77)) + (stroke (width 0) (type default)) + (uuid fd07caf2-17bc-4f7b-a98d-d42cd0e54bc1) + ) + (bus (pts (xy 133.35 80.01) (xy 133.35 82.55)) + (stroke (width 0) (type default)) + (uuid fd091264-6890-44b2-9352-628db65ebdef) + ) + (bus (pts (xy 99.06 77.47) (xy 99.06 80.01)) + (stroke (width 0) (type default)) + (uuid fd0c2dc7-88fd-4e3b-b6d8-8b17c9befa71) + ) + + (wire (pts (xy 135.89 128.27) (xy 144.78 128.27)) + (stroke (width 0) (type default)) + (uuid fd34023b-bc3a-491e-8b15-1d57eab9af07) + ) + (wire (pts (xy 180.34 135.89) (xy 189.23 135.89)) + (stroke (width 0) (type default)) + (uuid fe7ddde9-2ddd-44db-928a-a2c98a72ee22) + ) + (bus (pts (xy 99.06 69.85) (xy 99.06 72.39)) + (stroke (width 0) (type default)) + (uuid fec058be-99e5-4d3f-a140-cf63fc60a165) + ) + (bus (pts (xy 191.77 128.27) (xy 191.77 130.81)) + (stroke (width 0) (type default)) + (uuid ffa6423e-6b85-4871-b6a4-641afc03d8fe) + ) + + (wire (pts (xy 140.97 147.32) (xy 144.78 147.32)) + (stroke (width 0) (type default)) + (uuid ffbd7f10-4d21-4d48-aef3-3fce7ca07340) + ) + + (label "LA23" (at 87.63 113.03 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 011b5c1e-f0f7-49c9-b853-84d5bd56f5a1) + ) + (label "SA12" (at 180.34 74.93 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 026ef45f-49cc-40e6-be22-8ca8de8707b5) + ) + (label "SA16" (at 180.34 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 041abd29-9842-4946-bf6c-8eded173fb29) + ) + (label "SD12" (at 87.63 146.05 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 067a1be2-5531-47af-921e-4ae638d949e7) + ) + (label "IRQ12" (at 144.78 120.65 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 07cf140b-532c-43d0-b372-ee9393e4cbef) + ) + (label "IRQ4" (at 52.07 87.63 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 08a291da-70d6-4660-b1b4-4f5085290b56) + ) + (label "BALE" (at 144.78 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 091a9484-a10f-4de1-8c5f-316175f9ba59) + ) + (label "SD15" (at 180.34 153.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0923e14d-9741-4939-bab3-d6c68e5f4650) + ) + (label "SA7" (at 180.34 87.63 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 098a7c75-925b-4696-bc7a-5f8e9498e35b) + ) + (label "LA17" (at 87.63 128.27 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0b69c6e8-810f-436d-bfdc-2c58fcdee804) + ) + (label "~{DACK0}" (at 144.78 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0fa39564-34c4-406d-af1e-ab3cb03c9918) + ) + (label "~{SMEMR}" (at 144.78 57.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 104d35a0-a004-4ec5-b0b9-6afd8c83f371) + ) + (label "~{MEMW}" (at 180.34 133.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 11e77413-07ec-4173-895a-7e7b95a4b2fc) + ) + (label "DRQ2" (at 144.78 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 12e22490-029d-4aea-a083-e9934e6be6c3) + ) + (label "~{IOC16}" (at 52.07 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 19251a1e-d6cf-49e8-8d51-d9d790b4fa6e) + ) + (label "~{DACK6}" (at 144.78 138.43 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1a29c0e3-318c-4160-93d8-1a6d02dfb0c9) + ) + (label "SA2" (at 180.34 100.33 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1c24d7fe-e3e7-4211-96f1-de4e73ee6405) + ) + (label "IRQ5" (at 52.07 85.09 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1d720b5b-cb5b-4707-b564-f022c30f5b5e) + ) + (label "SD13" (at 180.34 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1e492a9c-3afc-4781-a8b4-a6daba8d5c92) + ) + (label "SD11" (at 87.63 143.51 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1ee41f0a-38ad-4b8e-9a27-61f0a2a4ca7f) + ) + (label "DRQ1" (at 52.07 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1f393087-bc01-4ef9-8210-511ea150f9a2) + ) + (label "~{IOW}" (at 144.78 59.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1f91aebf-c88f-4713-804b-a8ff46fe8aca) + ) + (label "SA5" (at 87.63 92.71 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2022d2b7-5b55-434e-a8aa-6a05ca2b4c27) + ) + (label "SD6" (at 180.34 34.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 207e79d3-c1c6-41bd-91c7-df893e4e84dd) + ) + (label "IRQ7" (at 144.78 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2222656b-a182-4161-a838-24b3c92f096a) + ) + (label "SA3" (at 180.34 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 23c517ef-8a43-4e57-ad6f-f93e9a5a692a) + ) + (label "SD5" (at 180.34 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2413742d-53f8-448d-b1a1-2fe7682204de) + ) + (label "~{SBHE}" (at 87.63 110.49 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 254f1861-5674-4a27-9de8-a3666552fa05) + ) + (label "SD5" (at 87.63 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 258d1b5c-0046-47dd-b5f9-65ee948b1a77) + ) + (label "IOCHRDY" (at 180.34 52.07 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 291710f2-0ba1-4385-9701-e81ce189b59b) + ) + (label "DRQ2" (at 52.07 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 294e4e92-124d-4427-8db9-9317e6fbadef) + ) + (label "SD1" (at 180.34 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 29fe1b1e-28b9-4a83-a114-0126c6ee75df) + ) + (label "~{IOR}" (at 52.07 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2d5414b5-d286-4d2e-b8bc-f795767fc5b7) + ) + (label "SD12" (at 180.34 146.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 320e44f8-fb6c-4360-878c-7b5a87b0052c) + ) + (label "LA18" (at 180.34 125.73 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 32567895-afbd-4c59-acb8-e3f1c4abbf28) + ) + (label "~{REFRESH}" (at 144.78 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 329691e4-291a-437f-b94a-1a0f85b9caf5) + ) + (label "BCLK" (at 52.07 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 34cea269-45bb-4359-ac8b-54975c2ab6f5) + ) + (label "~{REFRESH}" (at 52.07 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 35ead0b4-3d38-4a05-b716-54c622cd7e36) + ) + (label "~{MASTER}" (at 144.78 151.13 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 36079c26-cf11-4611-9720-db9e3cab6f19) + ) + (label "~{DACK1}" (at 52.07 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3b42f1ec-cc04-45d8-80f5-dcfc654bbe29) + ) + (label "OSC" (at 144.78 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3ba43239-545f-4dde-8b32-bacae0d03557) + ) + (label "~{IOCHK}" (at 87.63 29.21 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3c41e8da-643e-47a4-94f4-25806c16715a) + ) + (label "SD10" (at 87.63 140.97 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3c8ae907-b3fb-4e6b-a90e-cf2edcb50d80) + ) + (label "SD13" (at 87.63 148.59 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3ee860a6-1061-4bde-b726-37e2689425a2) + ) + (label "SA9" (at 180.34 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 40ed93f1-355e-43e1-b99a-bb37b46ee955) + ) + (label "SD10" (at 180.34 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 457ecc69-b5aa-4497-a8e0-62d1e64ced69) + ) + (label "~{DACK6}" (at 52.07 138.43 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 45c9318b-cedf-4629-b697-33c6fc034c64) + ) + (label "IRQ6" (at 52.07 82.55 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4677345e-b430-4b9f-b839-8e6a95d03edd) + ) + (label "DRQ3" (at 52.07 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 47c0a7b9-7282-4c30-b6e2-ba5cc0164af5) + ) + (label "LA22" (at 87.63 115.57 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4f0c9fc1-51db-4503-8631-d14243d470ac) + ) + (label "SA6" (at 87.63 90.17 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 51590f67-7786-4ff0-9754-de527c9c4e0a) + ) + (label "IRQ5" (at 144.78 85.09 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 51b8aed1-83d5-4f6b-9370-4a0574178e7e) + ) + (label "~{DACK2}" (at 144.78 92.71 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 532e5483-24d1-4f25-9309-85a376588f00) + ) + (label "~{MEMCS16}" (at 52.07 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 558220b4-48fe-4510-a89a-141deb33c1c6) + ) + (label "SA14" (at 87.63 69.85 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 55a1b149-33e6-4b76-9ffa-d71f1194654f) + ) + (label "SD0" (at 180.34 49.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 57c07901-fdab-4ca3-81a7-12d00b61e721) + ) + (label "~{MASTER}" (at 52.07 151.13 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 59c993e6-d2bd-4b6b-a843-006dfa93a567) + ) + (label "IRQ10" (at 144.78 115.57 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5c8cad85-5212-4b69-b577-f2f2e3c5b4e3) + ) + (label "SA9" (at 87.63 82.55 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5cbf0a87-f160-4709-85e0-a84d1cfde65d) + ) + (label "DRQ3" (at 144.78 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5cd7defd-63a3-4c79-9333-c28fa12409ce) + ) + (label "LA21" (at 87.63 118.11 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5f412d07-6ebe-4b5d-86c7-2bade35087fe) + ) + (label "SD14" (at 180.34 151.13 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 62ba578d-91ba-4259-8224-20baae8f6533) + ) + (label "IRQ3" (at 144.78 90.17 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6364fc30-3da6-46d3-8eaa-9759e60442c3) + ) + (label "LA19" (at 87.63 123.19 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 65ff90f9-e310-4581-903d-e0d652f74508) + ) + (label "IRQ9" (at 52.07 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 66964b59-a136-441a-90fa-2fd8dd1f01c5) + ) + (label "SA18" (at 180.34 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 682e3590-c6b9-43b4-a160-5ba2691a4387) + ) + (label "LA19" (at 180.34 123.19 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 68489bd8-b067-441c-b16a-54d69dd19fd1) + ) + (label "~{SMEMR}" (at 52.07 57.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 694646db-4de9-48d6-a6df-e875caa58f84) + ) + (label "SD3" (at 87.63 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6a3b5782-19a2-4b71-9950-d2b75ef5f5bd) + ) + (label "SA19" (at 180.34 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6da9a6e2-7517-4f8f-813d-69e0aba0b5b9) + ) + (label "LA22" (at 180.34 115.57 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6eeef844-0be3-48f9-97dd-e996b7700e7d) + ) + (label "SA10" (at 87.63 80.01 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6f367eaa-17af-466f-b89c-d442a4ccb27b) + ) + (label "IRQ14" (at 52.07 125.73 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 70a1d7a2-3aff-4940-8e7e-a40c1ef8d2ad) + ) + (label "~{DACK0}" (at 52.07 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 75105d2a-b20a-4e64-afe8-866fffdbf117) + ) + (label "~{SBHE}" (at 180.34 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 76114663-4730-472a-8d48-de47b08b1b89) + ) + (label "~{IOW}" (at 52.07 59.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 79a4e2f0-1bf5-440a-b8ba-fbdd71d349ca) + ) + (label "SD4" (at 87.63 39.37 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 79b0360c-82ed-409d-8b7d-ba3870cd1185) + ) + (label "SD11" (at 180.34 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7b3971c8-be80-4656-b5ba-0a169f8ea87c) + ) + (label "SD7" (at 180.34 31.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7bc8c8df-1c24-48ec-a5fb-22068ef7dbf7) + ) + (label "IRQ14" (at 144.78 125.73 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7d41a526-7bb8-44a7-97fa-20678a2f01a5) + ) + (label "DRQ0" (at 144.78 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7d6c9b31-3599-414a-84f3-54d8c18c0c09) + ) + (label "SD7" (at 87.63 31.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7e5fd43d-2ae5-4c3a-982d-48c4a6feef8a) + ) + (label "SD6" (at 87.63 34.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7e680a04-d679-4a24-81d7-a853e60da0e4) + ) + (label "SA10" (at 180.34 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7f9bc8b4-54c0-41d5-950f-05d0f1a9881f) + ) + (label "DRQ5" (at 144.78 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7faeb90e-1017-4307-9b36-f95c1b994fd3) + ) + (label "DRQ7" (at 52.07 146.05 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 80361166-4c4a-4a10-9b11-b298f1fda270) + ) + (label "SA19" (at 87.63 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8206a1d4-fa0f-4365-8f73-aef505e3a9ee) + ) + (label "SD1" (at 87.63 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 824662ed-aa0f-453c-9f23-3fca3c225416) + ) + (label "SA18" (at 87.63 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 863a3c29-0302-42b9-a4b2-1ff75e5012f7) + ) + (label "SA15" (at 180.34 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8853bd6b-ff6b-46ed-84de-597bad78d870) + ) + (label "SA4" (at 180.34 95.25 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8902e2e0-1a4f-4d8c-80f7-99d3249fb986) + ) + (label "LA21" (at 180.34 118.11 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8905be16-7be8-412f-bd89-5583c5f2c1c2) + ) + (label "IRQ4" (at 144.78 87.63 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 894be66f-f9f9-48c6-8417-173da0d25390) + ) + (label "AEN" (at 180.34 54.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a7e7c6b-2dd0-45b6-9f6d-c34f5cf5d9f8) + ) + (label "~{SMEMW}" (at 52.07 54.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8e730120-1029-4e5c-a8b6-e37ff612600a) + ) + (label "~{DACK3}" (at 52.07 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8efea3eb-2569-48e5-8edb-8f468db297da) + ) + (label "SA8" (at 87.63 85.09 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9170faba-ab06-4f2e-b027-6801498fae0b) + ) + (label "SA17" (at 180.34 62.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 962980df-725a-4757-9f7e-8b7710372a83) + ) + (label "DRQ0" (at 52.07 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 977e7bf1-002c-4c84-8256-d86c7b9eb2a1) + ) + (label "SD0" (at 87.63 49.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 97edd0c3-0b52-40a3-89b9-8cdb4a12039b) + ) + (label "BALE" (at 52.07 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9878467d-7283-42fe-ae98-9c7bc025d19e) + ) + (label "DRQ7" (at 144.78 146.05 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9928b7b9-fa59-46c2-b28c-032e6ca8b7ec) + ) + (label "~{IOR}" (at 144.78 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 99c18fa3-4533-4e71-9452-cef18d90c5d8) + ) + (label "SA1" (at 87.63 102.87 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9ae5f94b-01f8-41e4-9bfe-c87561aa738f) + ) + (label "TC" (at 52.07 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9afa9692-3bb6-4635-9d8a-e0167c47ce3b) + ) + (label "SA4" (at 87.63 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9c292faa-c9d1-4bba-8938-05e8406f6052) + ) + (label "TC" (at 144.78 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9c7db2d4-bef9-4a6c-ab2f-3523375b8b9f) + ) + (label "~{MEMR}" (at 180.34 130.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9d624ebb-19a3-4289-8ae8-e50b569fa201) + ) + (label "IRQ15" (at 52.07 123.19 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9eab531c-e1d1-4ef3-9161-1e2f051932fc) + ) + (label "IRQ10" (at 52.07 115.57 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9f761e18-4a19-4e85-aebd-84f1903d57db) + ) + (label "DRQ5" (at 52.07 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a3dce4c6-0d27-4c70-aec3-4f66822e12eb) + ) + (label "SA12" (at 87.63 74.93 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a6972584-f55a-4ce5-886a-dd1016da1da3) + ) + (label "DRQ6" (at 144.78 140.97 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a6a70e8d-9340-4258-b0fb-102a550d364f) + ) + (label "IRQ7" (at 52.07 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a6c35c53-1996-4068-ae50-9253480707de) + ) + (label "~{DACK1}" (at 144.78 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a81b1048-9690-445d-9ecd-4adcd8ace9ce) + ) + (label "SA13" (at 87.63 72.39 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a842b8e6-88e8-42f6-9e64-1b4a41ea77a5) + ) + (label "DRQ1" (at 144.78 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a88363bd-f44d-481c-b756-0b42cd043902) + ) + (label "SA6" (at 180.34 90.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ab09320b-ae96-4eb8-887d-b575236b4550) + ) + (label "SA3" (at 87.63 97.79 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ac1cab5f-e4f8-4da6-b1b6-460888cf7a74) + ) + (label "OSC" (at 52.07 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b0274d74-41fa-4926-bb2c-7a234db773fb) + ) + (label "LA17" (at 180.34 128.27 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b47dd219-5538-4711-8139-e0291330aacb) + ) + (label "IOCHRDY" (at 87.63 52.07 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b4aede48-8880-447f-af66-678167770b89) + ) + (label "SA14" (at 180.34 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b7d17792-9f97-45af-a62e-29a8feb99242) + ) + (label "SA1" (at 180.34 102.87 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid baa50fba-b089-4f12-a6d3-e856b20f639a) + ) + (label "LA20" (at 87.63 120.65 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bc04843c-8d95-4e4a-ac3b-17f298b18fe4) + ) + (label "IRQ6" (at 144.78 82.55 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid beb9ae26-5859-4005-aaab-679863f830c4) + ) + (label "SA8" (at 180.34 85.09 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c02cfb24-3d67-49f1-a4c8-5fa16ebbed18) + ) + (label "SA13" (at 180.34 72.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c18ddf80-e76f-4e42-b75e-826db20af4db) + ) + (label "~{DACK2}" (at 52.07 92.71 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c22bed69-e3f8-42f2-828e-1e652183efd0) + ) + (label "SD8" (at 87.63 135.89 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c45b90fe-fc6b-4a3b-b059-9e92e4eb218d) + ) + (label "SD9" (at 87.63 138.43 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c7194d36-e2a8-4f83-99d8-7bf3c48b86c5) + ) + (label "SD2" (at 180.34 44.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c8e14a6c-26ff-45e1-bd84-be18edff4514) + ) + (label "~{DACK5}" (at 52.07 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c95b7a52-5629-4fef-b9ed-5186d6f18a2f) + ) + (label "IRQ3" (at 52.07 90.17 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid cd5e9560-a9c0-4fae-9b93-9289cf4b0c1d) + ) + (label "SD2" (at 87.63 44.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ce251208-657e-4670-9369-ecea4e8c1d58) + ) + (label "BCLK" (at 144.78 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ceb72e2b-2d3c-43e3-891f-ca0ec0a78baa) + ) + (label "SD8" (at 180.34 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cf96922d-3490-4430-8761-d8518c512132) + ) + (label "SD9" (at 180.34 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d107d285-9359-4176-b84f-966782720c2d) + ) + (label "SA11" (at 180.34 77.47 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d17c866a-a030-47b1-aa22-a3aee587b943) + ) + (label "~{MEMR}" (at 87.63 130.81 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d1c4e483-a700-4a97-b419-dc657154fd01) + ) + (label "SD3" (at 180.34 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d233662f-9ede-4202-b2d1-ba841c885b41) + ) + (label "~{MEMCS16}" (at 144.78 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d27d16af-77ca-43c3-b29c-4235a5085b87) + ) + (label "SA17" (at 87.63 62.23 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d3402fb1-97c0-4c60-8a2d-dff8c41893ef) + ) + (label "~{IOC16}" (at 144.78 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d78bf80e-8184-4afb-a31b-48a37ad847ef) + ) + (label "SD14" (at 87.63 151.13 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da044ddb-1c5b-49d1-99ea-4d8325ac7979) + ) + (label "SA0" (at 87.63 105.41 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da69b33a-31d1-40d0-8fbd-58832b51dbbb) + ) + (label "SA7" (at 87.63 87.63 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da7a5a47-3dbb-427f-b69a-2221136b1ba0) + ) + (label "RESET" (at 52.07 31.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dc0bcb44-a74a-43cc-9e68-aa83c85671ae) + ) + (label "IRQ11" (at 52.07 118.11 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dc3d7ea2-8505-487e-ad23-937bb377e011) + ) + (label "IRQ11" (at 144.78 118.11 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid defa91d4-0875-4aa1-b7a8-619d99356673) + ) + (label "SA2" (at 87.63 100.33 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e027c028-016b-4618-ac0d-05f72612c67e) + ) + (label "DRQ6" (at 52.07 140.97 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e212ddca-8b72-4e01-b9b9-a4aff5e5f408) + ) + (label "SA5" (at 180.34 92.71 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e3f9b0e5-7047-4933-a905-8db63074b92d) + ) + (label "~{DACK3}" (at 144.78 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e4005b8b-a368-49c7-9eb0-a103efe00c96) + ) + (label "SA15" (at 87.63 67.31 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e55b2335-5029-46c3-b404-5bb63873616e) + ) + (label "SA0" (at 180.34 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e660041e-7d79-4f41-bce1-0ec45a3244fd) + ) + (label "~{MEMW}" (at 87.63 133.35 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e723e7fb-01fd-45a2-9b2e-5f0d3ea15cfe) + ) + (label "LA18" (at 87.63 125.73 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ea482aae-d7e9-4cc0-8436-9623dd884a50) + ) + (label "SA16" (at 87.63 64.77 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ebab0ee6-ba95-4614-8965-5e7123c655fd) + ) + (label "~{DACK7}" (at 52.07 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ebf725fd-04a8-4abf-9767-fabd471c6e07) + ) + (label "LA20" (at 180.34 120.65 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid edd5b25b-118f-4d16-938f-cdc1dd8306d2) + ) + (label "SD15" (at 87.63 153.67 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid efcc0e1e-774b-4b09-a863-04f10056f24a) + ) + (label "~{IOCHK}" (at 180.34 29.21 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f0e94210-514f-4acc-829c-b5ef31a787a5) + ) + (label "LA23" (at 180.34 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f210dd9c-8d71-4b09-aa8f-7e237cd55979) + ) + (label "RESET" (at 144.78 31.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f25e32c7-0caf-4425-9d61-179a961d0722) + ) + (label "IRQ12" (at 52.07 120.65 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f5b0e415-674d-4cc8-8f59-44aaecacf3c8) + ) + (label "SD4" (at 180.34 39.37 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f7eb3c6e-f118-4d1e-a3b2-8a5e5084085e) + ) + (label "AEN" (at 87.63 54.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f915daaf-9430-4bc9-8d8f-d3c247b59ffb) + ) + (label "~{DACK5}" (at 144.78 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f99781f5-34aa-4017-b3e0-b59492652f95) + ) + (label "IRQ15" (at 144.78 123.19 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fa3d5e95-68dd-46c6-a179-14d8c35a7bd9) + ) + (label "~{DACK7}" (at 144.78 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fad4bdc1-980a-434c-9715-ebc79a4065b1) + ) + (label "~{SMEMW}" (at 144.78 54.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fbe19881-2deb-40a4-b900-dda593807500) + ) + (label "SA11" (at 87.63 77.47 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fc29e8fc-7c3b-4dce-95de-3fd6d7237a22) + ) + (label "IRQ9" (at 144.78 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fde15fb4-473a-4fc2-8ac4-2924b07d911c) + ) + + (global_label "{ISA16}" (shape input) (at 128.27 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 17769944-27d4-4ff7-b011-65136dda7ece) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 118.2695 25.4 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "{ISA16}" (shape input) (at 35.56 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 624adb21-9b08-4ca1-a935-e4fe36e3b3e7) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 25.5595 25.4 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "{ISA16}" (shape input) (at 104.14 25.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7f056648-7057-4a89-b9d1-fa36160f16fa) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 114.1405 25.4 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "{ISA16}" (shape input) (at 196.85 25.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bc291877-2b3d-4fa5-b52f-932f5620bdaa) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 206.8505 25.4 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + + (symbol (lib_id "power:-12V") (at 142.24 44.45 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 01c8b66d-08df-4ae3-9d83-6464dc45c8b3) + (property "Reference" "#PWR023" (at 139.7 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 139.7 44.45 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 142.24 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 142.24 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e52d17c5-7a26-4daa-a2b6-6cae97343360)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR023") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-5V") (at 140.97 39.37 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 10f135d1-6b36-47b5-953b-dd4016dac229) + (property "Reference" "#PWR019" (at 138.43 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 138.43 39.37 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 140.97 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 140.97 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e42fd1c7-8545-4cbd-b2fa-2f039a7db9b0)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR019") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+12V") (at 49.53 49.53 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 18900bad-4432-47d2-a0ea-fcdd3960bea0) + (property "Reference" "#PWR014" (at 53.34 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 46.99 49.53 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 49.53 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 49.53 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 352c8b98-c18d-4dc0-bd97-939ac70716a7)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR014") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:Bus_ISA_16bit") (at 69.85 92.71 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 48c71857-7d2b-416f-86ab-c8c6a02fd6af) + (property "Reference" "J2" (at 69.85 21.59 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_ISA_16bit" (at 69.85 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "local:EBC49DCWN-S420" (at 69.85 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://en.wikipedia.org/wiki/Industry_Standard_Architecture" (at 69.85 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2a804b55-0778-4082-b5d0-10929c37e37b)) + (pin "31" (uuid 3f63d315-6ee3-4344-ad45-b8af43c4d947)) + (pin "32" (uuid 998b6466-c04b-44e5-8f10-2150310d7ec6)) + (pin "88" (uuid df7368c1-bb37-4b23-b322-98bb43b0e6ad)) + (pin "89" (uuid c725925c-42e3-4fed-bdaf-23f378e3cec2)) + (pin "9" (uuid 2e906224-2050-4c8b-beac-3a178723d067)) + (pin "90" (uuid ed22597d-cf51-48bb-bedb-61f01ac16b0b)) + (pin "91" (uuid 7606338b-3f95-4d79-992e-5fa8e084bd27)) + (pin "23" (uuid 0afe08c0-12e5-40bf-9252-ce878a03f31b)) + (pin "41" (uuid 5bafbe16-96ec-4663-8b01-8cf860afc854)) + (pin "42" (uuid b13f5317-7a70-42bb-9d05-8784c6650cde)) + (pin "43" (uuid 51351d09-3209-47d8-a0a4-3452262c8c10)) + (pin "44" (uuid 9c7b7655-03b0-4980-a66c-5a225ba8cf74)) + (pin "45" (uuid 9531ec0a-fc4e-4b29-a48e-98f239459d8f)) + (pin "46" (uuid 889822b5-12fa-4e0a-9449-fb920e977087)) + (pin "47" (uuid 08441f05-bd69-4dab-a4cc-c932bff77151)) + (pin "48" (uuid 026a7216-3adb-414d-b100-f4a6e5adbd8c)) + (pin "49" (uuid 1b8c97df-1980-4bf8-b7d0-bcadae3d10b0)) + (pin "5" (uuid fce1b793-3bb7-48c7-8fba-b8577425b02a)) + (pin "50" (uuid f7042986-65ef-4d7d-96a6-1ecc8c8590e4)) + (pin "51" (uuid e31c38e9-5ebd-4d83-a76d-3ca1995a5cad)) + (pin "52" (uuid ab0a04ea-1025-4e6e-84b0-f74f5d8cdcee)) + (pin "53" (uuid 398980d2-13e3-4be9-8498-81cfee8c97cb)) + (pin "54" (uuid 7f1d2941-c90e-488f-a048-ae7eea007806)) + (pin "55" (uuid 866cadfe-60bb-483a-8e23-8dba9d56d57c)) + (pin "56" (uuid 69e44928-19f1-4827-9563-ca3752dab015)) + (pin "57" (uuid d66afb83-7970-4004-821f-7ae3f160f6ce)) + (pin "58" (uuid 041bfbdd-3459-4815-ab20-4ddf58dbdb48)) + (pin "59" (uuid 6feeb928-0e22-4646-b619-b20d3a96f84a)) + (pin "6" (uuid 3318c0a1-35f7-453b-b5ef-dcb72990dac3)) + (pin "60" (uuid c25572c6-849f-483d-8df4-260810ba74ed)) + (pin "61" (uuid 98399ca0-d7db-490f-b244-9e3f2d9183e1)) + (pin "62" (uuid 6baf49e7-3f64-4ae5-b1c4-61c2f272320c)) + (pin "63" (uuid b108fc0a-4f8a-4a0f-8569-87c435194b16)) + (pin "64" (uuid 78fc1096-9122-443c-b169-2c00381e1cb7)) + (pin "65" (uuid e90e906f-ffdf-4b9d-ba2e-e8d453ba81ed)) + (pin "66" (uuid ad4abdb3-8132-48ac-bf70-298688275e8d)) + (pin "67" (uuid f196e595-9792-4c1c-9475-aefbe7b8a7d6)) + (pin "68" (uuid c98a1b35-a95f-4ddc-a5f3-583327e5ad53)) + (pin "7" (uuid f9ea697e-d2e9-446c-beba-2a9db813514d)) + (pin "70" (uuid 56d46baa-b1c5-4612-b706-903828c75f7e)) + (pin "71" (uuid d4f43e40-9308-4ca4-87dd-a53851e7dc7f)) + (pin "72" (uuid b58196c7-ea12-42d1-b5bc-d2b273d2fc48)) + (pin "73" (uuid ee1e7727-5a35-4cfc-bd58-31cc0e7199e8)) + (pin "74" (uuid bca782ef-9901-4904-a9b8-fbc5dc441276)) + (pin "75" (uuid 7b799f36-ad3f-4597-91ed-107ea127611e)) + (pin "76" (uuid f5220c5f-01a5-4e1c-8761-32e36f4d5eed)) + (pin "77" (uuid d6b8253b-4646-4b17-8d8a-8125ce2549ef)) + (pin "78" (uuid e98f8565-1c87-4eb9-8059-c88d8ef43043)) + (pin "79" (uuid d4d97f93-20bb-47f9-a41c-df6cee253d14)) + (pin "8" (uuid 73c7b76f-8a2f-4549-b715-386d414e79ec)) + (pin "80" (uuid b80c1d8d-5248-4515-8b4e-b7bce08ea5e3)) + (pin "81" (uuid 6cf59693-de8a-4eb1-8967-1d9dbfa70af6)) + (pin "82" (uuid 436856e0-f88f-4f1f-9a7a-81f62e436fc5)) + (pin "83" (uuid 486040a7-149b-40b2-a90f-1c26a94538dd)) + (pin "84" (uuid 8c9f9255-9a17-43b8-bf84-60478d46ba4e)) + (pin "85" (uuid 268e7fea-cd48-4edc-8585-c147fd6b00f9)) + (pin "86" (uuid c883b309-cb0a-4a40-97ba-e92bfc4ee4a3)) + (pin "87" (uuid 65570d94-538f-421f-999e-ba8207513261)) + (pin "92" (uuid 1df98e4c-b16c-40fa-be12-cc4e17b3b349)) + (pin "93" (uuid c2f01a42-b0fd-4f64-a3ac-56761759e0ba)) + (pin "94" (uuid bc825b2c-30d6-4dd7-b8c6-4e62008afb86)) + (pin "95" (uuid 7629580f-bbdd-4e2d-9b69-d29981d53437)) + (pin "96" (uuid ef2ec621-08c6-4ccd-8322-b6fec0b79d89)) + (pin "97" (uuid b9340958-2ca7-41db-b20a-9337759fc9a5)) + (pin "98" (uuid 208d9e26-5893-4508-8683-d92c967db6fe)) + (pin "69" (uuid ac6a9e19-455d-410d-8f18-c0229f971483)) + (pin "40" (uuid 679225fd-98a0-425b-acd1-17ba0c8cfe11)) + (pin "35" (uuid 9df080d0-587e-4144-9634-46763905d0da)) + (pin "36" (uuid c1e065e9-6392-472b-97ff-5efa89f2ae8d)) + (pin "37" (uuid 19a9bd77-1cda-4c97-bf58-da8afa93061f)) + (pin "38" (uuid 789de4a3-49b7-4d52-9b92-3f30bcb29510)) + (pin "39" (uuid 07bc3b84-acaa-4d47-a627-c4010ca01117)) + (pin "4" (uuid ff5c73e4-a009-4740-9696-e10406b4fbe7)) + (pin "3" (uuid 6073a5c7-7088-4789-aa81-e3ab6b3d56c5)) + (pin "30" (uuid 74609b1a-d05b-4256-a491-d275122410f4)) + (pin "10" (uuid 935fba11-fc31-49ce-9e1f-c706b4c234f0)) + (pin "26" (uuid a6d486d6-aea7-40b4-87db-da1d848ce4d7)) + (pin "27" (uuid b48b9f16-7d20-47dc-8904-ceea00711ecc)) + (pin "12" (uuid 03c2cc28-9cdf-4ea2-9620-f71f2f85d111)) + (pin "33" (uuid f742d6a1-a4a8-4002-b0c2-60b101c5db1b)) + (pin "34" (uuid 86beda61-6bac-44ba-952f-bf174b2d640c)) + (pin "17" (uuid 1c10757f-17e2-4faf-a345-668334f727fa)) + (pin "18" (uuid 27221c03-853e-4be6-af6d-f2eccc8786d8)) + (pin "2" (uuid 351cca92-22b9-4373-871f-6dc54873671a)) + (pin "19" (uuid 95389d1a-36fb-4879-bc10-e2a9e1c14ecf)) + (pin "24" (uuid 0810ba29-8b65-4f54-acd1-09915df5556f)) + (pin "25" (uuid f6672df4-4c85-4d72-bf7e-ac30e69e815f)) + (pin "20" (uuid ba79955e-a3db-4585-91c9-06dbbbe22202)) + (pin "21" (uuid 9162b029-f545-48bc-93c6-b019e29c000a)) + (pin "22" (uuid 02eea351-f217-43a9-8e4e-984a8a6ab30d)) + (pin "16" (uuid 16c15bdc-af1b-4ac5-aea0-aef10ae20ba1)) + (pin "15" (uuid 9b301ca1-b48c-4543-83ea-004b8ef59064)) + (pin "14" (uuid 846b3af3-3720-44bc-b934-1f8973fcdb65)) + (pin "11" (uuid 8d6ed7e4-e815-45b2-be65-2c8c7aabefe7)) + (pin "13" (uuid 3ddebea9-39cb-4103-b244-6232abe122ce)) + (pin "28" (uuid b1c09e6f-7235-4cb6-b2cb-59307035b39c)) + (pin "29" (uuid 7a921ea3-c179-4fb8-98b6-4c2f3b5cdcfc)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "J2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 48.26 105.41 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 48e5d0fe-2fa0-4657-b715-e30cf57d3d80) + (property "Reference" "#PWR016" (at 41.91 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 45.72 105.41 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 48.26 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 48.26 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dcbda414-825c-4ede-85b4-9e1cbdd9e528)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR016") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-5V") (at 48.26 39.37 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7dc4323b-77c0-4140-844a-d651bbf4d74d) + (property "Reference" "#PWR012" (at 45.72 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 45.72 39.37 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 48.26 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 48.26 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 57e94cce-63af-406b-a756-07106b034fe9)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR012") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-12V") (at 49.53 44.45 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 94efad18-c38b-4f8a-b4b2-70594c78437c) + (property "Reference" "#PWR013" (at 46.99 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 46.99 44.45 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 49.53 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 49.53 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e4a455ca-0d81-46ee-898c-c6df420e02ff)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR013") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 48.26 100.33 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a5ab0f7c-77de-4465-b320-2d120b139f2d) + (property "Reference" "#PWR015" (at 52.07 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 45.72 100.33 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 48.26 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 48.26 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ee93fe2f-37fa-446e-b432-fad385ba2cea)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR015") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 140.97 34.29 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a8c28f4e-516a-4f8a-9dcb-88c6816f41d8) + (property "Reference" "#PWR018" (at 144.78 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 138.43 34.29 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 140.97 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 140.97 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid db6f0f6a-7d83-4d30-a5b2-9d0cbca80777)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR018") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+12V") (at 142.24 49.53 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d0e0c782-b0b5-40a6-932a-6d0b764a22ae) + (property "Reference" "#PWR024" (at 146.05 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 139.7 49.53 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 142.24 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 142.24 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ef192911-22a7-494f-9988-2b7a8f36e9f0)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR024") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 48.26 34.29 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d9e0949a-1af1-4c11-982a-4f5c41114b18) + (property "Reference" "#PWR011" (at 52.07 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 45.72 34.29 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 48.26 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 48.26 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e4846021-5801-4ddd-862b-c1e890924d17)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR011") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 140.97 105.41 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid dc5ca886-db09-4404-a22f-cd19d3715067) + (property "Reference" "#PWR021" (at 134.62 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 138.43 105.41 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 140.97 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 140.97 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3b4e23aa-ebf6-4edf-9266-608ae54e1806)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR021") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:Bus_ISA_16bit") (at 162.56 92.71 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid df2c6e20-32c3-4d57-a89f-523b08922191) + (property "Reference" "J3" (at 162.56 21.59 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_ISA_16bit" (at 162.56 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "local:EBC49DCWN-S420" (at 162.56 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://en.wikipedia.org/wiki/Industry_Standard_Architecture" (at 162.56 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fc0621cf-14d5-447c-8f24-41bac97775f0)) + (pin "31" (uuid 5ebac01a-bf8e-4cbf-bfb9-aa224f551115)) + (pin "32" (uuid 113166e1-75dc-4860-b69e-2f58999e70d2)) + (pin "88" (uuid 2827b8ca-b5f3-4272-b730-ca0506a0eaec)) + (pin "89" (uuid 94b50c6a-7706-48e4-9122-c77a0b539dbf)) + (pin "9" (uuid 7adb1c1b-6a02-41a2-acbc-8e9db694de98)) + (pin "90" (uuid 1d504b37-339b-4042-8a49-eecb3b8784ad)) + (pin "91" (uuid 3c7c80be-5bb6-41b0-879b-1d7c4f91623a)) + (pin "23" (uuid 62dcf3ed-2c42-4057-a22a-ac98d0610b94)) + (pin "41" (uuid e2d3d477-2fdf-4d7b-a7d5-1fc3c34843a0)) + (pin "42" (uuid 2c34d578-5123-49b4-86c2-b7e3199222a5)) + (pin "43" (uuid a6cff930-031b-4107-acb4-4a929802a41b)) + (pin "44" (uuid 651996e5-b3ef-4517-a473-4fd9125bbd9c)) + (pin "45" (uuid 2328d4db-059f-439b-a1bc-8511706de819)) + (pin "46" (uuid 26bdbea0-a185-4446-8d1e-44061f379c5a)) + (pin "47" (uuid 692bbe55-be62-4443-88c4-344fd6cf42b7)) + (pin "48" (uuid 6ab9a789-6b0b-438b-a57a-149d3427a067)) + (pin "49" (uuid 587a7e87-b48d-4437-b518-ca7e9ded2c99)) + (pin "5" (uuid b6216ec2-d13b-4f20-9791-55a67d311ef8)) + (pin "50" (uuid d6a62777-f985-4684-97ed-e7d9d4036eb8)) + (pin "51" (uuid 8c6ef369-23c5-4abf-abe1-d60333f3a379)) + (pin "52" (uuid 41517d5b-1efd-4bbc-b21f-2c87cd5f2518)) + (pin "53" (uuid d0ef76ac-14b2-4820-82c6-c4d8d4b190e2)) + (pin "54" (uuid 2fe2c1b1-9d90-4db5-9a19-bc362b5189f9)) + (pin "55" (uuid f525ea4e-f957-40bd-bfb6-58553a3eb5a5)) + (pin "56" (uuid 921df2d5-f5f4-41dd-9b11-71c09e82fb20)) + (pin "57" (uuid f2e9d6ab-29cd-4b7c-8822-aa2acfc41f87)) + (pin "58" (uuid b9ea19ae-5101-498c-8f73-e1f95f005c7b)) + (pin "59" (uuid aa713a53-6583-4698-8142-be2136756c9e)) + (pin "6" (uuid 6e1a6d5d-c497-436a-bb93-871b6e9eea6c)) + (pin "60" (uuid a1f4a804-7bee-45cf-b81e-6d92be273569)) + (pin "61" (uuid 15447ef8-5e52-4062-867b-f595f2a4bf6e)) + (pin "62" (uuid fabcb256-7d57-4207-b2f1-9c340c2f8140)) + (pin "63" (uuid 833541bf-44bf-4846-877f-97570b62cecd)) + (pin "64" (uuid 9337823f-a102-4f1d-b83c-e785ce403353)) + (pin "65" (uuid 4ec7a03a-24ca-4cb6-96b9-52a23799bb9c)) + (pin "66" (uuid 3f604c56-db97-4626-a7d0-def053382546)) + (pin "67" (uuid df57a2d5-6e0d-4dba-9b75-fa3c2019f8c3)) + (pin "68" (uuid c368041a-0fe9-4dd2-9dea-c448efb9c4d2)) + (pin "7" (uuid a7208ac8-f7ea-4513-b56e-2f0b1794ad94)) + (pin "70" (uuid 730830f2-7930-470a-b756-8b1c6e07b6f1)) + (pin "71" (uuid a92086df-0bd4-44c2-a42f-9cc202884001)) + (pin "72" (uuid da4ded08-cb00-44f8-aafd-60f2f131c78d)) + (pin "73" (uuid 40598a17-81a7-40ea-92a2-d4acbb5cc5f7)) + (pin "74" (uuid 356526db-8aa1-4d0c-a0b9-96062468649b)) + (pin "75" (uuid d1081823-2caf-4f13-a22c-42b96be93380)) + (pin "76" (uuid 3155a441-fb7b-4d9c-81b0-a049f96b925d)) + (pin "77" (uuid 70ef42b9-201b-495c-b549-23c114825a97)) + (pin "78" (uuid b08bfbc2-54c0-4ab4-b365-4dffd05c6e54)) + (pin "79" (uuid 5464d77f-f5e2-46b8-a657-7229e8124962)) + (pin "8" (uuid 51670f68-0170-4077-9109-3db0c4536e1f)) + (pin "80" (uuid 118e6693-f477-4169-9652-c2076e3dbd8d)) + (pin "81" (uuid 74e33318-bb09-4e9a-888c-5a1c3992fe24)) + (pin "82" (uuid cfba2ea8-ce20-451d-9805-0cbf330b97da)) + (pin "83" (uuid c768d8be-f5cc-4048-b537-64e2ca5d9a1d)) + (pin "84" (uuid 7b3a0e3b-8c01-4bf0-bac9-277821ff77c7)) + (pin "85" (uuid 23febc04-0e51-4fe9-a564-43c4b4ed5d23)) + (pin "86" (uuid 904e3682-b4cf-4c32-9828-4eb8ea4f19a2)) + (pin "87" (uuid 1dbe2dea-72b6-4b9c-864a-f59f5a81bf84)) + (pin "92" (uuid a474c535-201e-405b-becf-9c255b9619e2)) + (pin "93" (uuid fe461d97-a189-46a2-8547-dcd8aad62301)) + (pin "94" (uuid 0de21ae6-5e0e-4af1-bb9d-d04e28398b6d)) + (pin "95" (uuid 4c008ce6-970b-4fe1-8e87-00ac6f20b495)) + (pin "96" (uuid ba379980-04f4-4b87-8524-af54c2c68e38)) + (pin "97" (uuid 07314120-8c0d-4e5c-a3c6-537773c033c4)) + (pin "98" (uuid 72fb4675-7307-4b01-9f2b-d3b6b5c4d03e)) + (pin "69" (uuid d1726321-3d44-492b-8dd6-41143467200b)) + (pin "40" (uuid cddc5a12-9e7c-45ea-bafb-f0dc49d40f51)) + (pin "35" (uuid 033c8f26-a679-4d16-b05e-aee5bb58710e)) + (pin "36" (uuid 6e7742c2-0f58-4745-b791-15e923297dfc)) + (pin "37" (uuid c5c42b18-1fbb-4eee-9a13-56a197195aae)) + (pin "38" (uuid 0326e59f-419b-4154-b9ba-adab7f313d5b)) + (pin "39" (uuid 1b9d5c61-4a9e-49c1-bd91-a10b72ab205f)) + (pin "4" (uuid 54ea849d-b869-4324-bcf2-d0b8f007320e)) + (pin "3" (uuid 9d3ad4c4-29c0-4024-b50a-ae2e7af1e321)) + (pin "30" (uuid c991d289-2bd5-4303-9aa7-17261eee8a6f)) + (pin "10" (uuid 277698e1-9665-4899-82ab-7a7d15391ff1)) + (pin "26" (uuid dcb68523-1e3b-415d-a039-1378b1fc3b09)) + (pin "27" (uuid f9cea715-a6d4-4d6d-b226-3d20b716e947)) + (pin "12" (uuid 0729b53c-2621-46e0-b46d-98e49ec27630)) + (pin "33" (uuid 2442f540-e507-4ffe-accc-ba6ed1d48ef3)) + (pin "34" (uuid e36d3616-6f7b-4e71-adc3-b15485907ed4)) + (pin "17" (uuid a6b054d8-a040-4be4-b274-de411d1593b8)) + (pin "18" (uuid 92e66561-8f93-4e9b-a1c0-31aca4ba1253)) + (pin "2" (uuid 12ef5571-b544-420a-af20-8341fe34a0f6)) + (pin "19" (uuid 532ea926-7a2a-405c-8a82-466bf510042b)) + (pin "24" (uuid 385bf23e-2609-4d31-9d70-f05b4fa8edf2)) + (pin "25" (uuid 4ff0d210-9661-4934-863c-ca737920415e)) + (pin "20" (uuid 279601a7-760c-40c1-824a-d4850a20b826)) + (pin "21" (uuid e1b3056f-7502-426f-9692-b31e1aee6d7a)) + (pin "22" (uuid b9465b9a-12fa-45cf-9b2e-921103fe4c63)) + (pin "16" (uuid d6ec4fbb-23c1-49c0-9289-160509d88518)) + (pin "15" (uuid 6ae8a016-f8c6-4596-9c84-21c51a70121d)) + (pin "14" (uuid df3929fa-66be-41ef-a15f-cac52495107d)) + (pin "11" (uuid 15a33b12-213a-4af9-b246-c3f07a49129b)) + (pin "13" (uuid be515486-41f4-4f52-bb8a-994d51489054)) + (pin "28" (uuid 35cc73b3-4ff2-4f17-8d87-b61f1d6331b0)) + (pin "29" (uuid 41fe72a7-69ce-4d71-bd61-2a0577a95a9a)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "J3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 140.97 100.33 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid df724529-735c-4a27-a5e3-fd125906ffb3) + (property "Reference" "#PWR020" (at 144.78 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 138.43 100.33 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 140.97 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 140.97 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 27648f5d-0ba3-43fb-a2f5-b3289e98b55b)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR020") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 140.97 147.32 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e4c9d093-6bb7-482a-8bd5-fc595913c979) + (property "Reference" "#PWR022" (at 144.78 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 138.43 147.32 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 140.97 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 140.97 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b991d213-2b94-4a9b-9c0f-04b62b098ef0)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR022") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 48.26 147.32 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fdd6d83d-cad4-4079-8f23-31e7a1dddc20) + (property "Reference" "#PWR017" (at 52.07 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 45.72 147.32 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 48.26 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 48.26 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6106207f-b629-4641-bc4a-16e51344ec78)) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a/b949bb7a-50c8-4e31-9e73-35dbf21da0da" + (reference "#PWR017") (unit 1) + ) + ) + ) + ) +) diff --git a/keycomp-pc104.kicad_pcb b/keycomp-pc104.kicad_pcb new file mode 100644 index 0000000..5a9b444 --- /dev/null +++ b/keycomp-pc104.kicad_pcb @@ -0,0 +1,1758 @@ +(kicad_pcb (version 20221018) (generator pcbnew) + + (general + (thickness 1.6) + ) + + (paper "A3") + (title_block + (title "Keycomp 80386 to PC104") + ) + + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + + (setup + (pad_to_mask_clearance 0) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "") + ) + ) + + (net 0 "") + (net 1 "unconnected-(J1-KEY-PadB10)") + (net 2 "unconnected-(J1-KEY-PadC19)") + (net 3 "~{IOCHK}") + (net 4 "SD7") + (net 5 "SD6") + (net 6 "SD5") + (net 7 "SD4") + (net 8 "SD3") + (net 9 "SD2") + (net 10 "SD1") + (net 11 "SD0") + (net 12 "IOCHRDY") + (net 13 "AEN") + (net 14 "SA19") + (net 15 "SA18") + (net 16 "SA17") + (net 17 "SA16") + (net 18 "SA15") + (net 19 "SA14") + (net 20 "SA13") + (net 21 "SA12") + (net 22 "SA11") + (net 23 "SA10") + (net 24 "SA9") + (net 25 "SA8") + (net 26 "SA7") + (net 27 "SA6") + (net 28 "SA5") + (net 29 "SA4") + (net 30 "SA3") + (net 31 "SA2") + (net 32 "SA1") + (net 33 "SA0") + (net 34 "GND") + (net 35 "RESET") + (net 36 "+5V") + (net 37 "IRQ9") + (net 38 "-5V") + (net 39 "DRQ2") + (net 40 "-12V") + (net 41 "~{SRDY}") + (net 42 "+12V") + (net 43 "~{SMEMW}") + (net 44 "~{SMEMR}") + (net 45 "~{IOW}") + (net 46 "~{IOR}") + (net 47 "~{DACK3}") + (net 48 "DRQ3") + (net 49 "~{DACK1}") + (net 50 "DRQ1") + (net 51 "~{REFRESH}") + (net 52 "BCLK") + (net 53 "IRQ7") + (net 54 "IRQ6") + (net 55 "IRQ5") + (net 56 "IRQ4") + (net 57 "IRQ3") + (net 58 "~{DACK2}") + (net 59 "TC") + (net 60 "BALE") + (net 61 "OSC") + (net 62 "~{SBHE}") + (net 63 "LA23") + (net 64 "LA22") + (net 65 "LA21") + (net 66 "LA20") + (net 67 "LA19") + (net 68 "LA18") + (net 69 "LA17") + (net 70 "~{MEMR}") + (net 71 "~{MEMW}") + (net 72 "SD8") + (net 73 "SD9") + (net 74 "SD10") + (net 75 "SD11") + (net 76 "SD12") + (net 77 "SD13") + (net 78 "SD14") + (net 79 "SD15") + (net 80 "~{MEMCS16}") + (net 81 "~{IOC16}") + (net 82 "IRQ10") + (net 83 "IRQ11") + (net 84 "IRQ12") + (net 85 "IRQ15") + (net 86 "IRQ14") + (net 87 "~{DACK0}") + (net 88 "DRQ0") + (net 89 "~{DACK5}") + (net 90 "DRQ5") + (net 91 "~{DACK6}") + (net 92 "DRQ6") + (net 93 "~{DACK7}") + (net 94 "DRQ7") + (net 95 "~{MASTER}") + (net 96 "unconnected-(J2-GND-Pad1)") + (net 97 "unconnected-(J3-GND-Pad1)") + (net 98 "unconnected-(J3-UNUSED-Pad8)") + (net 99 "unconnected-(J3-GND-Pad10)") + (net 100 "unconnected-(J2-UNUSED-Pad8)") + (net 101 "unconnected-(J3-GND-Pad80)") + (net 102 "unconnected-(J2-GND-Pad10)") + (net 103 "/IO ports/VGA_RED") + (net 104 "/IO ports/VGA_GREEN") + (net 105 "/IO ports/VGA_BLUE") + (net 106 "unconnected-(J2-GND-Pad80)") + (net 107 "unconnected-(J4-Pad4)") + (net 108 "unconnected-(J4-Pad9)") + (net 109 "unconnected-(J4-Pad11)") + (net 110 "/IO ports/VGA_SDA") + (net 111 "/IO ports/VGA_HSYNC") + (net 112 "/IO ports/VGA_VSYNC") + (net 113 "/IO ports/VGA_SCL") + (net 114 "unconnected-(J5-Pin_2-Pad2)") + (net 115 "unconnected-(J5-Pin_6-Pad6)") + (net 116 "unconnected-(J5-Pin_7-Pad7)") + (net 117 "unconnected-(J5-Pin_8-Pad8)") + (net 118 "unconnected-(J5-Pin_14-Pad14)") + (net 119 "unconnected-(J5-Pin_16-Pad16)") + (net 120 "unconnected-(J6-Pin_3-Pad3)") + (net 121 "unconnected-(J6-Pin_5-Pad5)") + (net 122 "/IO ports/KB_CLK") + (net 123 "/IO ports/KB_DATA") + (net 124 "unconnected-(J8-Pin_5-Pad5)") + (net 125 "unconnected-(J9-Pin_1-Pad1)") + (net 126 "unconnected-(J9-Pin_2-Pad2)") + (net 127 "unconnected-(J9-Pin_3-Pad3)") + (net 128 "unconnected-(J9-Pin_4-Pad4)") + (net 129 "/IO ports/FDD_DENSEL") + (net 130 "unconnected-(J10-Pin_4-Pad4)") + (net 131 "unconnected-(J10-Pin_6-Pad6)") + (net 132 "/IO ports/FDD_~{INDEX}") + (net 133 "/IO ports/FDD_~{MTR0}") + (net 134 "/IO ports/FDD_~{DS1}") + (net 135 "/IO ports/FDD_~{DS0}") + (net 136 "/IO ports/FDD_~{MTR1}") + (net 137 "/IO ports/FDD_~{DIR}") + (net 138 "/IO ports/FDD_~{STEP}") + (net 139 "/IO ports/FDD_~{WDATA}") + (net 140 "/IO ports/FDD_~{WGATE}") + (net 141 "/IO ports/FDD_~{TRK00}") + (net 142 "/IO ports/FDD_~{WRTPRT}") + (net 143 "/IO ports/FDD_~{RDATA}") + (net 144 "/IO ports/FDD_~{HDSEL}") + (net 145 "/IO ports/FDD_~{DSKCHG}") + (net 146 "/IO ports/RTC_BATTERY") + (net 147 "/IO ports/MOUSE_CLK") + (net 148 "/IO ports/MOUSE_DATA") + + (footprint "Connector_Dsub:DSUB-15-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm" (layer "F.Cu") + (tstamp 124586dd-b050-4572-8ba6-6559f963c613) + (at 276.65 91.909338 180) + (descr "15-pin D-Sub connector, horizontal/angled (90 deg), THT-mount, female, pitch 2.29x1.98mm, pin-PCB-offset 8.35mm, distance of mounting holes 25mm, distance of mounting holes to PCB edge 10.889999999999999mm, see https://disti-assets.s3.amazonaws.com/tonar/files/datasheets/16730.pdf") + (tags "15-pin D-Sub connector horizontal angled 90deg THT female pitch 2.29x1.98mm pin-PCB-offset 8.35mm mounting-holes-distance 25mm mounting-hole-offset 25mm") + (property "Sheetfile" "io_ports.kicad_sch") + (property "Sheetname" "IO ports") + (property "ki_description" "15-pin female receptacle socket D-SUB connector, High density (3 columns), Triple Row, Generic, VGA-connector, Mounting Hole") + (property "ki_keywords" "connector receptacle de15 female D-SUB VGA") + (path "/c7fc1a65-b714-4f24-92ad-b3e970562fcd/f3fcbce0-ce03-4acb-a02b-b798e99267f2") + (attr through_hole) + (fp_text reference "J4" (at -4.315 -2.58) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9ec5584c-760c-45c5-8fde-8c6e0ea979c1) + ) + (fp_text value "VGA" (at -4.315 20.21) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 698185b7-dbbc-4a48-adad-5ef8d3985427) + ) + (fp_text user "${REFERENCE}" (at -4.315 15.71) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 972ae898-29bd-4fd2-8528-4ad063b38b96) + ) + (fp_line (start -19.8 -1.64) (end 11.17 -1.64) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42acec89-f6de-4432-91a7-c1bb4888143b)) + (fp_line (start -19.8 12.25) (end -19.8 -1.64) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6da97ba0-e6d1-4529-bf7a-58d5282725f3)) + (fp_line (start -0.25 -2.534338) (end 0.25 -2.534338) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4c5987cb-9162-4bf3-89bb-5c3440ded935)) + (fp_line (start 0 -2.101325) (end -0.25 -2.534338) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6817b139-64eb-4a41-8433-c24a2bbcdff6)) + (fp_line (start 0.25 -2.534338) (end 0 -2.101325) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7fef81fb-8ddb-41f9-a7b4-fed4293c4d1d)) + (fp_line (start 11.17 -1.64) (end 11.17 12.25) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a790541f-9d65-4d48-a911-096148fbfe33)) + (fp_line (start -20.25 -2.1) (end -20.25 19.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 906c4e40-c8cb-4e41-959d-757ecb917d39)) + (fp_line (start -20.25 19.25) (end 11.65 19.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ad7a1856-177f-410a-b879-e1ec7caf1a76)) + (fp_line (start 11.65 -2.1) (end -20.25 -2.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b427172-c923-46ff-966e-50f53dfd16af)) + (fp_line (start 11.65 19.25) (end 11.65 -2.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 392decb0-1976-46af-9b37-35d43c5eb3db)) + (fp_line (start -19.74 -1.58) (end -19.74 12.31) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2fe9904e-8e31-4b22-9520-a133dcf319d8)) + (fp_line (start -19.74 12.31) (end -19.74 12.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6d36748e-56d0-4601-987e-b7cc85424724)) + (fp_line (start -19.74 12.31) (end 11.11 12.31) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7428c628-a307-4745-a170-7bcc7778d8ef)) + (fp_line (start -19.74 12.71) (end 11.11 12.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 46106329-f0ff-4709-83f6-c75d5e62071f)) + (fp_line (start -19.315 12.71) (end -19.315 17.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d2d19430-ea24-4c00-9370-8a6a85bb5912)) + (fp_line (start -19.315 17.71) (end -14.315 17.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 560998e6-ba08-4c3b-81de-4cd34ad1c172)) + (fp_line (start -18.415 12.31) (end -18.415 1.42) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6a947e2e-d755-44ea-b4ca-a2c93ee0890b)) + (fp_line (start -15.215 12.31) (end -15.215 1.42) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6a063e1e-748b-4a3f-b7bc-e65e1a326722)) + (fp_line (start -14.315 12.71) (end -19.315 12.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4fd0810-882b-488b-a264-b0310658028f)) + (fp_line (start -14.315 17.71) (end -14.315 12.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36c4dc24-91e0-4491-af87-b20c564cc368)) + (fp_line (start -12.465 12.71) (end -12.465 18.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8bc07f8c-ce4a-43ca-b702-da6a9a4cf72d)) + (fp_line (start -12.465 18.71) (end 3.835 18.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1aa0d615-57cc-4f08-9d12-0f64152b9f43)) + (fp_line (start 3.835 12.71) (end -12.465 12.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 23064262-fde1-4482-b1ba-f7a0acc4628a)) + (fp_line (start 3.835 18.71) (end 3.835 12.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3ae47793-7226-409a-b50b-529332985a48)) + (fp_line (start 5.685 12.71) (end 5.685 17.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bab6fe4a-45c5-415d-9b5e-4de9f0b46223)) + (fp_line (start 5.685 17.71) (end 10.685 17.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a24dfb18-bdb7-40ce-9519-531596125cb0)) + (fp_line (start 6.585 12.31) (end 6.585 1.42) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bf55fe5a-22bd-450e-bc76-1b4b9903591b)) + (fp_line (start 9.785 12.31) (end 9.785 1.42) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b024deb4-9480-41a5-aee0-caf2a5c4264e)) + (fp_line (start 10.685 12.71) (end 5.685 12.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d3bc693d-bbcb-4b0d-a32f-fae59ace6ca5)) + (fp_line (start 10.685 17.71) (end 10.685 12.71) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9dffc011-d685-4121-9f4f-986046ac6970)) + (fp_line (start 11.11 -1.58) (end -19.74 -1.58) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c30f0a4-b951-4076-8525-7ae138aa72ba)) + (fp_line (start 11.11 12.31) (end -19.74 12.31) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a74d8c72-8e99-4523-afcb-2ea4f3e4ffc0)) + (fp_line (start 11.11 12.31) (end 11.11 -1.58) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 905cd26e-2e65-435a-9a8e-6829fed43090)) + (fp_line (start 11.11 12.71) (end 11.11 12.31) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0483f1b6-c70a-4be3-a1ef-aa9c71d55c08)) + (fp_arc (start -18.415 1.42) (mid -16.815 -0.18) (end -15.215 1.42) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58d465f0-575d-4d77-9f5d-8ea6682e264c)) + (fp_arc (start 6.585 1.42) (mid 8.185 -0.18) (end 9.785 1.42) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e35b744f-8a4d-44e8-b9a6-261f3418f3e0)) + (pad "0" thru_hole circle (at -16.815 1.42 180) (size 4 4) (drill 3.2) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pintype "passive") (tstamp 4f6aa2f4-0520-45ad-a494-734fdfe71fac)) + (pad "0" thru_hole circle (at 8.185 1.42 180) (size 4 4) (drill 3.2) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pintype "passive") (tstamp 7f4f6f83-2038-41ca-aefd-1727b80d4b2e)) + (pad "1" thru_hole rect (at 0 0 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 103 "/IO ports/VGA_RED") (pintype "passive") (tstamp 42cabf51-e188-4b53-be95-24d2729a79ce)) + (pad "2" thru_hole circle (at -2.29 0 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 104 "/IO ports/VGA_GREEN") (pintype "passive") (tstamp 3d76a979-36e3-4a22-9262-c7e0dbe071fb)) + (pad "3" thru_hole circle (at -4.58 0 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 105 "/IO ports/VGA_BLUE") (pintype "passive") (tstamp 9925e8e8-452b-4e9e-b5d9-bf14a6426e1c)) + (pad "4" thru_hole circle (at -6.87 0 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 107 "unconnected-(J4-Pad4)") (pintype "passive+no_connect") (tstamp de37b541-94cc-400b-b892-7140a464d345)) + (pad "5" thru_hole circle (at -9.16 0 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pintype "passive") (tstamp b549f821-2268-4574-a400-d92c242a319e)) + (pad "6" thru_hole circle (at 1.145 1.98 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pintype "passive") (tstamp 424d19bf-e483-4c4c-b140-07708cc03c2f)) + (pad "7" thru_hole circle (at -1.145 1.98 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pintype "passive") (tstamp c82f98cb-2506-4bef-ba0e-97fab25001a0)) + (pad "8" thru_hole circle (at -3.435 1.98 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pintype "passive") (tstamp b26b326d-cd9f-4aee-bcba-e7e41f4e2ebf)) + (pad "9" thru_hole circle (at -5.725 1.98 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 108 "unconnected-(J4-Pad9)") (pintype "passive+no_connect") (tstamp 0fea52f5-f40b-4344-b2c4-e23578390b1d)) + (pad "10" thru_hole circle (at -8.015 1.98 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pintype "passive") (tstamp 3daac604-97b5-4097-a20a-bf096e399870)) + (pad "11" thru_hole circle (at 0 3.96 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 109 "unconnected-(J4-Pad11)") (pintype "passive+no_connect") (tstamp 9522f9cf-f8d1-4b12-a487-8a59dfb9468e)) + (pad "12" thru_hole circle (at -2.29 3.96 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 110 "/IO ports/VGA_SDA") (pintype "passive") (tstamp 43a3e879-068a-4613-9ad3-378ae33a08a6)) + (pad "13" thru_hole circle (at -4.58 3.96 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 111 "/IO ports/VGA_HSYNC") (pintype "passive") (tstamp 5e9874fa-07df-47b5-8a09-27d28e46a7f0)) + (pad "14" thru_hole circle (at -6.87 3.96 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 112 "/IO ports/VGA_VSYNC") (pintype "passive") (tstamp f538faa4-12c4-4ae0-a78d-e86d16a74d95)) + (pad "15" thru_hole circle (at -9.16 3.96 180) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 113 "/IO ports/VGA_SCL") (pintype "passive") (tstamp 0f984ead-d403-476e-91c4-728f7a1d273e)) + (model "${KICAD6_3DMODEL_DIR}/Connector_Dsub.3dshapes/DSUB-15-HD_Female_Horizontal_P2.29x1.98mm_EdgePinOffset8.35mm_Housed_MountingHolesOffset10.89mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_JST:JST_EH_B5B-EH-A_1x05_P2.50mm_Vertical" (layer "F.Cu") + (tstamp 1c8592d2-628d-40e7-81ea-c29cde8da230) + (at 393.9 78.8 90) + (descr "JST EH series connector, B5B-EH-A (http://www.jst-mfg.com/product/pdf/eng/eEH.pdf), generated with kicad-footprint-generator") + (tags "connector JST EH vertical") + (property "Sheetfile" "io_ports.kicad_sch") + (property "Sheetname" "IO ports") + (property "ki_description" "Generic connector, single row, 01x05, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/c7fc1a65-b714-4f24-92ad-b3e970562fcd/38a751fb-1eb7-4255-81f6-27c970a0596f") + (attr through_hole) + (fp_text reference "J8" (at 5 -2.8 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b9469e87-f9c9-40f2-a80c-1f0e71346745) + ) + (fp_text value "KEYBOARD" (at 5 3.4 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d2a51767-10a1-4da0-941a-156f059a3b58) + ) + (fp_text user "${REFERENCE}" (at 5 1.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5789f6e8-b05b-44c9-806e-6eb3d1c0e1a8) + ) + (fp_line (start -2.91 0.11) (end -2.91 2.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 783a988d-f38e-48ce-b236-21b1b8312e6d)) + (fp_line (start -2.91 2.61) (end -0.41 2.61) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 090a7430-bad8-4ca9-ae16-ef7777a94dc3)) + (fp_line (start -2.61 -1.71) (end -2.61 2.31) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 081d40bf-6ed8-478e-909a-8be7d4529351)) + (fp_line (start -2.61 0) (end -2.11 0) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fd42f499-188c-4e35-8144-13651b776d58)) + (fp_line (start -2.61 0.81) (end -1.61 0.81) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3de2e376-3cc0-4395-a71a-f8d4af6a61b6)) + (fp_line (start -2.61 2.31) (end 12.61 2.31) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acbacb00-1eb5-40a4-aca9-deb434be2feb)) + (fp_line (start -2.11 -1.21) (end 12.11 -1.21) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e6746f1-7e6b-4334-a931-fa3625c7a38d)) + (fp_line (start -2.11 0) (end -2.11 -1.21) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56e2b6e9-b8f1-4c6b-ac6d-893e57c34057)) + (fp_line (start -1.61 0.81) (end -1.61 2.31) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a3de0a95-011e-4f89-950b-9e17bf01ca61)) + (fp_line (start 11.61 0.81) (end 11.61 2.31) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 964c34aa-38fc-4212-8b41-5d27a4adca27)) + (fp_line (start 12.11 -1.21) (end 12.11 0) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e2df6966-b18b-4b23-bc7f-4d1da979ffe0)) + (fp_line (start 12.11 0) (end 12.61 0) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 087efc51-2b2f-4835-8cf0-177de82b3ea8)) + (fp_line (start 12.61 -1.71) (end -2.61 -1.71) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 79458642-4816-4881-99e7-c0196be39e1c)) + (fp_line (start 12.61 0.81) (end 11.61 0.81) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ab5ef93-efca-401d-9823-3f26712d7ad4)) + (fp_line (start 12.61 2.31) (end 12.61 -1.71) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b2a1cd1-43ba-47d0-a5c0-7f6a3dc6667e)) + (fp_line (start -3 -2.1) (end -3 2.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46f681d2-9a37-4e4c-8080-431c353fbe5c)) + (fp_line (start -3 2.7) (end 13 2.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ff2cf2d-3647-41cc-8f35-f57d2cc16981)) + (fp_line (start 13 -2.1) (end -3 -2.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58833302-291f-4459-89c8-d49414ad35ce)) + (fp_line (start 13 2.7) (end 13 -2.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 91ec2db8-aed6-4276-9809-2ef75354bac2)) + (fp_line (start -2.91 0.11) (end -2.91 2.61) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 76a18421-9186-4cdd-9e0d-346e6f987a6e)) + (fp_line (start -2.91 2.61) (end -0.41 2.61) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5a9f5250-2d26-49be-ab5d-1d597bff713a)) + (fp_line (start -2.5 -1.6) (end -2.5 2.2) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b7a7e949-cf68-4f6d-989c-1a1c14bdd95c)) + (fp_line (start -2.5 2.2) (end 12.5 2.2) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 30b76f08-c07a-43b4-b13b-ddd07ab53286)) + (fp_line (start 12.5 -1.6) (end -2.5 -1.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dba66519-91ee-418a-b0c9-e913909142c4)) + (fp_line (start 12.5 2.2) (end 12.5 -1.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8092078-52d4-4977-8006-ec20deb51b37)) + (pad "1" thru_hole roundrect (at 0 0 90) (size 1.7 1.95) (drill 0.95) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.147059) + (net 122 "/IO ports/KB_CLK") (pinfunction "Pin_1") (pintype "passive") (tstamp c652c737-f8d8-4f7d-8231-3c2839c7fb14)) + (pad "2" thru_hole oval (at 2.5 0 90) (size 1.7 1.95) (drill 0.95) (layers "*.Cu" "*.Mask") + (net 123 "/IO ports/KB_DATA") (pinfunction "Pin_2") (pintype "passive") (tstamp 2880cdd7-74b5-46a6-b763-1e99ffd439e1)) + (pad "3" thru_hole oval (at 5 0 90) (size 1.7 1.95) (drill 0.95) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_3") (pintype "passive") (tstamp 142b41ad-ca22-4489-bcbd-c859d99a4c87)) + (pad "4" thru_hole oval (at 7.5 0 90) (size 1.7 1.95) (drill 0.95) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "Pin_4") (pintype "passive") (tstamp 558c0f8e-7397-4c76-afc3-eaeb8687efa5)) + (pad "5" thru_hole oval (at 10 0 90) (size 1.7 1.95) (drill 0.95) (layers "*.Cu" "*.Mask") + (net 124 "unconnected-(J8-Pin_5-Pad5)") (pinfunction "Pin_5") (pintype "passive+no_connect") (tstamp 9e957afa-8b84-45e7-a4ae-3bd29b2b790a)) + (model "${KICAD6_3DMODEL_DIR}/Connector_JST.3dshapes/JST_EH_B5B-EH-A_1x05_P2.50mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_2x08_P2.54mm_Vertical" (layer "F.Cu") + (tstamp 21938356-fdf3-4f33-bf50-d54f7ba08be9) + (at 265.4 114) + (descr "Through hole straight pin header, 2x08, 2.54mm pitch, double rows") + (tags "Through hole pin header THT 2x08 2.54mm double row") + (property "Sheetfile" "io_ports.kicad_sch") + (property "Sheetname" "IO ports") + (property "ki_description" "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/c7fc1a65-b714-4f24-92ad-b3e970562fcd/526c1bb8-ff5e-40a8-a97a-9c95c2454d48") + (attr through_hole) + (fp_text reference "J5" (at 1.27 -2.33) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 720626d7-b6da-48cc-94eb-886757eb498c) + ) + (fp_text value "VGA_PCM" (at 1.27 20.11) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f6e40cc5-e14e-4d54-b071-f95350c97ebb) + ) + (fp_text user "${REFERENCE}" (at 1.27 8.89 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7b62c2a6-0d1d-4f71-93b9-a9430e8851d2) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp daaac5bf-2129-49bc-b57c-d86058636e1a)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d6759bed-b536-4a0d-b1cd-037d160761f6)) + (fp_line (start -1.33 1.27) (end -1.33 19.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db7aa7ca-2a7b-44f2-a9a7-9901fe867155)) + (fp_line (start -1.33 1.27) (end 1.27 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6adec287-e5fb-4f91-b26e-87789f74ae0c)) + (fp_line (start -1.33 19.11) (end 3.87 19.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ee79483c-032b-4cc5-b703-3484e66a3c27)) + (fp_line (start 1.27 -1.33) (end 3.87 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e322522f-34b8-41cd-955e-b55e85f5243a)) + (fp_line (start 1.27 1.27) (end 1.27 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb62b3c9-455a-4617-9573-53bb014cde07)) + (fp_line (start 3.87 -1.33) (end 3.87 19.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6ea8620f-12af-4d5f-b06d-9bf668f6be04)) + (fp_line (start -1.8 -1.8) (end -1.8 19.55) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp af07c58b-0b14-4bd8-b256-54d1bbc43668)) + (fp_line (start -1.8 19.55) (end 4.35 19.55) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95ec8094-1a7a-49fd-b9ae-1e6029f25391)) + (fp_line (start 4.35 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b63479f4-b8d4-4ba0-8271-35ac230a53a3)) + (fp_line (start 4.35 19.55) (end 4.35 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp afacba19-0244-4d9b-b0ff-5345cf6b72eb)) + (fp_line (start -1.27 0) (end 0 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce97a37e-db6f-4394-be02-9dd7ea4b3ae5)) + (fp_line (start -1.27 19.05) (end -1.27 0) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 49e90650-fc86-4d4b-a733-b474dd268218)) + (fp_line (start 0 -1.27) (end 3.81 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ffba53d-9d7f-4cf7-9e3b-6386e2cc9f0f)) + (fp_line (start 3.81 -1.27) (end 3.81 19.05) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 01e5be55-6952-4875-9ee0-5af2c324c609)) + (fp_line (start 3.81 19.05) (end -1.27 19.05) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 367410aa-b6d2-4fde-b3f4-4674d189ce88)) + (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 103 "/IO ports/VGA_RED") (pinfunction "Pin_1") (pintype "passive") (tstamp 4f536227-0298-4951-bf22-a31bc722af50)) + (pad "2" thru_hole oval (at 2.54 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 114 "unconnected-(J5-Pin_2-Pad2)") (pinfunction "Pin_2") (pintype "passive+no_connect") (tstamp af9007b5-a983-4f43-8047-c087725fc345)) + (pad "3" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 104 "/IO ports/VGA_GREEN") (pinfunction "Pin_3") (pintype "passive") (tstamp 543ccc1e-ca9f-43b2-a7dd-72a7afd61cc8)) + (pad "4" thru_hole oval (at 2.54 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp cd52baad-f16c-43e0-a1dd-26198bb9aa36)) + (pad "5" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 105 "/IO ports/VGA_BLUE") (pinfunction "Pin_5") (pintype "passive") (tstamp ded77591-eca2-460c-b6af-e5bb10934446)) + (pad "6" thru_hole oval (at 2.54 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 115 "unconnected-(J5-Pin_6-Pad6)") (pinfunction "Pin_6") (pintype "passive+no_connect") (tstamp 56383830-4344-4cdf-9d75-08f610e9c1d0)) + (pad "7" thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 116 "unconnected-(J5-Pin_7-Pad7)") (pinfunction "Pin_7") (pintype "passive+no_connect") (tstamp 19920316-43e4-4d41-afab-0feeb8e114ea)) + (pad "8" thru_hole oval (at 2.54 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 117 "unconnected-(J5-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 6797700e-8902-481c-8d90-18ad96210498)) + (pad "9" thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_9") (pintype "passive") (tstamp 81900a73-7f44-4bf1-bb4f-a09f76678108)) + (pad "10" thru_hole oval (at 2.54 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 111 "/IO ports/VGA_HSYNC") (pinfunction "Pin_10") (pintype "passive") (tstamp 61aada42-4476-40cc-97be-f6a8e92b71cc)) + (pad "11" thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_11") (pintype "passive") (tstamp 29bb1d64-8025-498a-aef3-51c17b324be5)) + (pad "12" thru_hole oval (at 2.54 12.7) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 112 "/IO ports/VGA_VSYNC") (pinfunction "Pin_12") (pintype "passive") (tstamp d2f3f0c9-46d9-4594-9e04-ec5e57139eb6)) + (pad "13" thru_hole oval (at 0 15.24) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_13") (pintype "passive") (tstamp c2ac0519-9412-4b4f-9bde-21fe8517c39c)) + (pad "14" thru_hole oval (at 2.54 15.24) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 118 "unconnected-(J5-Pin_14-Pad14)") (pinfunction "Pin_14") (pintype "passive") (tstamp 9eae49b4-7dc4-4582-9304-2f9de3258202)) + (pad "15" thru_hole oval (at 0 17.78) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_15") (pintype "passive") (tstamp 237b6acf-6f6a-45e3-a289-e3b2b68178af)) + (pad "16" thru_hole oval (at 2.54 17.78) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 119 "unconnected-(J5-Pin_16-Pad16)") (pinfunction "Pin_16") (pintype "passive") (tstamp 79b07e18-6462-4d1c-ba47-5fb04ec2ba86)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x08_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "local:EBC49DCWN-S420" (layer "F.Cu") + (tstamp 61e5f558-cd39-4136-9600-77c2201023e5) + (at 105.601 76.941) + (property "Sheetfile" "isa_slots.kicad_sch") + (property "Sheetname" "ISA slots") + (property "ki_description" "16-bit ISA-AT bus connector") + (property "ki_keywords" "ISA") + (path "/b949bb7a-50c8-4e31-9e73-35dbf21da0da/48c71857-7d2b-416f-86ab-c8c6a02fd6af") + (attr through_hole) + (fp_text reference "J2" (at 60.96 -2.54) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 46c6aabc-a216-4516-983c-635bd8ece9ad) + ) + (fp_text value "Bus_ISA_16bit" (at 48.26 -2.54) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 815f2bc3-655b-475f-b491-c2a625d254b2) + ) + (fp_text user "*" (at -1.27 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 56436655-b882-4ff4-8ba1-c9902d9b953e) + ) + (fp_text user "*" (at 129.54 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ebe2e806-5ca2-4014-83ce-78ec05c16198) + ) + (fp_circle (center 132.715 -5.08) (end 133.096 -5.08) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.SilkS") (tstamp 16997487-c231-4fe6-90a3-0433dbf1bd5e)) + (fp_line (start -4.699 -7.366) (end -4.699 2.286) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba223240-1b52-4031-95d6-f51197b51776)) + (fp_line (start -4.699 2.286) (end 134.239 2.286) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 745212dd-0600-4402-9b36-eefc7fc6308a)) + (fp_line (start 134.239 -7.366) (end -4.699 -7.366) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e064ac37-72c0-479c-be45-e1a6c5470acc)) + (fp_line (start 134.239 2.286) (end 134.239 -7.366) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf707c0f-8f20-44f5-b64a-3f3300a77dd6)) + (fp_circle (center 132.715 -5.08) (end 133.096 -5.08) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp a45e47f5-7ba5-42f5-a01e-a09604e8ccb1)) + (fp_line (start -4.826 -7.493) (end -4.826 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97c99aff-f6ee-4193-b207-b2510eec9157)) + (fp_line (start -4.826 -7.493) (end -4.826 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c87d5009-292a-47a2-a873-d6de3840945f)) + (fp_line (start -4.826 2.413) (end 134.366 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01284644-1b74-424d-884d-f429e2dc156d)) + (fp_line (start -4.826 2.413) (end 134.366 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 15f07e4a-d2d1-446e-b3da-12183a5e5c6c)) + (fp_line (start 134.366 -7.493) (end -4.826 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 525445e7-6cb6-4111-af0b-a714cf34fb4e)) + (fp_line (start 134.366 -7.493) (end -4.826 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 92bfdb04-e50d-47db-9073-5b837a254cfc)) + (fp_line (start 134.366 2.413) (end 134.366 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1a951a17-627c-4886-b1ae-bc3b26c35e56)) + (fp_line (start 134.366 2.413) (end 134.366 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2c89f06-6e20-4fa4-a4da-13811d6dcf04)) + (fp_line (start -4.572 -7.239) (end -4.572 2.159) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 323e4e08-fb08-4745-a505-d67a8d421a2a)) + (fp_line (start -4.572 2.159) (end 134.112 2.159) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40d7d471-d411-4a62-84f9-f5e1fe096925)) + (fp_line (start 134.112 -7.239) (end -4.572 -7.239) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5882d455-4022-4eee-a209-daaef8522f93)) + (fp_line (start 134.112 2.159) (end 134.112 -7.239) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 01ee6efb-edb9-4f86-a0fd-70e0b5cffd09)) + (fp_circle (center 129.54 -7.62) (end 129.921 -7.62) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 0eda43d2-3dc3-4468-8c47-eda202bd4c0d)) + (pad "1" thru_hole circle (at 0 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 96 "unconnected-(J2-GND-Pad1)") (pinfunction "GND") (pintype "power_in") (tstamp 2b8e9456-6fbd-4f77-aec0-f662db144e69)) + (pad "2" thru_hole circle (at 2.54 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 35 "RESET") (pinfunction "RESET") (pintype "output") (tstamp b38c7f49-382e-4eab-bcb9-e9befaecadab)) + (pad "3" thru_hole circle (at 5.08 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp 6435b2fa-aba7-478e-9ba1-c9dc42c47770)) + (pad "4" thru_hole circle (at 7.62 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 37 "IRQ9") (pinfunction "IRQ2") (pintype "passive") (tstamp 3719910e-428e-44b0-bde3-f872a653a95f)) + (pad "5" thru_hole circle (at 10.16 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 38 "-5V") (pinfunction "-5V") (pintype "power_in") (tstamp 6f73b395-e820-499b-ba34-57836a0bf41b)) + (pad "6" thru_hole circle (at 12.7 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 39 "DRQ2") (pinfunction "DRQ2") (pintype "passive") (tstamp 1447997b-287a-4ede-99c5-ab852ae12ef4)) + (pad "7" thru_hole circle (at 15.24 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 40 "-12V") (pinfunction "-12V") (pintype "power_in") (tstamp a0662f91-2650-426d-8a9a-7492efb5ee23)) + (pad "8" thru_hole circle (at 17.78 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 100 "unconnected-(J2-UNUSED-Pad8)") (pinfunction "UNUSED") (pintype "passive") (tstamp 40da48f0-6834-459f-af0e-9441fd44517a)) + (pad "9" thru_hole circle (at 20.32 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 42 "+12V") (pinfunction "+12V") (pintype "power_in") (tstamp 854dba5d-0829-4642-986f-4d8696cff31a)) + (pad "10" thru_hole circle (at 22.86 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 102 "unconnected-(J2-GND-Pad10)") (pinfunction "GND") (pintype "power_in") (tstamp 7b885447-c686-4c81-b81e-e07f4ce1940d)) + (pad "11" thru_hole circle (at 25.4 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 43 "~{SMEMW}") (pinfunction "~{SMEMW}") (pintype "output") (tstamp 220c04fe-07cd-4ccd-a328-875b21beecd9)) + (pad "12" thru_hole circle (at 27.94 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 44 "~{SMEMR}") (pinfunction "~{SMEMR}") (pintype "output") (tstamp 9dee1374-4d36-4d7b-b242-7e0965a7e7e0)) + (pad "13" thru_hole circle (at 30.48 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 45 "~{IOW}") (pinfunction "~{IOW}") (pintype "output") (tstamp c02b89bc-173b-41b5-a4f4-81fcdd69c3bf)) + (pad "14" thru_hole circle (at 33.02 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 46 "~{IOR}") (pinfunction "~{IOR}") (pintype "output") (tstamp 882ae813-7991-4f83-b423-0925352332f5)) + (pad "15" thru_hole circle (at 35.56 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 47 "~{DACK3}") (pinfunction "~{DACK3}") (pintype "passive") (tstamp 14fced68-56e7-45f3-a415-d4b229b753db)) + (pad "16" thru_hole circle (at 38.1 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 48 "DRQ3") (pinfunction "DRQ3") (pintype "passive") (tstamp be0c9574-fbfc-4cb1-9d37-43f80cae417a)) + (pad "17" thru_hole circle (at 40.64 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 49 "~{DACK1}") (pinfunction "~{DACK1}") (pintype "passive") (tstamp 6daed88f-6c1f-45e8-a2c1-9dcfff59cb86)) + (pad "18" thru_hole circle (at 43.18 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 50 "DRQ1") (pinfunction "DRQ1") (pintype "passive") (tstamp cd6c1ac7-9f39-4485-bcd6-778bbc48cd1c)) + (pad "19" thru_hole circle (at 45.72 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 51 "~{REFRESH}") (pinfunction "~{REFRESH}") (pintype "passive") (tstamp 59178dd5-22f5-4892-851b-13a9473d7888)) + (pad "20" thru_hole circle (at 48.26 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 52 "BCLK") (pinfunction "CLK") (pintype "output") (tstamp fca674f3-0a90-44f4-ad0c-0470f26bb584)) + (pad "21" thru_hole circle (at 50.8 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 53 "IRQ7") (pinfunction "IRQ7") (pintype "passive") (tstamp 21566221-8309-4fe0-a17e-f6b6585baab6)) + (pad "22" thru_hole circle (at 53.34 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 54 "IRQ6") (pinfunction "IRQ6") (pintype "passive") (tstamp 909be072-b81d-4156-aca1-aef666b7d26d)) + (pad "23" thru_hole circle (at 55.88 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 55 "IRQ5") (pinfunction "IRQ5") (pintype "passive") (tstamp 7ad63198-b97d-4917-9f0a-2b40ad6bea7c)) + (pad "24" thru_hole circle (at 58.42 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 56 "IRQ4") (pinfunction "IRQ4") (pintype "passive") (tstamp 71c9e7dc-ff0d-4682-af4a-2d303fdf1c67)) + (pad "25" thru_hole circle (at 60.96 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 57 "IRQ3") (pinfunction "IRQ3") (pintype "passive") (tstamp 17a1882b-be3c-457d-8b0a-ef0bda16bbef)) + (pad "26" thru_hole circle (at 63.5 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 58 "~{DACK2}") (pinfunction "~{DACK2}") (pintype "passive") (tstamp 88546fc1-709d-4538-8d4e-294824534b2c)) + (pad "27" thru_hole circle (at 66.04 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 59 "TC") (pinfunction "TC") (pintype "passive") (tstamp a0f2cd2e-8fe8-43c1-8e58-4b5ede40ee16)) + (pad "28" thru_hole circle (at 68.58 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 60 "BALE") (pinfunction "ALE") (pintype "output") (tstamp 3c2bee65-c27f-42f2-8457-c4bd48298ce1)) + (pad "29" thru_hole circle (at 71.12 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp 46c91d0a-3f74-416a-91a0-e8b9bab7b5ff)) + (pad "30" thru_hole circle (at 73.66 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 61 "OSC") (pinfunction "OSC") (pintype "output") (tstamp 36000af7-3569-4cb8-b3d8-b747bfa2de7f)) + (pad "31" thru_hole circle (at 76.2 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0ed2b9bc-67af-40d1-9de2-28971087fbff)) + (pad "32" thru_hole circle (at 0 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 3 "~{IOCHK}") (pinfunction "IO") (pintype "passive") (tstamp e4eda8ad-4945-4d29-8027-8a89728b758f)) + (pad "33" thru_hole circle (at 2.54 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 4 "SD7") (pinfunction "DB7") (pintype "tri_state") (tstamp 89df4a92-d7d3-42d2-83ed-18799b4eac40)) + (pad "34" thru_hole circle (at 5.08 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 5 "SD6") (pinfunction "DB6") (pintype "tri_state") (tstamp 86c53141-b565-4726-b7cd-e30720e4be6d)) + (pad "35" thru_hole circle (at 7.62 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 6 "SD5") (pinfunction "DB5") (pintype "tri_state") (tstamp 5cb88885-abbc-42b9-970f-394393390986)) + (pad "36" thru_hole circle (at 10.16 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 7 "SD4") (pinfunction "DB4") (pintype "tri_state") (tstamp b1f9d984-5f1c-4b91-95f0-d3093c991666)) + (pad "37" thru_hole circle (at 12.7 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 8 "SD3") (pinfunction "DB3") (pintype "tri_state") (tstamp 5582529e-1416-41f2-b273-2d9942518521)) + (pad "38" thru_hole circle (at 15.24 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 9 "SD2") (pinfunction "DB2") (pintype "tri_state") (tstamp 2a390e3f-cae7-4259-9f22-087cd488adf5)) + (pad "39" thru_hole circle (at 17.78 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 10 "SD1") (pinfunction "DB1") (pintype "tri_state") (tstamp 67f56713-0b27-4762-9a0d-a7de606d181b)) + (pad "40" thru_hole circle (at 20.32 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 11 "SD0") (pinfunction "DB0") (pintype "tri_state") (tstamp 43589d41-8310-4145-8a61-f57dd5df0f94)) + (pad "41" thru_hole circle (at 22.86 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 12 "IOCHRDY") (pinfunction "IO_READY") (pintype "passive") (tstamp 19a2794b-725b-4f2a-b235-9699953dfbf3)) + (pad "42" thru_hole circle (at 25.4 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 13 "AEN") (pinfunction "AEN") (pintype "output") (tstamp 49af6782-bc86-43ae-8c01-6a12c0aad6f5)) + (pad "43" thru_hole circle (at 27.94 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 14 "SA19") (pinfunction "BA19") (pintype "tri_state") (tstamp 510d8ad2-f9b9-41fe-9776-3fdb32f7dd40)) + (pad "44" thru_hole circle (at 30.48 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 15 "SA18") (pinfunction "BA18") (pintype "tri_state") (tstamp 8ee3beef-6e6a-431a-8abc-a093b3be5195)) + (pad "45" thru_hole circle (at 33.02 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 16 "SA17") (pinfunction "BA17") (pintype "tri_state") (tstamp bae519b9-699b-4b30-9fb4-397afbf18481)) + (pad "46" thru_hole circle (at 35.56 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 17 "SA16") (pinfunction "BA16") (pintype "tri_state") (tstamp b28e764f-6686-43a9-badc-ff76c566f4c2)) + (pad "47" thru_hole circle (at 38.1 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 18 "SA15") (pinfunction "BA15") (pintype "tri_state") (tstamp 51b9603d-7019-4e7e-a0ce-979d33d48c32)) + (pad "48" thru_hole circle (at 40.64 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 19 "SA14") (pinfunction "BA14") (pintype "tri_state") (tstamp 89a0ddf9-1afd-4d1d-b70e-bcbd71d4afb5)) + (pad "49" thru_hole circle (at 43.18 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 20 "SA13") (pinfunction "BA13") (pintype "tri_state") (tstamp 4e4887c8-cb62-4039-b3ed-90b197db721d)) + (pad "50" thru_hole circle (at 45.72 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 21 "SA12") (pinfunction "BA12") (pintype "tri_state") (tstamp dca0a814-b275-43c5-a544-a0016d25a670)) + (pad "51" thru_hole circle (at 48.26 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 22 "SA11") (pinfunction "BA11") (pintype "tri_state") (tstamp 73abbcab-4e65-40ad-b906-1fe24cef49a5)) + (pad "52" thru_hole circle (at 50.8 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 23 "SA10") (pinfunction "BA10") (pintype "tri_state") (tstamp 3a89e7ce-94b8-41ff-999b-dacec4e73c88)) + (pad "53" thru_hole circle (at 53.34 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 24 "SA9") (pinfunction "BA09") (pintype "tri_state") (tstamp d09800e9-033f-4613-8c07-73f48abe9d6d)) + (pad "54" thru_hole circle (at 55.88 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 25 "SA8") (pinfunction "BA08") (pintype "tri_state") (tstamp cc84c882-8c47-4c13-a320-bb0530221dab)) + (pad "55" thru_hole circle (at 58.42 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 26 "SA7") (pinfunction "BA07") (pintype "tri_state") (tstamp 2554c680-a6fa-4b8f-b14b-c59155dfd221)) + (pad "56" thru_hole circle (at 60.96 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 27 "SA6") (pinfunction "BA06") (pintype "tri_state") (tstamp 19c1ea78-cffa-49e7-9733-919a33c37fb1)) + (pad "57" thru_hole circle (at 63.5 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 28 "SA5") (pinfunction "BA05") (pintype "tri_state") (tstamp 05c04bc6-a138-47b5-ab79-599a5158792e)) + (pad "58" thru_hole circle (at 66.04 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 29 "SA4") (pinfunction "BA04") (pintype "tri_state") (tstamp 57f52f3f-25d1-40df-baf0-98d7dbcb8665)) + (pad "59" thru_hole circle (at 68.58 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 30 "SA3") (pinfunction "BA03") (pintype "tri_state") (tstamp 40f3d9b5-ca01-49f2-9d50-00fbe66fe143)) + (pad "60" thru_hole circle (at 71.12 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 31 "SA2") (pinfunction "BA02") (pintype "tri_state") (tstamp 2df8ef67-3d2c-4f06-9650-df481840cfd3)) + (pad "61" thru_hole circle (at 73.66 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 32 "SA1") (pinfunction "BA01") (pintype "tri_state") (tstamp a88a4b5c-2652-4d4a-8f58-7e4e1807a82f)) + (pad "62" thru_hole circle (at 76.2 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 33 "SA0") (pinfunction "BA00") (pintype "tri_state") (tstamp 3578d7c7-5a43-4b4e-80cc-7917e06dee38)) + (pad "63" thru_hole circle (at 86.36 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 80 "~{MEMCS16}") (pinfunction "~{MEMCS16}") (pintype "passive") (tstamp f70f6a85-1120-4b5f-8acf-4be2bc5ee44b)) + (pad "64" thru_hole circle (at 88.9 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 81 "~{IOC16}") (pinfunction "~{IOCS16}") (pintype "passive") (tstamp 0d9758aa-54d1-4c30-930a-487b0f347dd9)) + (pad "65" thru_hole circle (at 91.44 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 82 "IRQ10") (pinfunction "IRQ10") (pintype "passive") (tstamp c312e90d-794a-4e58-bb6f-1fb477d59524)) + (pad "66" thru_hole circle (at 93.98 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 83 "IRQ11") (pinfunction "IRQ11") (pintype "passive") (tstamp 68941b86-c6e5-4605-9762-c9e0523d0b42)) + (pad "67" thru_hole circle (at 96.52 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 84 "IRQ12") (pinfunction "IRQ12") (pintype "passive") (tstamp 7a2c7d89-de91-4034-8e00-a409f7d152a1)) + (pad "68" thru_hole circle (at 99.06 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 85 "IRQ15") (pinfunction "IRQ15") (pintype "passive") (tstamp eeb96aa0-b084-4219-a612-e02ece0fe58b)) + (pad "69" thru_hole circle (at 101.6 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 86 "IRQ14") (pinfunction "IRQ14") (pintype "passive") (tstamp 7eeae281-de62-4d40-ad42-f949f8d47647)) + (pad "70" thru_hole circle (at 104.14 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 87 "~{DACK0}") (pinfunction "~{DACK0}") (pintype "output") (tstamp 9b3af041-4b4a-4759-8c58-d2e2bf3cd0d2)) + (pad "71" thru_hole circle (at 106.68 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 88 "DRQ0") (pinfunction "DRQ0") (pintype "passive") (tstamp 1626dd3c-00df-4d89-9a48-6b25197366c1)) + (pad "72" thru_hole circle (at 109.22 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 89 "~{DACK5}") (pinfunction "~{DACK5}") (pintype "output") (tstamp f8f935a3-8d1a-40df-a35c-34c6e2723ffb)) + (pad "73" thru_hole circle (at 111.76 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 90 "DRQ5") (pinfunction "DRQ5") (pintype "passive") (tstamp 6bdf4119-da05-4603-a48d-727dd3961e3f)) + (pad "74" thru_hole circle (at 114.3 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 91 "~{DACK6}") (pinfunction "~{DACK6}") (pintype "output") (tstamp bd80a73b-a80d-4eed-93d3-2e0734918059)) + (pad "75" thru_hole circle (at 116.84 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 92 "DRQ6") (pinfunction "DRQ6") (pintype "passive") (tstamp 4e40888c-79e5-4a7a-8c3e-db95aad38580)) + (pad "76" thru_hole circle (at 119.38 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 93 "~{DACK7}") (pinfunction "~{DACK7}") (pintype "output") (tstamp e6766013-b520-46cc-87e6-ab833ef35379)) + (pad "77" thru_hole circle (at 121.92 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 94 "DRQ7") (pinfunction "DRQ7") (pintype "passive") (tstamp 276be1fe-8bd9-4089-b413-3c4cc4eacf19)) + (pad "78" thru_hole circle (at 124.46 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp f002082d-b599-45f6-bfa9-b2dffb085cbf)) + (pad "79" thru_hole circle (at 127 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 95 "~{MASTER}") (pinfunction "MASTER") (pintype "passive") (tstamp 2ac34192-71c7-48d9-ad68-9e42b7be6888)) + (pad "80" thru_hole circle (at 129.54 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 106 "unconnected-(J2-GND-Pad80)") (pinfunction "GND") (pintype "power_in") (tstamp 0c97fd6c-321c-4bc0-993b-283f746a839e)) + (pad "81" thru_hole circle (at 86.36 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 62 "~{SBHE}") (pinfunction "SBHE") (pintype "passive") (tstamp ef44c3bf-cce8-4636-b503-cb735cd5553b)) + (pad "82" thru_hole circle (at 88.9 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 63 "LA23") (pinfunction "LA23") (pintype "passive") (tstamp f6198b24-5fb8-4d07-b0b1-809aba47e92b)) + (pad "83" thru_hole circle (at 91.44 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 64 "LA22") (pinfunction "LA22") (pintype "passive") (tstamp 04c1159e-45ad-4d2d-be05-f0e8f22c6c4a)) + (pad "84" thru_hole circle (at 93.98 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 65 "LA21") (pinfunction "LA21") (pintype "passive") (tstamp e1cc2b22-cefd-4b0b-b17c-9c58b4b68285)) + (pad "85" thru_hole circle (at 96.52 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 66 "LA20") (pinfunction "LA20") (pintype "passive") (tstamp 50c94d12-9e27-48a3-8726-7d2c68ee7605)) + (pad "86" thru_hole circle (at 99.06 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 67 "LA19") (pinfunction "LA19") (pintype "passive") (tstamp d399d6ee-eb12-4aa0-b85c-dc4ef8417374)) + (pad "87" thru_hole circle (at 101.6 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 68 "LA18") (pinfunction "LA18") (pintype "passive") (tstamp 5098b3c2-ebb4-4639-b84a-f3a224848369)) + (pad "88" thru_hole circle (at 104.14 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 69 "LA17") (pinfunction "LA17") (pintype "passive") (tstamp 00976c97-87a1-434f-8364-3da746bbe983)) + (pad "89" thru_hole circle (at 106.68 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 70 "~{MEMR}") (pinfunction "~{MEMR}") (pintype "output") (tstamp d3fbb530-382c-4d46-9fc3-1f74a92a8959)) + (pad "90" thru_hole circle (at 109.22 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 71 "~{MEMW}") (pinfunction "~{MEMW}") (pintype "output") (tstamp 2fd97708-b2cb-47b0-b31d-55994295997b)) + (pad "91" thru_hole circle (at 111.76 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 72 "SD8") (pinfunction "D8") (pintype "tri_state") (tstamp 78ca1b4e-d2d2-4732-a3d6-b1c35821afe9)) + (pad "92" thru_hole circle (at 114.3 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 73 "SD9") (pinfunction "D9") (pintype "tri_state") (tstamp 779baa1f-5229-411f-a7e5-8e1734f9c032)) + (pad "93" thru_hole circle (at 116.84 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 74 "SD10") (pinfunction "D10") (pintype "tri_state") (tstamp 48889e33-2538-4856-9fe1-fc662160e795)) + (pad "94" thru_hole circle (at 119.38 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 75 "SD11") (pinfunction "D11") (pintype "tri_state") (tstamp 907e1787-7745-48da-b73b-166391da3270)) + (pad "95" thru_hole circle (at 121.92 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 76 "SD12") (pinfunction "D12") (pintype "tri_state") (tstamp 00cefadd-b414-428a-8780-521a9fc2f298)) + (pad "96" thru_hole circle (at 124.46 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 77 "SD13") (pinfunction "D13") (pintype "tri_state") (tstamp def83192-4a96-4353-88e1-6a39766d1bfe)) + (pad "97" thru_hole circle (at 127 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 78 "SD14") (pinfunction "D14") (pintype "tri_state") (tstamp 10f19351-bece-4aec-a79d-ed38fb942369)) + (pad "98" thru_hole circle (at 129.54 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 79 "SD15") (pinfunction "D15") (pintype "tri_state") (tstamp f33856dd-7b9a-4b21-a772-ad85ddb3bc8b)) + (model "${KIPRJMOD}/packages3d/c-2-5530843-7-f-3d.stp" + (offset (xyz 107.95 2.45 15.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/packages3d/c-6-5530843-5-f-3d.stp" + (offset (xyz 38.1 2.45 15.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "local:EBC49DCWN-S420" (layer "F.Cu") + (tstamp 7721c961-01ae-4e44-85c2-894018a040f6) + (at 105.76 62.7) + (property "Sheetfile" "isa_slots.kicad_sch") + (property "Sheetname" "ISA slots") + (property "ki_description" "16-bit ISA-AT bus connector") + (property "ki_keywords" "ISA") + (path "/b949bb7a-50c8-4e31-9e73-35dbf21da0da/df2c6e20-32c3-4d57-a89f-523b08922191") + (attr through_hole) + (fp_text reference "J3" (at 60.96 -2.54) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7d179a06-4fe8-4d40-92cd-e2f84ac197b4) + ) + (fp_text value "Bus_ISA_16bit" (at 48.26 -2.54) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2ca9efa4-af3d-4625-9ace-3651d831acb6) + ) + (fp_text user "*" (at -1.27 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp afc7fdcb-814c-4bb1-b88c-9cda1a530744) + ) + (fp_text user "*" (at 129.54 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3dc6729d-f2c1-4b7e-959a-52fe9bc7595e) + ) + (fp_circle (center 132.715 -5.08) (end 133.096 -5.08) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.SilkS") (tstamp fcf7ed5e-007e-4841-b268-326d9b52b9a0)) + (fp_line (start -4.699 -7.366) (end -4.699 2.286) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2e5a5b7-889e-428e-bdce-bd93a253bfcf)) + (fp_line (start -4.699 2.286) (end 134.239 2.286) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd39ae74-b7cc-4659-8316-7d2033f90e88)) + (fp_line (start 134.239 -7.366) (end -4.699 -7.366) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 29f9271f-df58-47f0-a3d1-d034e3503efd)) + (fp_line (start 134.239 2.286) (end 134.239 -7.366) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6aa66ef-c61b-409d-bd85-5001381c61eb)) + (fp_circle (center 132.715 -5.08) (end 133.096 -5.08) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 3b396dea-14e4-40a2-b2ab-64195df2cb3d)) + (fp_line (start -4.826 -7.493) (end -4.826 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6937e1f0-bcc1-434a-afdd-d646df7c6b10)) + (fp_line (start -4.826 -7.493) (end -4.826 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f064b2d0-2e63-48cb-84ce-49697358ed83)) + (fp_line (start -4.826 2.413) (end 134.366 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3cd94b60-2d27-4e03-b97a-86a0326ac2ca)) + (fp_line (start -4.826 2.413) (end 134.366 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8cbb0acf-a716-448c-a660-b6b8f181e076)) + (fp_line (start 134.366 -7.493) (end -4.826 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp afbab944-8ade-4241-acab-5a4966d821e1)) + (fp_line (start 134.366 -7.493) (end -4.826 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bcc1a90e-81ab-4b1f-8f92-0e0f63ff3e19)) + (fp_line (start 134.366 2.413) (end 134.366 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a94595e-105d-40e3-bc05-6639a972067d)) + (fp_line (start 134.366 2.413) (end 134.366 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5c6dd2c-268d-4bdc-bd6c-f62e440ecb54)) + (fp_line (start -4.572 -7.239) (end -4.572 2.159) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5778d97-4699-4936-afbb-7da4473d0135)) + (fp_line (start -4.572 2.159) (end 134.112 2.159) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 14222bef-0604-4209-9061-e13438f0f1e8)) + (fp_line (start 134.112 -7.239) (end -4.572 -7.239) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp feeb38aa-c799-42af-bde1-7e758862766b)) + (fp_line (start 134.112 2.159) (end 134.112 -7.239) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9168f120-cee9-4c7e-a0d3-26c484cdb9e1)) + (fp_circle (center 129.54 -7.62) (end 129.921 -7.62) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp d1be09ae-2b90-42e3-a726-eb2deb540a3e)) + (pad "1" thru_hole circle (at 0 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 97 "unconnected-(J3-GND-Pad1)") (pinfunction "GND") (pintype "power_in") (tstamp 9529e4f6-5f9d-4feb-ae87-21288a43e139)) + (pad "2" thru_hole circle (at 2.54 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 35 "RESET") (pinfunction "RESET") (pintype "output") (tstamp 43f9ad96-ebf6-43b1-ba08-0041d25e1fb9)) + (pad "3" thru_hole circle (at 5.08 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp 4dd9306e-ec96-4623-865d-1242c384e4a1)) + (pad "4" thru_hole circle (at 7.62 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 37 "IRQ9") (pinfunction "IRQ2") (pintype "passive") (tstamp 54e49a1a-322e-4d69-91e9-0e938b3bf7d7)) + (pad "5" thru_hole circle (at 10.16 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 38 "-5V") (pinfunction "-5V") (pintype "power_in") (tstamp d9628296-a332-4ae5-b8a9-e5f744b8b966)) + (pad "6" thru_hole circle (at 12.7 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 39 "DRQ2") (pinfunction "DRQ2") (pintype "passive") (tstamp 04e77ab4-f5d7-4312-aef0-4e45598e24f4)) + (pad "7" thru_hole circle (at 15.24 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 40 "-12V") (pinfunction "-12V") (pintype "power_in") (tstamp fec34899-b987-4dcd-a786-9b00a18858f9)) + (pad "8" thru_hole circle (at 17.78 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 98 "unconnected-(J3-UNUSED-Pad8)") (pinfunction "UNUSED") (pintype "passive") (tstamp 9488c774-8787-4f66-88af-6dde6d359e96)) + (pad "9" thru_hole circle (at 20.32 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 42 "+12V") (pinfunction "+12V") (pintype "power_in") (tstamp ee1af12d-1bb4-40d7-9a73-750a25906403)) + (pad "10" thru_hole circle (at 22.86 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 99 "unconnected-(J3-GND-Pad10)") (pinfunction "GND") (pintype "power_in") (tstamp f56a82b5-6fea-4f74-81ff-90f6db5602e3)) + (pad "11" thru_hole circle (at 25.4 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 43 "~{SMEMW}") (pinfunction "~{SMEMW}") (pintype "output") (tstamp 8ea52972-b142-408e-8adb-69fe32911906)) + (pad "12" thru_hole circle (at 27.94 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 44 "~{SMEMR}") (pinfunction "~{SMEMR}") (pintype "output") (tstamp 471e7c65-7ac9-4add-bbd7-b5ccdb6bff5b)) + (pad "13" thru_hole circle (at 30.48 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 45 "~{IOW}") (pinfunction "~{IOW}") (pintype "output") (tstamp 70786823-4321-4177-82b2-98181b543f43)) + (pad "14" thru_hole circle (at 33.02 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 46 "~{IOR}") (pinfunction "~{IOR}") (pintype "output") (tstamp 552ad628-b9a8-43a0-b158-bf28fda94c6d)) + (pad "15" thru_hole circle (at 35.56 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 47 "~{DACK3}") (pinfunction "~{DACK3}") (pintype "passive") (tstamp b039ee2a-b280-4904-b271-0fe63367cda6)) + (pad "16" thru_hole circle (at 38.1 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 48 "DRQ3") (pinfunction "DRQ3") (pintype "passive") (tstamp ceb0a641-189f-4186-b534-fda658833c92)) + (pad "17" thru_hole circle (at 40.64 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 49 "~{DACK1}") (pinfunction "~{DACK1}") (pintype "passive") (tstamp 76021b48-b237-41f0-bc6c-0905beca3e4b)) + (pad "18" thru_hole circle (at 43.18 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 50 "DRQ1") (pinfunction "DRQ1") (pintype "passive") (tstamp d6b4aea8-4033-4e8a-abf4-e924bf46ba89)) + (pad "19" thru_hole circle (at 45.72 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 51 "~{REFRESH}") (pinfunction "~{REFRESH}") (pintype "passive") (tstamp 18799baf-8793-4415-aaeb-0bd284f370cf)) + (pad "20" thru_hole circle (at 48.26 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 52 "BCLK") (pinfunction "CLK") (pintype "output") (tstamp 1d9254f0-23eb-4ea4-81a5-3fd557c62379)) + (pad "21" thru_hole circle (at 50.8 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 53 "IRQ7") (pinfunction "IRQ7") (pintype "passive") (tstamp 2d50d838-7dbc-45ce-8b7e-430a81e0785b)) + (pad "22" thru_hole circle (at 53.34 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 54 "IRQ6") (pinfunction "IRQ6") (pintype "passive") (tstamp c5ce206d-a74c-447b-beb9-a9bcdb789945)) + (pad "23" thru_hole circle (at 55.88 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 55 "IRQ5") (pinfunction "IRQ5") (pintype "passive") (tstamp 61ce33ca-a1dd-4556-8aa5-ecc40f15c611)) + (pad "24" thru_hole circle (at 58.42 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 56 "IRQ4") (pinfunction "IRQ4") (pintype "passive") (tstamp 62fd25dd-3ab8-4a8b-90af-8e340aaba08c)) + (pad "25" thru_hole circle (at 60.96 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 57 "IRQ3") (pinfunction "IRQ3") (pintype "passive") (tstamp 7def3070-dd0a-4545-a9ea-a578122c8183)) + (pad "26" thru_hole circle (at 63.5 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 58 "~{DACK2}") (pinfunction "~{DACK2}") (pintype "passive") (tstamp a9e0068e-2a36-4d23-b7c1-19d3f281873c)) + (pad "27" thru_hole circle (at 66.04 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 59 "TC") (pinfunction "TC") (pintype "passive") (tstamp 88b6aec9-2052-417d-8554-c05339dfaf19)) + (pad "28" thru_hole circle (at 68.58 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 60 "BALE") (pinfunction "ALE") (pintype "output") (tstamp ae20f721-e803-4107-92ee-6ac6e15f93bb)) + (pad "29" thru_hole circle (at 71.12 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp aea6c483-28ee-40b0-aeb0-b2f68486f7a3)) + (pad "30" thru_hole circle (at 73.66 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 61 "OSC") (pinfunction "OSC") (pintype "output") (tstamp 64606120-734b-49ee-b9e7-888a188858eb)) + (pad "31" thru_hole circle (at 76.2 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b3c0b596-bc1c-4466-9636-96dbf0120e4f)) + (pad "32" thru_hole circle (at 0 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 3 "~{IOCHK}") (pinfunction "IO") (pintype "passive") (tstamp fd870862-285f-42e2-bd2a-977c168ba730)) + (pad "33" thru_hole circle (at 2.54 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 4 "SD7") (pinfunction "DB7") (pintype "tri_state") (tstamp b97387dc-6af8-451d-8ba5-db5f484440a2)) + (pad "34" thru_hole circle (at 5.08 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 5 "SD6") (pinfunction "DB6") (pintype "tri_state") (tstamp 0fddadc8-c2bf-4d0f-acb3-526a45c0db61)) + (pad "35" thru_hole circle (at 7.62 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 6 "SD5") (pinfunction "DB5") (pintype "tri_state") (tstamp 023d793d-135e-45b4-9930-f744e041276c)) + (pad "36" thru_hole circle (at 10.16 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 7 "SD4") (pinfunction "DB4") (pintype "tri_state") (tstamp a21b3e71-2f03-4dc2-a40a-90a8735f9763)) + (pad "37" thru_hole circle (at 12.7 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 8 "SD3") (pinfunction "DB3") (pintype "tri_state") (tstamp cb9ae2af-00b1-4a2d-bd6d-ff5a38501a0a)) + (pad "38" thru_hole circle (at 15.24 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 9 "SD2") (pinfunction "DB2") (pintype "tri_state") (tstamp 538cffc1-96df-427d-a432-aa53e810674e)) + (pad "39" thru_hole circle (at 17.78 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 10 "SD1") (pinfunction "DB1") (pintype "tri_state") (tstamp c3680649-c899-409f-8f1c-320509e478a8)) + (pad "40" thru_hole circle (at 20.32 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 11 "SD0") (pinfunction "DB0") (pintype "tri_state") (tstamp efd210a2-4bfe-4741-88ff-53e63e0d9073)) + (pad "41" thru_hole circle (at 22.86 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 12 "IOCHRDY") (pinfunction "IO_READY") (pintype "passive") (tstamp e67bf2a6-c84c-4c7e-8436-7b064bc0b5b6)) + (pad "42" thru_hole circle (at 25.4 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 13 "AEN") (pinfunction "AEN") (pintype "output") (tstamp 71cae770-58b7-4203-905e-c49a2dc0302e)) + (pad "43" thru_hole circle (at 27.94 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 14 "SA19") (pinfunction "BA19") (pintype "tri_state") (tstamp 1e2ee22c-e9e4-4485-b6ef-86b4103a41f4)) + (pad "44" thru_hole circle (at 30.48 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 15 "SA18") (pinfunction "BA18") (pintype "tri_state") (tstamp eb98c1c2-a8dd-4aef-9c25-0e36549952c3)) + (pad "45" thru_hole circle (at 33.02 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 16 "SA17") (pinfunction "BA17") (pintype "tri_state") (tstamp d7fcf656-b10a-46fa-832e-5c3d9f5afc9e)) + (pad "46" thru_hole circle (at 35.56 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 17 "SA16") (pinfunction "BA16") (pintype "tri_state") (tstamp eac5ef8d-4aa7-44b6-83c3-d24160657e71)) + (pad "47" thru_hole circle (at 38.1 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 18 "SA15") (pinfunction "BA15") (pintype "tri_state") (tstamp c5d33b90-cce8-45e1-aebe-9c6d740ae38c)) + (pad "48" thru_hole circle (at 40.64 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 19 "SA14") (pinfunction "BA14") (pintype "tri_state") (tstamp d8b5ce5d-1e05-40cb-b957-ceba2c5cb002)) + (pad "49" thru_hole circle (at 43.18 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 20 "SA13") (pinfunction "BA13") (pintype "tri_state") (tstamp ab4e7fd5-510a-4ec5-b015-05364f633cd9)) + (pad "50" thru_hole circle (at 45.72 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 21 "SA12") (pinfunction "BA12") (pintype "tri_state") (tstamp 73f2960a-3bcd-4fd1-bcbb-0f766cb4a81b)) + (pad "51" thru_hole circle (at 48.26 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 22 "SA11") (pinfunction "BA11") (pintype "tri_state") (tstamp 71d9d4fc-500f-45a4-abc4-ae1d4ea97ccd)) + (pad "52" thru_hole circle (at 50.8 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 23 "SA10") (pinfunction "BA10") (pintype "tri_state") (tstamp 90ec1794-1b13-44c3-95e6-b9370cfa3452)) + (pad "53" thru_hole circle (at 53.34 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 24 "SA9") (pinfunction "BA09") (pintype "tri_state") (tstamp 42d01e48-c478-49ae-8c91-6f7a282516c6)) + (pad "54" thru_hole circle (at 55.88 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 25 "SA8") (pinfunction "BA08") (pintype "tri_state") (tstamp eb181568-7bd6-48c6-81a1-2a623ce6cc6b)) + (pad "55" thru_hole circle (at 58.42 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 26 "SA7") (pinfunction "BA07") (pintype "tri_state") (tstamp fd2b1bf3-c2e9-46ec-9d0a-70b27c4bd1c8)) + (pad "56" thru_hole circle (at 60.96 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 27 "SA6") (pinfunction "BA06") (pintype "tri_state") (tstamp 25040ffe-b321-4382-b327-69fe58853253)) + (pad "57" thru_hole circle (at 63.5 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 28 "SA5") (pinfunction "BA05") (pintype "tri_state") (tstamp d23dfd2d-a7ec-449d-8ac0-c660517e154c)) + (pad "58" thru_hole circle (at 66.04 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 29 "SA4") (pinfunction "BA04") (pintype "tri_state") (tstamp 98e21e0f-b549-40dd-ad4f-c46346a74328)) + (pad "59" thru_hole circle (at 68.58 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 30 "SA3") (pinfunction "BA03") (pintype "tri_state") (tstamp 105dea13-d20c-4a8b-b0ec-29f4a0a10857)) + (pad "60" thru_hole circle (at 71.12 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 31 "SA2") (pinfunction "BA02") (pintype "tri_state") (tstamp e7e57a16-8481-48d9-803e-c49353202af9)) + (pad "61" thru_hole circle (at 73.66 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 32 "SA1") (pinfunction "BA01") (pintype "tri_state") (tstamp 7438ddcf-c6ff-4fe1-a6bb-e991aa70c405)) + (pad "62" thru_hole circle (at 76.2 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 33 "SA0") (pinfunction "BA00") (pintype "tri_state") (tstamp 876f2447-eb87-4edb-a916-ac693676b0d9)) + (pad "63" thru_hole circle (at 86.36 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 80 "~{MEMCS16}") (pinfunction "~{MEMCS16}") (pintype "passive") (tstamp 720135e7-38db-464a-805d-cc8e6af46486)) + (pad "64" thru_hole circle (at 88.9 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 81 "~{IOC16}") (pinfunction "~{IOCS16}") (pintype "passive") (tstamp 0bab13ff-4e04-43d0-bc0f-98742b42d16e)) + (pad "65" thru_hole circle (at 91.44 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 82 "IRQ10") (pinfunction "IRQ10") (pintype "passive") (tstamp 6a87da81-2941-4941-a557-6ce3867034c3)) + (pad "66" thru_hole circle (at 93.98 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 83 "IRQ11") (pinfunction "IRQ11") (pintype "passive") (tstamp e80efb50-cd24-407b-b027-f3767469d154)) + (pad "67" thru_hole circle (at 96.52 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 84 "IRQ12") (pinfunction "IRQ12") (pintype "passive") (tstamp fc39448f-cecc-48c9-a3d0-2c938ec7ebae)) + (pad "68" thru_hole circle (at 99.06 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 85 "IRQ15") (pinfunction "IRQ15") (pintype "passive") (tstamp 34c03b81-17a0-410b-b958-d11483e6ffc5)) + (pad "69" thru_hole circle (at 101.6 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 86 "IRQ14") (pinfunction "IRQ14") (pintype "passive") (tstamp 0c7d1d8f-6f44-4411-bab4-02ae3cb833b8)) + (pad "70" thru_hole circle (at 104.14 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 87 "~{DACK0}") (pinfunction "~{DACK0}") (pintype "output") (tstamp 9f3e8aea-1840-4001-bf8c-d926da8b3a23)) + (pad "71" thru_hole circle (at 106.68 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 88 "DRQ0") (pinfunction "DRQ0") (pintype "passive") (tstamp 1c762744-0516-46e5-b83a-f5a1e67a2f2c)) + (pad "72" thru_hole circle (at 109.22 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 89 "~{DACK5}") (pinfunction "~{DACK5}") (pintype "output") (tstamp 721922dc-6a22-433f-a33f-d982d12b2427)) + (pad "73" thru_hole circle (at 111.76 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 90 "DRQ5") (pinfunction "DRQ5") (pintype "passive") (tstamp fb7060c5-ec82-4570-8bd3-4797d6f55013)) + (pad "74" thru_hole circle (at 114.3 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 91 "~{DACK6}") (pinfunction "~{DACK6}") (pintype "output") (tstamp 8460a66d-c35d-4835-91df-611e161fac7b)) + (pad "75" thru_hole circle (at 116.84 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 92 "DRQ6") (pinfunction "DRQ6") (pintype "passive") (tstamp b9d63cb7-1d67-43b4-a23c-231a795baa6e)) + (pad "76" thru_hole circle (at 119.38 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 93 "~{DACK7}") (pinfunction "~{DACK7}") (pintype "output") (tstamp 771b7169-f435-462f-a11a-1047589d5011)) + (pad "77" thru_hole circle (at 121.92 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 94 "DRQ7") (pinfunction "DRQ7") (pintype "passive") (tstamp f542e54f-64a1-4fb4-aecd-cb7c679a6463)) + (pad "78" thru_hole circle (at 124.46 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp 85060b7c-3eea-4ecc-8ecf-813bb6bad580)) + (pad "79" thru_hole circle (at 127 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 95 "~{MASTER}") (pinfunction "MASTER") (pintype "passive") (tstamp 4a881ba6-9e18-473f-8a40-78097f4c7b66)) + (pad "80" thru_hole circle (at 129.54 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 101 "unconnected-(J3-GND-Pad80)") (pinfunction "GND") (pintype "power_in") (tstamp 9a6ef5d7-5925-4daa-b680-985e48bc65b9)) + (pad "81" thru_hole circle (at 86.36 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 62 "~{SBHE}") (pinfunction "SBHE") (pintype "passive") (tstamp 642470ac-0898-4019-9a46-6024f82371a1)) + (pad "82" thru_hole circle (at 88.9 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 63 "LA23") (pinfunction "LA23") (pintype "passive") (tstamp 5596ea61-ce79-4c82-938b-779528ea9f45)) + (pad "83" thru_hole circle (at 91.44 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 64 "LA22") (pinfunction "LA22") (pintype "passive") (tstamp 61652be5-c99c-4e6c-b7a0-e3fb7236f933)) + (pad "84" thru_hole circle (at 93.98 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 65 "LA21") (pinfunction "LA21") (pintype "passive") (tstamp eeb6972a-dad9-4ad9-b2af-16469d77c897)) + (pad "85" thru_hole circle (at 96.52 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 66 "LA20") (pinfunction "LA20") (pintype "passive") (tstamp 3da72e59-e7c2-4bb3-8257-10ce2195f8f6)) + (pad "86" thru_hole circle (at 99.06 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 67 "LA19") (pinfunction "LA19") (pintype "passive") (tstamp 509ba287-1677-4032-a4d9-1c7654b8dc8a)) + (pad "87" thru_hole circle (at 101.6 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 68 "LA18") (pinfunction "LA18") (pintype "passive") (tstamp 0feb9487-d799-4bb6-a55f-ac63c8498ea9)) + (pad "88" thru_hole circle (at 104.14 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 69 "LA17") (pinfunction "LA17") (pintype "passive") (tstamp a4b2b77d-349d-4e17-b4c4-a21a9d356b2b)) + (pad "89" thru_hole circle (at 106.68 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 70 "~{MEMR}") (pinfunction "~{MEMR}") (pintype "output") (tstamp a04a5748-0ef8-4e56-b1b7-f8f197f3bf0e)) + (pad "90" thru_hole circle (at 109.22 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 71 "~{MEMW}") (pinfunction "~{MEMW}") (pintype "output") (tstamp 2c58a835-c421-4cb2-bfad-e1e357961397)) + (pad "91" thru_hole circle (at 111.76 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 72 "SD8") (pinfunction "D8") (pintype "tri_state") (tstamp a45c0569-18ac-4307-b327-34ec5800fad9)) + (pad "92" thru_hole circle (at 114.3 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 73 "SD9") (pinfunction "D9") (pintype "tri_state") (tstamp 37bb76e4-429b-4940-8381-381136c9285c)) + (pad "93" thru_hole circle (at 116.84 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 74 "SD10") (pinfunction "D10") (pintype "tri_state") (tstamp 9c6d77c6-e16e-4ded-be9e-e6e2589a49aa)) + (pad "94" thru_hole circle (at 119.38 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 75 "SD11") (pinfunction "D11") (pintype "tri_state") (tstamp ad426c65-4309-4fc3-bd93-22295f318a5d)) + (pad "95" thru_hole circle (at 121.92 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 76 "SD12") (pinfunction "D12") (pintype "tri_state") (tstamp b43e9c12-a503-4c28-9b1a-99f218dd0377)) + (pad "96" thru_hole circle (at 124.46 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 77 "SD13") (pinfunction "D13") (pintype "tri_state") (tstamp a46eddb3-3a81-4725-95df-310d1e84a5c1)) + (pad "97" thru_hole circle (at 127 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 78 "SD14") (pinfunction "D14") (pintype "tri_state") (tstamp 6b790d55-0c31-4de7-bcaa-2c664a29abab)) + (pad "98" thru_hole circle (at 129.54 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") + (net 79 "SD15") (pinfunction "D15") (pintype "tri_state") (tstamp 246082c5-2407-4427-afbe-d11e8dfba1b5)) + (model "${KIPRJMOD}/packages3d/c-2-5530843-7-f-3d.stp" + (offset (xyz 107.95 2.45 15.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/packages3d/c-6-5530843-5-f-3d.stp" + (offset (xyz 38.1 2.45 15.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_2x05_P2.54mm_Vertical" (layer "F.Cu") + (tstamp 8b68870b-7352-4ec5-9eae-9ce442a784d8) + (at 320.5 156.4) + (descr "Through hole straight pin header, 2x05, 2.54mm pitch, double rows") + (tags "Through hole pin header THT 2x05 2.54mm double row") + (property "Sheetfile" "io_ports.kicad_sch") + (property "Sheetname" "IO ports") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/c7fc1a65-b714-4f24-92ad-b3e970562fcd/6e2d1f9b-54fd-40ca-9f8d-fc3bc7cab348") + (attr through_hole) + (fp_text reference "J9" (at 1.27 -2.33) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a20467fa-c13d-48a2-9a7e-4d9c4768ec0a) + ) + (fp_text value "X31_KONTRON" (at 1.27 12.49) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2917455c-0d68-477a-b020-19f26ab43c1f) + ) + (fp_text user "${REFERENCE}" (at 1.27 5.08 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 680f09b6-8842-470b-b9c5-4f5afbf54208) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3ccf0f1f-7a5a-4e1d-899e-8844b928d21d)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ef6de4f1-1381-4bb9-bb93-0a557de06eb3)) + (fp_line (start -1.33 1.27) (end -1.33 11.49) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6aa1bcf8-7819-496d-87e9-5adc8791b30d)) + (fp_line (start -1.33 1.27) (end 1.27 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e927d75-79ff-46ea-8b46-b4b965f44340)) + (fp_line (start -1.33 11.49) (end 3.87 11.49) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 329c0fd7-6fb5-4cb3-abf5-e53bac96d16d)) + (fp_line (start 1.27 -1.33) (end 3.87 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74f72d8a-e00d-43c5-a0b1-214f740766df)) + (fp_line (start 1.27 1.27) (end 1.27 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1192f547-a85c-45d8-9f83-9faf7428e4c4)) + (fp_line (start 3.87 -1.33) (end 3.87 11.49) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e49105cd-2f14-4a1a-85c1-169232760937)) + (fp_line (start -1.8 -1.8) (end -1.8 11.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ab8d3f8-a225-4b87-afb5-fc6e9774d967)) + (fp_line (start -1.8 11.95) (end 4.35 11.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c9e6cba5-6d75-4a03-b14a-0120de1570e0)) + (fp_line (start 4.35 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 129e7154-52ae-4007-9adf-f077df957517)) + (fp_line (start 4.35 11.95) (end 4.35 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b3bb4f74-c2cc-44e7-8808-06fa982f2fed)) + (fp_line (start -1.27 0) (end 0 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5d48f967-1b28-481f-bae7-0e464d4fef8d)) + (fp_line (start -1.27 11.43) (end -1.27 0) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e213c56c-f1c5-4969-9106-cecec64e4685)) + (fp_line (start 0 -1.27) (end 3.81 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fdc9fe80-2833-4f31-ac1d-b0b7f293ad1e)) + (fp_line (start 3.81 -1.27) (end 3.81 11.43) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ad69821-5dd1-4131-b3dc-8e0bf6996bfc)) + (fp_line (start 3.81 11.43) (end -1.27 11.43) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74902c35-43c6-485f-820d-a50633d203f1)) + (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 125 "unconnected-(J9-Pin_1-Pad1)") (pinfunction "Pin_1") (pintype "passive") (tstamp 32b55976-f9b4-4fac-9850-73597bef82b3)) + (pad "2" thru_hole oval (at 2.54 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 126 "unconnected-(J9-Pin_2-Pad2)") (pinfunction "Pin_2") (pintype "passive") (tstamp 662c4389-0975-4102-a945-79b7ae18cc34)) + (pad "3" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 127 "unconnected-(J9-Pin_3-Pad3)") (pinfunction "Pin_3") (pintype "passive") (tstamp bdb28752-6e92-4b14-ad68-29203f63c1be)) + (pad "4" thru_hole oval (at 2.54 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 128 "unconnected-(J9-Pin_4-Pad4)") (pinfunction "Pin_4") (pintype "passive") (tstamp 9ec9bffe-479f-4e62-93f8-f687987fd5a6)) + (pad "5" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 123 "/IO ports/KB_DATA") (pinfunction "Pin_5") (pintype "passive") (tstamp da0309af-ee1f-4358-99ed-97e4b6d9d891)) + (pad "6" thru_hole oval (at 2.54 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 122 "/IO ports/KB_CLK") (pinfunction "Pin_6") (pintype "passive") (tstamp ff477fe1-311d-4610-bc5e-0952036cf42a)) + (pad "7" thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_7") (pintype "passive") (tstamp 3c0ee818-8fe3-47fc-be67-114046a8bfea)) + (pad "8" thru_hole oval (at 2.54 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 146 "/IO ports/RTC_BATTERY") (pinfunction "Pin_8") (pintype "passive") (tstamp 16742c29-8346-445e-a835-5475e462d50a)) + (pad "9" thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 147 "/IO ports/MOUSE_CLK") (pinfunction "Pin_9") (pintype "passive") (tstamp 5f3f2622-682a-4038-a825-1177c7901fb1)) + (pad "10" thru_hole oval (at 2.54 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 148 "/IO ports/MOUSE_DATA") (pinfunction "Pin_10") (pintype "passive") (tstamp b46873b6-e082-402f-9a70-0cc1b5157d75)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x05_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_IDC:IDC-Header_2x17_P2.54mm_Vertical" (layer "F.Cu") + (tstamp 9dc84328-bc45-4b3f-896c-328f3725e143) + (at 354.335 135.4) + (descr "Through hole IDC box header, 2x17, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0") + (tags "Through hole vertical IDC box header THT 2x17 2.54mm double row") + (property "Sheetfile" "io_ports.kicad_sch") + (property "Sheetname" "IO ports") + (property "ki_description" "Generic connector, double row, 02x17, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/c7fc1a65-b714-4f24-92ad-b3e970562fcd/8a3176d3-2030-4d33-b47f-81f60d5dfc30") + (attr through_hole) + (fp_text reference "J10" (at 1.27 -6.1) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fdcc93c4-2c4e-4ee7-a06b-efb06eda4a07) + ) + (fp_text value "FLOPPY" (at 1.27 46.74) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ae0f2a7a-0f73-4be0-9d59-c2290421c551) + ) + (fp_text user "${REFERENCE}" (at 1.27 20.32 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 85943efe-5851-4c2a-a986-daaea705afbe) + ) + (fp_line (start -4.68 -0.5) (end -4.68 0.5) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 99d26490-e8b5-4995-9e5f-afc4e4594bc7)) + (fp_line (start -4.68 0.5) (end -3.68 0) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55d9c16e-84fa-422a-8428-8eecea72a7ea)) + (fp_line (start -3.68 0) (end -4.68 -0.5) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eb4bb1fe-bde0-46b1-b3d4-c997e67253b0)) + (fp_line (start -3.29 -5.21) (end 5.83 -5.21) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d1273b1-20c5-4963-b0ef-6d3fa1d9d170)) + (fp_line (start -3.29 18.27) (end -1.98 18.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 15c07758-6085-4461-80c5-e3f63dde40ff)) + (fp_line (start -3.29 45.85) (end -3.29 -5.21) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c37072dd-4bd1-4585-ab39-c36d46ce2f9a)) + (fp_line (start -1.98 -3.91) (end 4.52 -3.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0f44df7-a62e-431d-9707-88fe254fd1d4)) + (fp_line (start -1.98 18.27) (end -1.98 -3.91) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 89926d65-0878-4ec0-baa4-cf27942f32a3)) + (fp_line (start -1.98 22.37) (end -3.29 22.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e66a1448-ed7d-4080-ae52-10f3cd829bc2)) + (fp_line (start -1.98 22.37) (end -1.98 22.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2637eec0-4bf5-43cd-96db-a9bc646c0089)) + (fp_line (start -1.98 44.55) (end -1.98 22.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c718dbcf-aaeb-4af9-8edc-c6516747d3ad)) + (fp_line (start 4.52 -3.91) (end 4.52 44.55) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b66398b-c641-4e70-8ec7-0daab47342fd)) + (fp_line (start 4.52 44.55) (end -1.98 44.55) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 64c31e23-5eff-43b3-85a2-e853bb76f935)) + (fp_line (start 5.83 -5.21) (end 5.83 45.85) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84c2e5cf-9c20-4a50-8d26-b54d6798e487)) + (fp_line (start 5.83 45.85) (end -3.29 45.85) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 90b0e2e8-4c60-46ee-aa8c-d4d917bf92ab)) + (fp_line (start -3.68 -5.6) (end -3.68 46.24) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 27b98e16-2be4-4516-b597-fb3a877b0307)) + (fp_line (start -3.68 46.24) (end 6.22 46.24) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b1b61d01-8397-460b-82de-77d00c397f76)) + (fp_line (start 6.22 -5.6) (end -3.68 -5.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d38b99da-6bae-43a3-bdf0-0640db9a8053)) + (fp_line (start 6.22 46.24) (end 6.22 -5.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a84e784-c74b-4a5e-a3ca-fecba0070be4)) + (fp_line (start -3.18 -4.1) (end -2.18 -5.1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c1dcd67a-eb10-44c6-aaa9-2114a70b55ec)) + (fp_line (start -3.18 18.27) (end -1.98 18.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aaf95b00-a422-49cf-886f-fcf96449c22b)) + (fp_line (start -3.18 45.74) (end -3.18 -4.1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ae8f3ea-ecdd-4d68-b33c-c14ac1a6fada)) + (fp_line (start -2.18 -5.1) (end 5.72 -5.1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d226d1b3-5e45-4d42-be95-15bdb15bc02a)) + (fp_line (start -1.98 -3.91) (end 4.52 -3.91) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ff587046-0c14-43c0-82fd-d4aae17edb47)) + (fp_line (start -1.98 18.27) (end -1.98 -3.91) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 282c3877-55a4-4c4f-b97d-a2b9f203a334)) + (fp_line (start -1.98 22.37) (end -3.18 22.37) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd6c9eab-6559-4046-9992-349623a5beea)) + (fp_line (start -1.98 22.37) (end -1.98 22.37) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4ed97301-dd53-4f91-8067-41d1a5beb591)) + (fp_line (start -1.98 44.55) (end -1.98 22.37) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 28db69b6-6d3f-40fa-a4ee-e77af0ab8bb6)) + (fp_line (start 4.52 -3.91) (end 4.52 44.55) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0f7aa628-2272-42e4-a328-5b732c97c1b7)) + (fp_line (start 4.52 44.55) (end -1.98 44.55) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89f49f78-8cdd-4c6c-a6c8-228465f0d80d)) + (fp_line (start 5.72 -5.1) (end 5.72 45.74) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4fd8d1c7-f647-4361-9dfc-46f8f5592916)) + (fp_line (start 5.72 45.74) (end -3.18 45.74) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 83c3210c-1fd6-4d80-9394-c282b58b4d6b)) + (pad "1" thru_hole roundrect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.147059) + (net 34 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp eda35f19-7362-4352-8cb9-07373369a3d1)) + (pad "2" thru_hole circle (at 2.54 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 129 "/IO ports/FDD_DENSEL") (pinfunction "Pin_2") (pintype "passive") (tstamp 6242af7f-bea2-4963-b419-4ee3447a6d32)) + (pad "3" thru_hole circle (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_3") (pintype "passive") (tstamp 8685b2fb-e366-42c3-a9ee-91a16929b687)) + (pad "4" thru_hole circle (at 2.54 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 130 "unconnected-(J10-Pin_4-Pad4)") (pinfunction "Pin_4") (pintype "passive+no_connect") (tstamp d8c1c835-2706-42d1-85d8-6a523388a2e4)) + (pad "5" thru_hole circle (at 0 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_5") (pintype "passive") (tstamp 5cce5206-ff78-467e-b16b-807d4e5c6c11)) + (pad "6" thru_hole circle (at 2.54 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 131 "unconnected-(J10-Pin_6-Pad6)") (pinfunction "Pin_6") (pintype "passive+no_connect") (tstamp 3d36c344-301e-4aac-8180-b03be7f0b47d)) + (pad "7" thru_hole circle (at 0 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_7") (pintype "passive") (tstamp a57e142e-f4bc-4dcd-bb8c-1ab212822c40)) + (pad "8" thru_hole circle (at 2.54 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 132 "/IO ports/FDD_~{INDEX}") (pinfunction "Pin_8") (pintype "passive") (tstamp 31dcd5cc-1f06-46bb-8fb5-c7953db59a52)) + (pad "9" thru_hole circle (at 0 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_9") (pintype "passive") (tstamp e5511637-dce6-49ae-a26a-98b82df060fb)) + (pad "10" thru_hole circle (at 2.54 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 133 "/IO ports/FDD_~{MTR0}") (pinfunction "Pin_10") (pintype "passive") (tstamp 81696bac-e285-46c0-bbe1-74c558bc2185)) + (pad "11" thru_hole circle (at 0 12.7) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_11") (pintype "passive") (tstamp 40dc3107-7a40-4c28-83d2-a036ec935351)) + (pad "12" thru_hole circle (at 2.54 12.7) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 134 "/IO ports/FDD_~{DS1}") (pinfunction "Pin_12") (pintype "passive") (tstamp 40f2c00c-21d2-4f22-92dd-ca247d991e5c)) + (pad "13" thru_hole circle (at 0 15.24) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_13") (pintype "passive") (tstamp 5b9154ca-de42-4bd6-902f-0c421d3e4255)) + (pad "14" thru_hole circle (at 2.54 15.24) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 135 "/IO ports/FDD_~{DS0}") (pinfunction "Pin_14") (pintype "passive") (tstamp b5dd20f7-d94d-4761-8b4e-4ba2804ef0f7)) + (pad "15" thru_hole circle (at 0 17.78) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_15") (pintype "passive") (tstamp 9f6cb2af-ef68-44ef-bd4d-b8e0baf49418)) + (pad "16" thru_hole circle (at 2.54 17.78) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 136 "/IO ports/FDD_~{MTR1}") (pinfunction "Pin_16") (pintype "passive") (tstamp 49dba1e3-087b-4064-8476-29a9504bb382)) + (pad "17" thru_hole circle (at 0 20.32) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_17") (pintype "passive") (tstamp c408735c-8638-4d85-8586-b6ca1af2a082)) + (pad "18" thru_hole circle (at 2.54 20.32) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 137 "/IO ports/FDD_~{DIR}") (pinfunction "Pin_18") (pintype "passive") (tstamp 210a27d7-0271-4dc5-8375-ffedc9b0aca5)) + (pad "19" thru_hole circle (at 0 22.86) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_19") (pintype "passive") (tstamp cf925735-aaea-45dd-961b-0f1a7ed27722)) + (pad "20" thru_hole circle (at 2.54 22.86) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 138 "/IO ports/FDD_~{STEP}") (pinfunction "Pin_20") (pintype "passive") (tstamp ebb3c972-9872-43ba-b9cf-be255cf63693)) + (pad "21" thru_hole circle (at 0 25.4) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_21") (pintype "passive") (tstamp 9227733f-bfd5-4146-826c-2412a50e8f7b)) + (pad "22" thru_hole circle (at 2.54 25.4) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 139 "/IO ports/FDD_~{WDATA}") (pinfunction "Pin_22") (pintype "passive") (tstamp bff52976-a02a-42e5-9fad-b8066817c884)) + (pad "23" thru_hole circle (at 0 27.94) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_23") (pintype "passive") (tstamp 23cd1b4b-b5d0-4fd6-9506-bf2079b9f40c)) + (pad "24" thru_hole circle (at 2.54 27.94) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 140 "/IO ports/FDD_~{WGATE}") (pinfunction "Pin_24") (pintype "passive") (tstamp 14af88e3-d235-4012-87ec-698ac4be8bc8)) + (pad "25" thru_hole circle (at 0 30.48) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_25") (pintype "passive") (tstamp 37d9a506-3501-49f3-9631-c0ede6144e80)) + (pad "26" thru_hole circle (at 2.54 30.48) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 141 "/IO ports/FDD_~{TRK00}") (pinfunction "Pin_26") (pintype "passive") (tstamp b5e2e8ce-c5f1-4b5d-bfc3-09d45924ee01)) + (pad "27" thru_hole circle (at 0 33.02) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_27") (pintype "passive") (tstamp 78c19436-76b8-4e3b-8e9d-fd8f340b89bf)) + (pad "28" thru_hole circle (at 2.54 33.02) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 142 "/IO ports/FDD_~{WRTPRT}") (pinfunction "Pin_28") (pintype "passive") (tstamp 0917f6c2-1b04-474b-b808-bf4705ad8835)) + (pad "29" thru_hole circle (at 0 35.56) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_29") (pintype "passive") (tstamp 36bfb97f-0eaf-4c6b-9dd7-ceef12c80aac)) + (pad "30" thru_hole circle (at 2.54 35.56) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 143 "/IO ports/FDD_~{RDATA}") (pinfunction "Pin_30") (pintype "passive") (tstamp 55773a2d-6971-4f61-85cb-c493836a4700)) + (pad "31" thru_hole circle (at 0 38.1) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_31") (pintype "passive") (tstamp 2fc7a89b-3fd0-41d8-9022-e7d5e2225dd3)) + (pad "32" thru_hole circle (at 2.54 38.1) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 144 "/IO ports/FDD_~{HDSEL}") (pinfunction "Pin_32") (pintype "passive") (tstamp 76b09eba-db76-4e19-8ef2-dfec4c855966)) + (pad "33" thru_hole circle (at 0 40.64) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_33") (pintype "passive") (tstamp 7d877d2a-e9bb-4890-8381-aa63b2141283)) + (pad "34" thru_hole circle (at 2.54 40.64) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 145 "/IO ports/FDD_~{DSKCHG}") (pinfunction "Pin_34") (pintype "passive") (tstamp 0ca2d402-6437-4961-af2d-5a3122862d56)) + (model "${KICAD6_3DMODEL_DIR}/Connector_IDC.3dshapes/IDC-Header_2x17_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_2x05_P2.54mm_Vertical" (layer "F.Cu") + (tstamp c4a409b2-231a-4486-891b-7fee51b3f959) + (at 258.2 114) + (descr "Through hole straight pin header, 2x05, 2.54mm pitch, double rows") + (tags "Through hole pin header THT 2x05 2.54mm double row") + (property "Sheetfile" "io_ports.kicad_sch") + (property "Sheetname" "IO ports") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/c7fc1a65-b714-4f24-92ad-b3e970562fcd/aea5819b-9bb1-42a4-a72b-ef121052c4bc") + (attr through_hole) + (fp_text reference "J6" (at 1.27 -2.33) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c0b1cfb5-85b6-49b4-8063-8f1fd9b77a26) + ) + (fp_text value "X10_KONTRON" (at 1.27 12.49) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b8c33f27-e75a-4746-ba0f-3670c92b2448) + ) + (fp_text user "${REFERENCE}" (at 1.27 5.08 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fb7bd94e-6d6b-4c2d-a1a4-fbceb2d9c1a3) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb30f2da-8a06-4971-a1f1-69cd75c4d2df)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0822c4a1-b9d9-4373-a425-da314217dbfe)) + (fp_line (start -1.33 1.27) (end -1.33 11.49) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c832c00-dd66-4270-b034-43920d87ade1)) + (fp_line (start -1.33 1.27) (end 1.27 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 49b1194e-3bcd-45db-aedd-2953e9cdc9eb)) + (fp_line (start -1.33 11.49) (end 3.87 11.49) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b304be2-70a2-4a39-8231-9cf4028afddf)) + (fp_line (start 1.27 -1.33) (end 3.87 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0289057f-9f28-489b-8123-9a5decccf50e)) + (fp_line (start 1.27 1.27) (end 1.27 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 10b51416-1a79-4b48-8a4a-fa2377abfacc)) + (fp_line (start 3.87 -1.33) (end 3.87 11.49) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1711f401-1b5c-4bc1-8e83-c8fa42eacd9a)) + (fp_line (start -1.8 -1.8) (end -1.8 11.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 265d33d0-59b7-4b49-901a-66be56d03c67)) + (fp_line (start -1.8 11.95) (end 4.35 11.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a156d327-7b7b-4551-ba5d-ab421c377c5e)) + (fp_line (start 4.35 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2552e0a-fe38-40a9-a59c-3551b8da7cd8)) + (fp_line (start 4.35 11.95) (end 4.35 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e11d3175-2625-4ccd-824c-d089328bcf76)) + (fp_line (start -1.27 0) (end 0 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 333b7276-1769-4598-9480-0fa996482e74)) + (fp_line (start -1.27 11.43) (end -1.27 0) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b91d945c-440d-47ce-9bcb-d897ccf98b94)) + (fp_line (start 0 -1.27) (end 3.81 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac3be1bb-96d8-46af-b89a-165e264c6880)) + (fp_line (start 3.81 -1.27) (end 3.81 11.43) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c7dce32-88ac-4692-a6be-eb1ec4f953a9)) + (fp_line (start 3.81 11.43) (end -1.27 11.43) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 179dd3b2-a5df-422f-92f2-cb1e082f34e7)) + (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp df05943b-ffe4-4a4b-b80a-a06644909b00)) + (pad "2" thru_hole oval (at 2.54 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 103 "/IO ports/VGA_RED") (pinfunction "Pin_2") (pintype "passive") (tstamp f715826e-143f-4e22-b32b-97e8cb17b6fc)) + (pad "3" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 120 "unconnected-(J6-Pin_3-Pad3)") (pinfunction "Pin_3") (pintype "passive+no_connect") (tstamp 592263bd-71ba-4048-9d33-9b7f2df8bb4d)) + (pad "4" thru_hole oval (at 2.54 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 104 "/IO ports/VGA_GREEN") (pinfunction "Pin_4") (pintype "passive") (tstamp ecfe574d-b012-457d-bc0a-7f4571491228)) + (pad "5" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 121 "unconnected-(J6-Pin_5-Pad5)") (pinfunction "Pin_5") (pintype "passive+no_connect") (tstamp 6560668c-4fa7-4d76-a143-78aebdd04c25)) + (pad "6" thru_hole oval (at 2.54 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 105 "/IO ports/VGA_BLUE") (pinfunction "Pin_6") (pintype "passive") (tstamp 22d42237-efff-4353-9d14-fae8f43dd821)) + (pad "7" thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 110 "/IO ports/VGA_SDA") (pinfunction "Pin_7") (pintype "passive") (tstamp c9e8fdd6-b6d8-4445-a7d2-c5497c8fac0d)) + (pad "8" thru_hole oval (at 2.54 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 111 "/IO ports/VGA_HSYNC") (pinfunction "Pin_8") (pintype "passive") (tstamp 9c713ede-3f94-4ef4-a16c-60ef68c156e9)) + (pad "9" thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 112 "/IO ports/VGA_VSYNC") (pinfunction "Pin_9") (pintype "passive") (tstamp 1d75c29b-4470-4953-bb24-6bef67a86d0c)) + (pad "10" thru_hole oval (at 2.54 10.16) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 113 "/IO ports/VGA_SCL") (pinfunction "Pin_10") (pintype "passive") (tstamp 0e8000bf-8b71-47d8-a0f4-ee3ba69db72a)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x05_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.00mm:PinHeader_2x05_P2.00mm_Vertical" (layer "F.Cu") + (tstamp d40b77ca-a0cf-4a90-a034-7b3f3fb5db70) + (at 272.3 113.7) + (descr "Through hole straight pin header, 2x05, 2.00mm pitch, double rows") + (tags "Through hole pin header THT 2x05 2.00mm double row") + (property "Sheetfile" "io_ports.kicad_sch") + (property "Sheetname" "IO ports") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/c7fc1a65-b714-4f24-92ad-b3e970562fcd/dd3bb28e-8e95-490c-a004-89ab944e363b") + (attr through_hole) + (fp_text reference "J7" (at 1 -2.06) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8b3d4e11-ea36-49e8-bb5a-48c4e243cc14) + ) + (fp_text value "VGA_VORTEX" (at 1 10.06) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 97262c2a-2b48-49bf-a851-ae564a7c5bf8) + ) + (fp_text user "${REFERENCE}" (at 1 4 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 08a14af6-d2ae-4e30-a77b-30672a87fe65) + ) + (fp_line (start -1.06 -1.06) (end 0 -1.06) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 20778eaf-46ff-4073-b53c-9a16da84fbb3)) + (fp_line (start -1.06 0) (end -1.06 -1.06) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 040826bd-98ae-4c0a-a459-cbe8e2c3be7f)) + (fp_line (start -1.06 1) (end -1.06 9.06) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 708816b0-3464-4fa9-ad45-78fdcca5db35)) + (fp_line (start -1.06 1) (end 1 1) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e6ee158a-a78d-4f69-9ce1-2afb7f0e7992)) + (fp_line (start -1.06 9.06) (end 3.06 9.06) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07776aea-916b-4f35-8d1b-30ad5ba70456)) + (fp_line (start 1 -1.06) (end 3.06 -1.06) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07d19fe6-c4d9-4e5a-8ca7-6367d1a8e409)) + (fp_line (start 1 1) (end 1 -1.06) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a26d49c6-a80b-4009-8a09-b26297f27322)) + (fp_line (start 3.06 -1.06) (end 3.06 9.06) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d05930b6-66b1-4ad0-8f7f-b07b58b1b586)) + (fp_line (start -1.5 -1.5) (end -1.5 9.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c64ee96f-d5b4-4fe0-8f30-6ff63bee70a4)) + (fp_line (start -1.5 9.5) (end 3.5 9.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e3964f38-aee0-4e95-87eb-cead1b0f1517)) + (fp_line (start 3.5 -1.5) (end -1.5 -1.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a34628b9-1304-4e78-9369-867f6e118642)) + (fp_line (start 3.5 9.5) (end 3.5 -1.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94d19e76-4835-449b-ba92-f05b7d941243)) + (fp_line (start -1 0) (end 0 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77dcfb04-dace-4d85-ab3c-3f5b647cb68a)) + (fp_line (start -1 9) (end -1 0) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6bbe806d-9426-4d3b-ba8d-9b1dc1909e8c)) + (fp_line (start 0 -1) (end 3 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f594c8d8-e426-4cb6-bbbb-40a93446de2d)) + (fp_line (start 3 -1) (end 3 9) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2786524-78f8-4712-bcca-686d26a8e85d)) + (fp_line (start 3 9) (end -1 9) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4b23fef4-3d6a-4927-ba6a-73a54c47a688)) + (pad "1" thru_hole rect (at 0 0) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 103 "/IO ports/VGA_RED") (pinfunction "Pin_1") (pintype "passive") (tstamp 04ef084d-996d-4f9f-b09e-653cd4e36c47)) + (pad "2" thru_hole oval (at 2 0) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 9f5b7ad8-9ccc-41b0-b4f4-dbff9d1e5e4f)) + (pad "3" thru_hole oval (at 0 2) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 104 "/IO ports/VGA_GREEN") (pinfunction "Pin_3") (pintype "passive") (tstamp 05672146-acf8-4b39-b770-57bee5b11130)) + (pad "4" thru_hole oval (at 2 2) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp 1250c8b0-31e1-4a1e-a8f8-de23a229a0a2)) + (pad "5" thru_hole oval (at 0 4) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 105 "/IO ports/VGA_BLUE") (pinfunction "Pin_5") (pintype "passive") (tstamp 1a4c27ab-d3bf-4888-b1db-f39a2c755a38)) + (pad "6" thru_hole oval (at 2 4) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_6") (pintype "passive") (tstamp 85f961d0-4a82-4fe5-baac-12a5447e6e39)) + (pad "7" thru_hole oval (at 0 6) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 111 "/IO ports/VGA_HSYNC") (pinfunction "Pin_7") (pintype "passive") (tstamp 943d457e-3e90-408d-9f75-44e9017da042)) + (pad "8" thru_hole oval (at 2 6) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_8") (pintype "passive") (tstamp 11d7f43f-e8a0-4a86-81bb-a39adc011b5e)) + (pad "9" thru_hole oval (at 0 8) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 112 "/IO ports/VGA_VSYNC") (pinfunction "Pin_9") (pintype "passive") (tstamp de3a68d5-bcb6-4ddd-81d5-0c13764431ca)) + (pad "10" thru_hole oval (at 2 8) (size 1.35 1.35) (drill 0.8) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "Pin_10") (pintype "passive") (tstamp 9efcb62b-c493-49ad-aac2-d8844af631f4)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.00mm.3dshapes/PinHeader_2x05_P2.00mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "local:PC104_16bit" (layer "F.Cu") + (tstamp ed65927a-3fc7-4252-9f29-ce2d221dd187) + (at 196.7 172.6) + (property "Sheetfile" "PC104.kicad_sch") + (property "Sheetname" "PC104") + (property "ki_description" "16-bit PC/104 connector") + (property "ki_keywords" "ISA PC/104") + (path "/56da8143-075a-4027-8c1a-43f8b4f0cd5e/2a0e49fd-7865-4f70-bce7-af32b4412341") + (attr through_hole) + (fp_text reference "J1" (at -11.43 -4.02 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0581e271-c7f6-41a3-8ce5-334584c2e311) + ) + (fp_text value "Bus_PC104_16bit" (at -11.43 -87.42 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a60aa22e-2baf-4f66-9867-c52149a00950) + ) + (fp_text user "${REFERENCE}" (at -11.43 -45.72 270) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7f1de189-082a-4819-901d-948a80ca3d2b) + ) + (fp_line (start -95.89 -90.17) (end -95.89 0) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 044f3a7c-2417-45ec-918d-b17a3716ee58)) + (fp_line (start 0 -90.17) (end -95.89 -90.17) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d731baae-349e-4da9-9d74-85c9661719ba)) + (fp_line (start 0 0) (end -95.89 0) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 359da80a-41fa-4dcf-8d93-56ce705a3885)) + (fp_line (start 0 0) (end 0 -90.17) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01f237b2-ca9e-4797-a273-265b3e379345)) + (pad "" thru_hole circle (at -90.81 -82.55) (size 6.35 6.35) (drill 3.18) (layers "*.Cu" "*.Mask") (tstamp df50ed86-1192-43e8-9b7d-b538a959010d)) + (pad "" thru_hole circle (at -90.81 -8.89) (size 6.35 6.35) (drill 3.18) (layers "*.Cu" "*.Mask") (tstamp 2dd47194-be0b-4feb-942e-5105052e2efa)) + (pad "" thru_hole circle (at -5.08 -85.09) (size 6.35 6.35) (drill 3.18) (layers "*.Cu" "*.Mask") (tstamp 68b8f336-817f-4e2a-8b4e-7a238a7203e3)) + (pad "" thru_hole circle (at -5.08 -5.08) (size 6.35 6.35) (drill 3.18) (layers "*.Cu" "*.Mask") (tstamp fef47d8e-6bb4-4778-8990-703ad17f4151)) + (pad "A1" thru_hole rect (at -10.16 -6.35 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 3 "~{IOCHK}") (pinfunction "~{IOCHK}") (pintype "passive") (tstamp 392e9134-caae-4ed6-a565-3fb2b041b58f)) + (pad "A2" thru_hole oval (at -10.16 -8.89 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 4 "SD7") (pinfunction "SD7") (pintype "tri_state") (tstamp 2b4b57f4-52cc-40e2-bed0-9267e2a08369)) + (pad "A3" thru_hole oval (at -10.16 -11.43 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 5 "SD6") (pinfunction "SD6") (pintype "tri_state") (tstamp 9415d44a-98fc-4b2f-b4f2-39e7250febfd)) + (pad "A4" thru_hole oval (at -10.16 -13.97 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 6 "SD5") (pinfunction "SD5") (pintype "tri_state") (tstamp b7918e0c-84bb-4a81-96f9-293f9353ce45)) + (pad "A5" thru_hole oval (at -10.16 -16.51 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 7 "SD4") (pinfunction "SD4") (pintype "tri_state") (tstamp 04c692eb-7fbb-45e9-8254-fe266739f421)) + (pad "A6" thru_hole oval (at -10.16 -19.05 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 8 "SD3") (pinfunction "SD3") (pintype "tri_state") (tstamp 5c1a327b-38eb-4ef3-b7b1-4fa37f5d4dec)) + (pad "A7" thru_hole oval (at -10.16 -21.59 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 9 "SD2") (pinfunction "SD2") (pintype "tri_state") (tstamp e4131173-228c-4a95-a162-dc628f1a6910)) + (pad "A8" thru_hole oval (at -10.16 -24.13 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 10 "SD1") (pinfunction "SD1") (pintype "tri_state") (tstamp 51362143-681c-43e2-9f73-b8e3e98bd34f)) + (pad "A9" thru_hole oval (at -10.16 -26.67 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 11 "SD0") (pinfunction "SD0") (pintype "tri_state") (tstamp 1cc08a39-2e0b-44bc-87b3-607099ebe24e)) + (pad "A10" thru_hole oval (at -10.16 -29.21 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 12 "IOCHRDY") (pinfunction "IOCHRDY") (pintype "passive") (tstamp 62e59d18-ca32-496e-ac66-840cc649dad2)) + (pad "A11" thru_hole oval (at -10.16 -31.75 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 13 "AEN") (pinfunction "AEN") (pintype "input") (tstamp 219172f6-0497-4cff-a99d-dbaf096759c0)) + (pad "A12" thru_hole oval (at -10.16 -34.29 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 14 "SA19") (pinfunction "SA19") (pintype "tri_state") (tstamp eb477c4f-534d-48a3-be04-2992b0da67e0)) + (pad "A13" thru_hole oval (at -10.16 -36.83 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 15 "SA18") (pinfunction "SA18") (pintype "tri_state") (tstamp be073cab-f472-427c-b4cf-ea80f3f3975c)) + (pad "A14" thru_hole oval (at -10.16 -39.37 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 16 "SA17") (pinfunction "SA17") (pintype "tri_state") (tstamp d2e04434-a4f8-46a3-9697-7e01200363e3)) + (pad "A15" thru_hole oval (at -10.16 -41.91 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 17 "SA16") (pinfunction "SA16") (pintype "tri_state") (tstamp f17a96c8-962b-479b-bb04-4685bf2a1f97)) + (pad "A16" thru_hole oval (at -10.16 -44.45 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 18 "SA15") (pinfunction "SA15") (pintype "tri_state") (tstamp d9773ff6-2d12-4333-be7a-fe5a411c0669)) + (pad "A17" thru_hole oval (at -10.16 -46.99 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 19 "SA14") (pinfunction "SA14") (pintype "tri_state") (tstamp f0adf08d-f127-438e-b5b8-4f16891813e5)) + (pad "A18" thru_hole oval (at -10.16 -49.53 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 20 "SA13") (pinfunction "SA13") (pintype "tri_state") (tstamp 3a73c319-1b25-4629-83f4-157dcc5bd6ec)) + (pad "A19" thru_hole oval (at -10.16 -52.07 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 21 "SA12") (pinfunction "SA12") (pintype "tri_state") (tstamp dde722d1-8eba-4ab1-ac54-d3e1f47bb083)) + (pad "A20" thru_hole oval (at -10.16 -54.61 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 22 "SA11") (pinfunction "SA11") (pintype "tri_state") (tstamp 39ddc2b0-2f2c-435e-ae47-7b288bec7573)) + (pad "A21" thru_hole oval (at -10.16 -57.15 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 23 "SA10") (pinfunction "SA10") (pintype "tri_state") (tstamp 8955965d-1f96-4b70-9969-abbfe46a383e)) + (pad "A22" thru_hole oval (at -10.16 -59.69 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 24 "SA9") (pinfunction "SA9") (pintype "tri_state") (tstamp 2113cba5-f2ad-405f-bd14-78e528d73728)) + (pad "A23" thru_hole oval (at -10.16 -62.23 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 25 "SA8") (pinfunction "SA8") (pintype "tri_state") (tstamp f213f3e8-9843-4dbc-bd47-11d5ee39b286)) + (pad "A24" thru_hole oval (at -10.16 -64.77 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 26 "SA7") (pinfunction "SA7") (pintype "tri_state") (tstamp 7de52c70-114c-4426-a3a1-c6ef0f35fb42)) + (pad "A25" thru_hole oval (at -10.16 -67.31 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 27 "SA6") (pinfunction "SA6") (pintype "tri_state") (tstamp 6509e5c2-c981-4f69-a4d2-868cf5faa0d8)) + (pad "A26" thru_hole oval (at -10.16 -69.85 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 28 "SA5") (pinfunction "SA5") (pintype "tri_state") (tstamp 11ee0ac7-2aa1-4aed-aebe-5f2c9396d2cd)) + (pad "A27" thru_hole oval (at -10.16 -72.39 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 29 "SA4") (pinfunction "SA4") (pintype "tri_state") (tstamp 4e570af0-9f43-46ce-b499-aa9fca3311ed)) + (pad "A28" thru_hole oval (at -10.16 -74.93 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 30 "SA3") (pinfunction "SA3") (pintype "tri_state") (tstamp 5b0a8fac-9f21-4621-99f2-e4a0428721dd)) + (pad "A29" thru_hole oval (at -10.16 -77.47 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 31 "SA2") (pinfunction "SA2") (pintype "tri_state") (tstamp d26a0098-cbcf-42bb-bd7f-6df7ae236628)) + (pad "A30" thru_hole oval (at -10.16 -80.01 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 32 "SA1") (pinfunction "SA1") (pintype "tri_state") (tstamp 3efe5e17-aab0-44f9-8eaa-80a3ed7b81bb)) + (pad "A31" thru_hole oval (at -10.16 -82.55 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 33 "SA0") (pinfunction "SA0") (pintype "tri_state") (tstamp f529c6ca-d27a-4315-8043-80978bafca56)) + (pad "A32" thru_hole oval (at -10.16 -85.09 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 2efab908-f42d-44e2-967a-fb596bc30d8a)) + (pad "B1" thru_hole rect (at -12.7 -6.35 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e60fb610-cbf5-42ce-aed0-dcb83d488083)) + (pad "B2" thru_hole oval (at -12.7 -8.89 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 35 "RESET") (pinfunction "RESET") (pintype "input") (tstamp 5127e712-0649-401a-8cb4-f663b9ba65f9)) + (pad "B3" thru_hole oval (at -12.7 -11.43 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp cd7af029-522c-4ed1-8d0a-cb01b1239988)) + (pad "B4" thru_hole oval (at -12.7 -13.97 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 37 "IRQ9") (pinfunction "IRQ9") (pintype "passive") (tstamp 362cb544-0a62-4ac4-a90e-742e71be86a5)) + (pad "B5" thru_hole oval (at -12.7 -16.51 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 38 "-5V") (pinfunction "-5V") (pintype "power_in") (tstamp 9ea8904c-1034-4bf4-b455-837555019405)) + (pad "B6" thru_hole oval (at -12.7 -19.05 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 39 "DRQ2") (pinfunction "DRQ2") (pintype "passive") (tstamp 27625683-084c-4f43-9045-af83b1a40e5a)) + (pad "B7" thru_hole oval (at -12.7 -21.59 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 40 "-12V") (pinfunction "-12V") (pintype "power_in") (tstamp 2bd3f85f-b5df-423f-a7af-746b8969aafc)) + (pad "B8" thru_hole oval (at -12.7 -24.13 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 41 "~{SRDY}") (pinfunction "~{SRDY}") (pintype "input") (tstamp 5a1bc967-6a75-4c7a-9a9b-666f32568677)) + (pad "B9" thru_hole oval (at -12.7 -26.67 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 42 "+12V") (pinfunction "+12V") (pintype "power_in") (tstamp 132aaf39-ac06-47f4-b0a5-c4b53221265a)) + (pad "B10" thru_hole oval (at -12.7 -29.21 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 1 "unconnected-(J1-KEY-PadB10)") (pinfunction "KEY") (pintype "no_connect") (tstamp b2881b5a-61d1-4f4b-af89-0f7358d05a44)) + (pad "B11" thru_hole oval (at -12.7 -31.75 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 43 "~{SMEMW}") (pinfunction "~{SMEMW}") (pintype "input") (tstamp c863e24f-3c0d-42cd-a150-7daef2ecd7cd)) + (pad "B12" thru_hole oval (at -12.7 -34.29 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 44 "~{SMEMR}") (pinfunction "~{SMEMR}") (pintype "input") (tstamp 63801cb4-2b6f-4f9e-867b-56a61d0aba14)) + (pad "B13" thru_hole oval (at -12.7 -36.83 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 45 "~{IOW}") (pinfunction "~{IOW}") (pintype "input") (tstamp a7887345-3f0f-4e09-9932-837d750550d5)) + (pad "B14" thru_hole oval (at -12.7 -39.37 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 46 "~{IOR}") (pinfunction "~{IOR}") (pintype "input") (tstamp d6c48bd9-d025-46d9-a785-0c4f2d604a1d)) + (pad "B15" thru_hole oval (at -12.7 -41.91 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 47 "~{DACK3}") (pinfunction "~{DACK3}") (pintype "passive") (tstamp ee828cdd-894f-42bb-b0d5-5fb0712c20bc)) + (pad "B16" thru_hole oval (at -12.7 -44.45 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 48 "DRQ3") (pinfunction "DRQ3") (pintype "passive") (tstamp 3b1edff1-c49c-493e-9a7c-d1aa38f768bb)) + (pad "B17" thru_hole oval (at -12.7 -46.99 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 49 "~{DACK1}") (pinfunction "~{DACK1}") (pintype "passive") (tstamp 7874c99a-f5a9-4da9-9a10-819f9a3d27a6)) + (pad "B18" thru_hole oval (at -12.7 -49.53 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 50 "DRQ1") (pinfunction "DRQ1") (pintype "passive") (tstamp 3ffd1001-7cbb-42e3-906c-3a88430b8161)) + (pad "B19" thru_hole oval (at -12.7 -52.07 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 51 "~{REFRESH}") (pinfunction "~{REFRESH}") (pintype "passive") (tstamp bcb27b66-cf94-4cbd-a2ea-1fdb9499f806)) + (pad "B20" thru_hole oval (at -12.7 -54.61 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 52 "BCLK") (pinfunction "BCLK") (pintype "input") (tstamp a2d2e3ad-8235-4e4e-b426-5ab71f5ba82c)) + (pad "B21" thru_hole oval (at -12.7 -57.15 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 53 "IRQ7") (pinfunction "IRQ7") (pintype "passive") (tstamp 22781304-825e-4406-a03a-9e0185ecd625)) + (pad "B22" thru_hole oval (at -12.7 -59.69 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 54 "IRQ6") (pinfunction "IRQ6") (pintype "passive") (tstamp f12faec7-0b7f-4531-b6b9-10bdf7471c3b)) + (pad "B23" thru_hole oval (at -12.7 -62.23 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 55 "IRQ5") (pinfunction "IRQ5") (pintype "passive") (tstamp 16d9c828-9f43-44ae-9b33-27fd5a7f2683)) + (pad "B24" thru_hole oval (at -12.7 -64.77 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 56 "IRQ4") (pinfunction "IRQ4") (pintype "passive") (tstamp dd9458a7-b142-4adb-bb62-80da00fe8a0f)) + (pad "B25" thru_hole oval (at -12.7 -67.31 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 57 "IRQ3") (pinfunction "IRQ3") (pintype "passive") (tstamp 7825fc40-24e5-4c0d-b53d-1faa12c9cb39)) + (pad "B26" thru_hole oval (at -12.7 -69.85 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 58 "~{DACK2}") (pinfunction "~{DACK2}") (pintype "passive") (tstamp 39b0ee6c-5b2c-4f3e-bca1-4995335475b2)) + (pad "B27" thru_hole oval (at -12.7 -72.39 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 59 "TC") (pinfunction "TC") (pintype "passive") (tstamp 5f928148-b277-4793-aefa-1ca077e60c1a)) + (pad "B28" thru_hole oval (at -12.7 -74.93 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 60 "BALE") (pinfunction "BALE") (pintype "input") (tstamp ced26e20-3dff-4f12-bf55-cf73e67f1ed7)) + (pad "B29" thru_hole oval (at -12.7 -77.47 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp edefd9b0-0138-46dc-864c-8f0f48c9ceaa)) + (pad "B30" thru_hole oval (at -12.7 -80.01 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 61 "OSC") (pinfunction "OSC") (pintype "input") (tstamp d78c8801-2f96-4c73-a195-a0eef6061941)) + (pad "B31" thru_hole oval (at -12.7 -82.55 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9eeaca1a-5c3b-4347-ad24-d27dda3e3ca0)) + (pad "B32" thru_hole oval (at -12.7 -85.09 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c6204290-716e-44fa-889f-300d70154d8f)) + (pad "C0" thru_hole oval (at -7.62 -26.67 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 7cdf7824-be3a-4ec4-8a4c-ab7f3265600d)) + (pad "C1" thru_hole rect (at -7.62 -29.21 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 62 "~{SBHE}") (pinfunction "~{SBHE}") (pintype "passive") (tstamp 39b64f5a-5510-421d-b140-c353c618354a)) + (pad "C2" thru_hole oval (at -7.62 -31.75 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 63 "LA23") (pinfunction "LA23") (pintype "passive") (tstamp 4ff50822-b94b-4e4c-a0a9-c265ce634f97)) + (pad "C3" thru_hole oval (at -7.62 -34.29 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 64 "LA22") (pinfunction "LA22") (pintype "passive") (tstamp b6cc3eb7-8264-4bcd-b5aa-5932ecf135ed)) + (pad "C4" thru_hole oval (at -7.62 -36.83 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 65 "LA21") (pinfunction "LA21") (pintype "passive") (tstamp 2cf72604-c75c-4a18-8fe3-58e3c860c03a)) + (pad "C5" thru_hole oval (at -7.62 -39.37 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 66 "LA20") (pinfunction "LA20") (pintype "passive") (tstamp 0bb4a7c6-0c13-400f-b0e3-7f63e1b58438)) + (pad "C6" thru_hole oval (at -7.62 -41.91 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 67 "LA19") (pinfunction "LA19") (pintype "passive") (tstamp b5d53b87-a801-423c-a676-deea394efe0a)) + (pad "C7" thru_hole oval (at -7.62 -44.45 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 68 "LA18") (pinfunction "LA18") (pintype "passive") (tstamp 3acf9d17-7dea-4bcd-9119-2f7505419496)) + (pad "C8" thru_hole oval (at -7.62 -46.99 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 69 "LA17") (pinfunction "LA17") (pintype "passive") (tstamp c8cdf982-8295-4da4-a2c9-3ce576842163)) + (pad "C9" thru_hole oval (at -7.62 -49.53 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 70 "~{MEMR}") (pinfunction "~{MEMR}") (pintype "input") (tstamp a340f1bc-ae55-4dcc-9a5e-e61336f0a9ba)) + (pad "C10" thru_hole oval (at -7.62 -52.07 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 71 "~{MEMW}") (pinfunction "~{MEMW}") (pintype "input") (tstamp db914105-7e57-4bfc-9cfe-4ce4f6be7532)) + (pad "C11" thru_hole oval (at -7.62 -54.61 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 72 "SD8") (pinfunction "SD8") (pintype "tri_state") (tstamp 8ae387cd-2032-4edf-8b44-b344f79a6fdf)) + (pad "C12" thru_hole oval (at -7.62 -57.15 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 73 "SD9") (pinfunction "SD9") (pintype "tri_state") (tstamp d4fb83e9-0aa4-4084-be18-14944e9ad154)) + (pad "C13" thru_hole oval (at -7.62 -59.69 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 74 "SD10") (pinfunction "SD10") (pintype "tri_state") (tstamp f5999431-549e-4253-8309-94c263b0f5d5)) + (pad "C14" thru_hole oval (at -7.62 -62.23 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 75 "SD11") (pinfunction "SD11") (pintype "tri_state") (tstamp e075a4f9-e04b-40b0-aee2-861bb9471b7d)) + (pad "C15" thru_hole oval (at -7.62 -64.77 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 76 "SD12") (pinfunction "SD12") (pintype "tri_state") (tstamp fdbfdf30-e804-4bac-9dee-b5efd377814b)) + (pad "C16" thru_hole oval (at -7.62 -67.31 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 77 "SD13") (pinfunction "SD13") (pintype "tri_state") (tstamp 3d91a1d9-d4a9-4020-a890-d4ac941c6bf7)) + (pad "C17" thru_hole oval (at -7.62 -69.85 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 78 "SD14") (pinfunction "SD14") (pintype "tri_state") (tstamp 53ee4980-7f32-4ed1-9464-5cf40d803a97)) + (pad "C18" thru_hole oval (at -7.62 -72.39 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 79 "SD15") (pinfunction "SD15") (pintype "tri_state") (tstamp cd3be01b-6d1a-44cf-a89e-591a624e19a6)) + (pad "C19" thru_hole oval (at -7.62 -74.93 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "unconnected-(J1-KEY-PadC19)") (pinfunction "KEY") (pintype "no_connect") (tstamp 7c92afef-5302-4226-90c1-cb3da8917d28)) + (pad "D0" thru_hole oval (at -5.08 -26.67 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bbc75dd7-1700-46d6-98e7-bec4d170993f)) + (pad "D1" thru_hole rect (at -5.08 -29.21 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 80 "~{MEMCS16}") (pinfunction "~{MEMCS16}") (pintype "passive") (tstamp 0dff0ac2-e9a3-4f11-bd3c-2a1fdd2f070c)) + (pad "D2" thru_hole oval (at -5.08 -31.75 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 81 "~{IOC16}") (pinfunction "~{IOCS16}") (pintype "passive") (tstamp fcce38aa-a5d6-4f92-9247-cbe187adaf65)) + (pad "D3" thru_hole oval (at -5.08 -34.29 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 82 "IRQ10") (pinfunction "IRQ10") (pintype "passive") (tstamp 2fc55951-e939-40d7-a092-ac1be7683596)) + (pad "D4" thru_hole oval (at -5.08 -36.83 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 83 "IRQ11") (pinfunction "IRQ11") (pintype "passive") (tstamp 75fe97e7-0083-43ce-b916-630725633203)) + (pad "D5" thru_hole oval (at -5.08 -39.37 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 84 "IRQ12") (pinfunction "IRQ12") (pintype "passive") (tstamp 1c0fcf49-b164-47cc-a629-9a9e0f732297)) + (pad "D6" thru_hole oval (at -5.08 -41.91 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 85 "IRQ15") (pinfunction "IRQ15") (pintype "passive") (tstamp c04f3a60-a29d-46da-809b-c30ab782c5a9)) + (pad "D7" thru_hole oval (at -5.08 -44.45 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 86 "IRQ14") (pinfunction "IRQ14") (pintype "passive") (tstamp efbd5a66-cfed-45e3-ac85-331d68cf6f81)) + (pad "D8" thru_hole oval (at -5.08 -46.99 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 87 "~{DACK0}") (pinfunction "~{DACK0}") (pintype "input") (tstamp aab6c5b5-dfd6-4f3c-b3a9-c8cdd79cdc84)) + (pad "D9" thru_hole oval (at -5.08 -49.53 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 88 "DRQ0") (pinfunction "DRQ0") (pintype "passive") (tstamp c52b9303-d399-40cc-a285-373f35d00cf9)) + (pad "D10" thru_hole oval (at -5.08 -52.07 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 89 "~{DACK5}") (pinfunction "~{DACK5}") (pintype "input") (tstamp 578f6aef-414a-44c4-a372-2519550e8a9e)) + (pad "D11" thru_hole oval (at -5.08 -54.61 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 90 "DRQ5") (pinfunction "DRQ5") (pintype "passive") (tstamp da4ffc12-9479-4918-a225-ff624f5780e0)) + (pad "D12" thru_hole oval (at -5.08 -57.15 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 91 "~{DACK6}") (pinfunction "~{DACK6}") (pintype "input") (tstamp 60d6959b-7edd-45e8-bfe4-8c946d7b4d05)) + (pad "D13" thru_hole oval (at -5.08 -59.69 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 92 "DRQ6") (pinfunction "DRQ6") (pintype "passive") (tstamp f90861a6-a76c-4e36-8568-764bd0de5780)) + (pad "D14" thru_hole oval (at -5.08 -62.23 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 93 "~{DACK7}") (pinfunction "~{DACK7}") (pintype "input") (tstamp 5bfd095c-bb66-4cad-afe7-180a458b58e3)) + (pad "D15" thru_hole oval (at -5.08 -64.77 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 94 "DRQ7") (pinfunction "DRQ7") (pintype "passive") (tstamp 82c6e97f-57de-44e6-a20e-d574f6e855cc)) + (pad "D16" thru_hole oval (at -5.08 -67.31 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 36 "+5V") (pinfunction "+5V") (pintype "power_in") (tstamp b8bf6628-c849-46aa-b0d7-ed32d43d290d)) + (pad "D17" thru_hole oval (at -5.08 -69.85 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 95 "~{MASTER}") (pinfunction "~{MASTER}") (pintype "passive") (tstamp 67291e94-ba7d-4ada-8485-424fd4983ace)) + (pad "D18" thru_hole oval (at -5.08 -72.39 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp fba51f17-1166-45fe-9ee9-89a5888f3556)) + (pad "D19" thru_hole oval (at -5.08 -74.93 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 34 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0660c4e8-4afc-4dcc-9f8d-9cf3f649c666)) + (model "${KIPRJMOD}/packages3D/c-1375795-01-h-3d.stp" + (offset (xyz -8.89 45.72 11.05)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + +) diff --git a/keycomp-pc104.kicad_pro b/keycomp-pc104.kicad_pro new file mode 100644 index 0000000..5fa597a --- /dev/null +++ b/keycomp-pc104.kicad_pro @@ -0,0 +1,489 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.15, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 3.2, + "height": 4.0, + "width": 4.0 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "min_clearance": 0.5 + } + }, + "diff_pair_dimensions": [], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "max_error": 0.005, + "min_clearance": 0.0, + "min_connection": 0.0, + "min_copper_edge_clearance": 0.0, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.0, + "min_via_annular_width": 0.09999999999999999, + "min_via_diameter": 0.5, + "solder_mask_clearance": 0.0, + "solder_mask_min_width": 0.0, + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 5, + "td_on_pad_in_zone": false, + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [], + "via_dimensions": [], + "zones_allow_external_fillets": false + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "ignore", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "keycomp-pc104.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.25, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "page_layout_descr_file": "", + "plot_directory": "", + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "7fa4494d-f9c1-4ecf-8065-6d000744767a", + "" + ], + [ + "56da8143-075a-4027-8c1a-43f8b4f0cd5e", + "PC104" + ], + [ + "b949bb7a-50c8-4e31-9e73-35dbf21da0da", + "ISA slots" + ], + [ + "c7fc1a65-b714-4f24-92ad-b3e970562fcd", + "IO ports" + ] + ], + "text_variables": {} +} diff --git a/keycomp-pc104.kicad_sch b/keycomp-pc104.kicad_sch new file mode 100644 index 0000000..0fae198 --- /dev/null +++ b/keycomp-pc104.kicad_sch @@ -0,0 +1,70 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 7fa4494d-f9c1-4ecf-8065-6d000744767a) + + (paper "A4") + + (title_block + (title "Keycomp 80386 to PC104") + ) + + (lib_symbols + ) + + (bus_alias "ISA16" (members "~{IOCHK}" "SD7" "SD6" "SD5" "SD4" "SD3" "SD2" "SD1" "SD0" "IOCHRDY" "AEN" "SA19" "SA18" "SA17" "SA16" "SA15" "SA14" "SA13" "SA12" "SA11" "SA10" "SA9" "SA8" "SA7" "SA6" "SA5" "SA4" "SA3" "SA2" "SA1" "SA0" "RESET" "IRQ9" "DRQ2" "~{SRDY}" "~{SMEMW}" "~{SMEMR}" "~{IOW}" "~{IOR}" "~{DACK3}" "DRQ3" "~{DACK1}" "DRQ1" "~{REFRESH}" "BCLK" "IRQ7" "IRQ6" "IRQ5" "IRQ4" "IRQ3" "~{DACK2}" "TC" "BALE" "OSC" "~{SBHE}" "LA23" "LA22" "LA21" "LA20" "LA19" "LA18" "LA17" "~{MEMR}" "~{MEMW}" "SD8" "SD9" "SD10" "SD11" "SD12" "SD13" "SD14" "SD15" "~{MEMCS16}" "~{IOC16}" "IRQ10" "IRQ11" "IRQ12" "IRQ15" "IRQ14" "~{DACK0}" "DRQ0" "~{DACK5}" "DRQ5" "~{DACK6}" "DRQ6" "~{DACK7}" "DRQ7" "~{MASTER}")) + + (sheet (at 31.75 20.32) (size 29.21 29.21) (fields_autoplaced) + (stroke (width 0.1524) (type solid)) + (fill (color 0 0 0 0.0000)) + (uuid 56da8143-075a-4027-8c1a-43f8b4f0cd5e) + (property "Sheetname" "PC104" (at 31.75 19.6084 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheetfile" "PC104.kicad_sch" (at 31.75 50.1146 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a" (page "2")) + ) + ) + ) + + (sheet (at 81.28 19.05) (size 31.75 41.91) (fields_autoplaced) + (stroke (width 0.1524) (type solid)) + (fill (color 0 0 0 0.0000)) + (uuid b949bb7a-50c8-4e31-9e73-35dbf21da0da) + (property "Sheetname" "ISA slots" (at 81.28 18.3384 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheetfile" "isa_slots.kicad_sch" (at 81.28 61.5446 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a" (page "3")) + ) + ) + ) + + (sheet (at 43.18 73.66) (size 35.56 35.56) (fields_autoplaced) + (stroke (width 0.1524) (type solid)) + (fill (color 0 0 0 0.0000)) + (uuid c7fc1a65-b714-4f24-92ad-b3e970562fcd) + (property "Sheetname" "IO ports" (at 43.18 72.9484 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheetfile" "io_ports.kicad_sch" (at 43.18 109.8046 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (instances + (project "keycomp-pc104" + (path "/7fa4494d-f9c1-4ecf-8065-6d000744767a" (page "4")) + ) + ) + ) + + (sheet_instances + (path "/" (page "1")) + ) +) diff --git a/local.kicad_sym b/local.kicad_sym new file mode 100644 index 0000000..4d2c764 --- /dev/null +++ b/local.kicad_sym @@ -0,0 +1,908 @@ +(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor) + (symbol "Bracket" (in_bom yes) (on_board no) + (property "Reference" "B" (id 0) (at 5.08 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bracket" (id 1) (at 5.08 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/userAssets/file/M65p122.pdf" (id 3) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "MPN" "9202" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier:Digikey" "36-9202-ND" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "ISA Bracket" (id 7) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "ISA Computer Bracket" (id 8) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bracket_0_1" + (polyline + (pts + (xy 6.35 12.7) + (xy 0 12.7) + (xy 0 -25.4) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + ) + (symbol "Bus_ISA_16bit" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 67.945 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_ISA_16bit" (id 1) (at 0 -65.405 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://en.wikipedia.org/wiki/Industry_Standard_Architecture" (id 3) (at -1.905 -68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "ISA" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "16-bit ISA-AT bus connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bus_ISA_16bit_0_1" + (rectangle (start -15.24 -63.5) (end 15.24 66.04) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Bus_ISA_16bit_1_1" + (pin power_out line (at -17.78 63.5 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 40.64 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 38.1 0) (length 2.54) + (name "~{SMEMW}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 35.56 0) (length 2.54) + (name "~{SMEMR}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 33.02 0) (length 2.54) + (name "~{IOW}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 30.48 0) (length 2.54) + (name "~{IOR}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 27.94 0) (length 2.54) + (name "~{DACK3}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 25.4 0) (length 2.54) + (name "DRQ3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 22.86 0) (length 2.54) + (name "~{DACK1}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 20.32 0) (length 2.54) + (name "DRQ1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 17.78 0) (length 2.54) + (name "~{REFRESH}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 60.96 0) (length 2.54) + (name "RESET" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 15.24 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 12.7 0) (length 2.54) + (name "IRQ7" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 10.16 0) (length 2.54) + (name "IRQ6" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 7.62 0) (length 2.54) + (name "IRQ5" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 5.08 0) (length 2.54) + (name "IRQ4" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 2.54 0) (length 2.54) + (name "IRQ3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 0 0) (length 2.54) + (name "~{DACK2}" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -2.54 0) (length 2.54) + (name "TC" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -5.08 0) (length 2.54) + (name "ALE" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -7.62 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 58.42 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -10.16 0) (length 2.54) + (name "OSC" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -12.7 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 63.5 180) (length 2.54) + (name "IO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 60.96 180) (length 2.54) + (name "DB7" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 58.42 180) (length 2.54) + (name "DB6" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 55.88 180) (length 2.54) + (name "DB5" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 53.34 180) (length 2.54) + (name "DB4" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 50.8 180) (length 2.54) + (name "DB3" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 48.26 180) (length 2.54) + (name "DB2" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 45.72 180) (length 2.54) + (name "DB1" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 55.88 0) (length 2.54) + (name "IRQ2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 43.18 180) (length 2.54) + (name "DB0" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 40.64 180) (length 2.54) + (name "IO_READY" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 38.1 180) (length 2.54) + (name "AEN" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 35.56 180) (length 2.54) + (name "BA19" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 33.02 180) (length 2.54) + (name "BA18" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 30.48 180) (length 2.54) + (name "BA17" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 27.94 180) (length 2.54) + (name "BA16" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 25.4 180) (length 2.54) + (name "BA15" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 22.86 180) (length 2.54) + (name "BA14" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 20.32 180) (length 2.54) + (name "BA13" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 53.34 0) (length 2.54) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 17.78 180) (length 2.54) + (name "BA12" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 15.24 180) (length 2.54) + (name "BA11" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 12.7 180) (length 2.54) + (name "BA10" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 10.16 180) (length 2.54) + (name "BA09" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 7.62 180) (length 2.54) + (name "BA08" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 5.08 180) (length 2.54) + (name "BA07" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 2.54 180) (length 2.54) + (name "BA06" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 0 180) (length 2.54) + (name "BA05" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -2.54 180) (length 2.54) + (name "BA04" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -5.08 180) (length 2.54) + (name "BA03" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 50.8 0) (length 2.54) + (name "DRQ2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -7.62 180) (length 2.54) + (name "BA02" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -10.16 180) (length 2.54) + (name "BA01" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -12.7 180) (length 2.54) + (name "BA00" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -17.78 0) (length 2.54) + (name "~{MEMCS16}" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -20.32 0) (length 2.54) + (name "~{IOCS16}" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -22.86 0) (length 2.54) + (name "IRQ10" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -25.4 0) (length 2.54) + (name "IRQ11" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -27.94 0) (length 2.54) + (name "IRQ12" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -30.48 0) (length 2.54) + (name "IRQ15" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -33.02 0) (length 2.54) + (name "IRQ14" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 48.26 0) (length 2.54) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -35.56 0) (length 2.54) + (name "~{DACK0}" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -38.1 0) (length 2.54) + (name "DRQ0" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -40.64 0) (length 2.54) + (name "~{DACK5}" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -43.18 0) (length 2.54) + (name "DRQ5" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -45.72 0) (length 2.54) + (name "~{DACK6}" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -48.26 0) (length 2.54) + (name "DRQ6" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -50.8 0) (length 2.54) + (name "~{DACK7}" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -53.34 0) (length 2.54) + (name "DRQ7" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -55.88 0) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -17.78 -58.42 0) (length 2.54) + (name "MASTER" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 45.72 0) (length 2.54) + (name "~{SRDY}" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -17.78 -60.96 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -17.78 180) (length 2.54) + (name "SBHE" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -20.32 180) (length 2.54) + (name "LA23" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -22.86 180) (length 2.54) + (name "LA22" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -25.4 180) (length 2.54) + (name "LA21" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -27.94 180) (length 2.54) + (name "LA20" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -30.48 180) (length 2.54) + (name "LA19" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -33.02 180) (length 2.54) + (name "LA18" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -35.56 180) (length 2.54) + (name "LA17" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -38.1 180) (length 2.54) + (name "~{MEMR}" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 43.18 0) (length 2.54) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -40.64 180) (length 2.54) + (name "~{MEMW}" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -43.18 180) (length 2.54) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -45.72 180) (length 2.54) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -48.26 180) (length 2.54) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -50.8 180) (length 2.54) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -53.34 180) (length 2.54) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -55.88 180) (length 2.54) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -58.42 180) (length 2.54) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 17.78 -60.96 180) (length 2.54) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Bus_PC104_16bit" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 69.215 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Bus_PC104_16bit" (id 1) (at 0 1.27 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "local:PC104_16bit" (id 2) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://pc104.org/wp-content/uploads/2015/02/PC104_Spec_v2_6.pdf" (id 3) (at 0.635 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "ISA PC/104" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "16-bit PC/104 connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Bus_PC104_16bit_0_0" + (pin power_in line (at -20.32 -13.97 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -13.97 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B32" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -20.32 -67.31 0) (length 5.08) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "C19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -67.31 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D19" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "Bus_PC104_16bit_0_1" + (rectangle (start -15.24 66.675) (end 15.24 -71.12) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "Bus_PC104_16bit_1_1" + (pin passive line (at -20.32 64.77 0) (length 5.08) + (name "~{IOCHK}" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 41.91 0) (length 5.08) + (name "IOCHRDY" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 39.37 0) (length 5.08) + (name "AEN" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 36.83 0) (length 5.08) + (name "SA19" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 34.29 0) (length 5.08) + (name "SA18" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 31.75 0) (length 5.08) + (name "SA17" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 29.21 0) (length 5.08) + (name "SA16" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 26.67 0) (length 5.08) + (name "SA15" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 24.13 0) (length 5.08) + (name "SA14" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 21.59 0) (length 5.08) + (name "SA13" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 19.05 0) (length 5.08) + (name "SA12" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 62.23 0) (length 5.08) + (name "SD7" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 16.51 0) (length 5.08) + (name "SA11" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 13.97 0) (length 5.08) + (name "SA10" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 11.43 0) (length 5.08) + (name "SA9" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 8.89 0) (length 5.08) + (name "SA8" (effects (font (size 1.27 1.27)))) + (number "A23" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 6.35 0) (length 5.08) + (name "SA7" (effects (font (size 1.27 1.27)))) + (number "A24" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 3.81 0) (length 5.08) + (name "SA6" (effects (font (size 1.27 1.27)))) + (number "A25" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 1.27 0) (length 5.08) + (name "SA5" (effects (font (size 1.27 1.27)))) + (number "A26" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -1.27 0) (length 5.08) + (name "SA4" (effects (font (size 1.27 1.27)))) + (number "A27" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -3.81 0) (length 5.08) + (name "SA3" (effects (font (size 1.27 1.27)))) + (number "A28" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -6.35 0) (length 5.08) + (name "SA2" (effects (font (size 1.27 1.27)))) + (number "A29" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 59.69 0) (length 5.08) + (name "SD6" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -8.89 0) (length 5.08) + (name "SA1" (effects (font (size 1.27 1.27)))) + (number "A30" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -11.43 0) (length 5.08) + (name "SA0" (effects (font (size 1.27 1.27)))) + (number "A31" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 57.15 0) (length 5.08) + (name "SD5" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 54.61 0) (length 5.08) + (name "SD4" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 52.07 0) (length 5.08) + (name "SD3" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 49.53 0) (length 5.08) + (name "SD2" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 46.99 0) (length 5.08) + (name "SD1" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 44.45 0) (length 5.08) + (name "SD0" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 64.77 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 20.32 41.91 180) (length 5.08) + (name "KEY" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 39.37 180) (length 5.08) + (name "~{SMEMW}" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 36.83 180) (length 5.08) + (name "~{SMEMR}" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 34.29 180) (length 5.08) + (name "~{IOW}" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 31.75 180) (length 5.08) + (name "~{IOR}" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 29.21 180) (length 5.08) + (name "~{DACK3}" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 26.67 180) (length 5.08) + (name "DRQ3" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 24.13 180) (length 5.08) + (name "~{DACK1}" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 21.59 180) (length 5.08) + (name "DRQ1" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 19.05 180) (length 5.08) + (name "~{REFRESH}" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 62.23 180) (length 5.08) + (name "RESET" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 16.51 180) (length 5.08) + (name "BCLK" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 13.97 180) (length 5.08) + (name "IRQ7" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 11.43 180) (length 5.08) + (name "IRQ6" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 8.89 180) (length 5.08) + (name "IRQ5" (effects (font (size 1.27 1.27)))) + (number "B23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 6.35 180) (length 5.08) + (name "IRQ4" (effects (font (size 1.27 1.27)))) + (number "B24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 3.81 180) (length 5.08) + (name "IRQ3" (effects (font (size 1.27 1.27)))) + (number "B25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 1.27 180) (length 5.08) + (name "~{DACK2}" (effects (font (size 1.27 1.27)))) + (number "B26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -1.27 180) (length 5.08) + (name "TC" (effects (font (size 1.27 1.27)))) + (number "B27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -3.81 180) (length 5.08) + (name "BALE" (effects (font (size 1.27 1.27)))) + (number "B28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -6.35 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 59.69 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -8.89 180) (length 5.08) + (name "OSC" (effects (font (size 1.27 1.27)))) + (number "B30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -11.43 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 57.15 180) (length 5.08) + (name "IRQ9" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 54.61 180) (length 5.08) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 52.07 180) (length 5.08) + (name "DRQ2" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 49.53 180) (length 5.08) + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 46.99 180) (length 5.08) + (name "~{SRDY}" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 44.45 180) (length 5.08) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -20.32 -19.05 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -21.59 0) (length 5.08) + (name "~{SBHE}" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 -44.45 0) (length 5.08) + (name "~{MEMW}" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -46.99 0) (length 5.08) + (name "SD8" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -49.53 0) (length 5.08) + (name "SD9" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -52.07 0) (length 5.08) + (name "SD10" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -54.61 0) (length 5.08) + (name "SD11" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -57.15 0) (length 5.08) + (name "SD12" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -59.69 0) (length 5.08) + (name "SD13" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -62.23 0) (length 5.08) + (name "SD14" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -20.32 -64.77 0) (length 5.08) + (name "SD15" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -24.13 0) (length 5.08) + (name "LA23" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -26.67 0) (length 5.08) + (name "LA22" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -29.21 0) (length 5.08) + (name "LA21" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -31.75 0) (length 5.08) + (name "LA20" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -34.29 0) (length 5.08) + (name "LA19" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -36.83 0) (length 5.08) + (name "LA18" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -20.32 -39.37 0) (length 5.08) + (name "LA17" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -20.32 -41.91 0) (length 5.08) + (name "~{MEMR}" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -19.05 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -21.59 180) (length 5.08) + (name "~{MEMCS16}" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -44.45 180) (length 5.08) + (name "~{DACK5}" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -46.99 180) (length 5.08) + (name "DRQ5" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -49.53 180) (length 5.08) + (name "~{DACK6}" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -52.07 180) (length 5.08) + (name "DRQ6" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -54.61 180) (length 5.08) + (name "~{DACK7}" (effects (font (size 1.27 1.27)))) + (number "D14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -57.15 180) (length 5.08) + (name "DRQ7" (effects (font (size 1.27 1.27)))) + (number "D15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -59.69 180) (length 5.08) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -62.23 180) (length 5.08) + (name "~{MASTER}" (effects (font (size 1.27 1.27)))) + (number "D17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 20.32 -64.77 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -24.13 180) (length 5.08) + (name "~{IOCS16}" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -26.67 180) (length 5.08) + (name "IRQ10" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -29.21 180) (length 5.08) + (name "IRQ11" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -31.75 180) (length 5.08) + (name "IRQ12" (effects (font (size 1.27 1.27)))) + (number "D5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -34.29 180) (length 5.08) + (name "IRQ15" (effects (font (size 1.27 1.27)))) + (number "D6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -36.83 180) (length 5.08) + (name "IRQ14" (effects (font (size 1.27 1.27)))) + (number "D7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 20.32 -39.37 180) (length 5.08) + (name "~{DACK0}" (effects (font (size 1.27 1.27)))) + (number "D8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 20.32 -41.91 180) (length 5.08) + (name "DRQ0" (effects (font (size 1.27 1.27)))) + (number "D9" (effects (font (size 1.27 1.27)))) + ) + ) + ) +) diff --git a/local.pretty/EBC49DCWN-S420.kicad_mod b/local.pretty/EBC49DCWN-S420.kicad_mod new file mode 100644 index 0000000..1bbda3a --- /dev/null +++ b/local.pretty/EBC49DCWN-S420.kicad_mod @@ -0,0 +1,166 @@ +(footprint "EBC49DCWN-S420" (version 20221018) (generator pcbnew) + (layer "F.Cu") + (attr through_hole) + (fp_text reference "REF**" (at 60.96 -2.54) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 444c2d63-4fca-4700-9ec9-794e2bb29baa) + ) + (fp_text value "CONN_EBC49_SUL" (at 48.26 -2.54) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5c74f72d-136b-4a3d-a019-04ec53ff2e77) + ) + (fp_text user "*" (at -1.27 0) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1017697f-28a0-4c9a-99fc-5a5ff2fd7fb4) + ) + (fp_text user "*" (at 129.54 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e703fa41-d1f0-41dd-a254-a6e4f3629e95) + ) + (fp_circle (center 132.715 -5.08) (end 133.096 -5.08) + (stroke (width 0.12) (type solid)) (fill none) (layer "B.SilkS") (tstamp e777ca73-60d0-4a97-a0ac-91a7c87d9483)) + (fp_line (start -4.699 -7.366) (end -4.699 2.286) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d29d30d-f83f-40fd-b7b3-9eddf2d4442a)) + (fp_line (start -4.699 2.286) (end 134.239 2.286) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77ebd2f9-be6c-4c77-8d77-8df29285d682)) + (fp_line (start 134.239 -7.366) (end -4.699 -7.366) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8948507c-0730-4281-89d0-f140702f1472)) + (fp_line (start 134.239 2.286) (end 134.239 -7.366) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f481c95-d2fc-41e2-9e49-4b580b3a2a53)) + (fp_circle (center 132.715 -5.08) (end 133.096 -5.08) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp a89a2797-1086-4121-91e6-fafa0ffec463)) + (fp_line (start -4.826 -7.493) (end -4.826 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a9397cf-0857-44d0-9c7e-2fce1660dcb8)) + (fp_line (start -4.826 -7.493) (end -4.826 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c7733717-94e9-49f2-b89d-8dd6993cf214)) + (fp_line (start -4.826 2.413) (end 134.366 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 714c93c7-e804-4763-bbe5-a0d0878251c9)) + (fp_line (start -4.826 2.413) (end 134.366 2.413) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f01afb0e-1b89-448c-b2eb-04c3761bdcaf)) + (fp_line (start 134.366 -7.493) (end -4.826 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 612ac14b-26a8-45f7-85b3-5fd27fabef5f)) + (fp_line (start 134.366 -7.493) (end -4.826 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac11e736-ecd1-47b7-b218-c045cac57777)) + (fp_line (start 134.366 2.413) (end 134.366 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1039c689-a512-414a-9eef-d41bedac58a5)) + (fp_line (start 134.366 2.413) (end 134.366 -7.493) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa805920-deb9-40af-9f4c-f596f74fb5a0)) + (fp_line (start -4.572 -7.239) (end -4.572 2.159) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5c61cf2-57b0-4886-8882-e6cd9d9c25cd)) + (fp_line (start -4.572 2.159) (end 134.112 2.159) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce230b79-7f68-499e-82cf-6e637c933147)) + (fp_line (start 134.112 -7.239) (end -4.572 -7.239) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 858576c8-7a16-40c1-aba6-57d0520822b8)) + (fp_line (start 134.112 2.159) (end 134.112 -7.239) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 645659b9-d65c-4e6e-9f26-e4105d0183d2)) + (fp_circle (center 129.54 -7.62) (end 129.921 -7.62) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp e3b4506c-c484-4888-baba-3e42e8627fab)) + (pad "1" thru_hole circle (at 0 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp a380feaa-f57b-4261-ab06-726b92d5404f)) + (pad "2" thru_hole circle (at 2.54 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 842948fd-f429-4b4d-9073-9e5fc0ce1a9f)) + (pad "3" thru_hole circle (at 5.08 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 3c44801d-5b60-4fb9-9e4f-c327c40bbbf8)) + (pad "4" thru_hole circle (at 7.62 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 087ff776-cdc2-4cfe-8894-186a0923e484)) + (pad "5" thru_hole circle (at 10.16 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp ad482186-44a3-4889-a2a3-990215a6812e)) + (pad "6" thru_hole circle (at 12.7 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp d26df140-b2df-429b-87af-48331244471e)) + (pad "7" thru_hole circle (at 15.24 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp be8e97f1-4bbd-45e7-9a09-4d68dcab69e8)) + (pad "8" thru_hole circle (at 17.78 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp d069b82e-0e9f-4e1c-af97-e1680d2d7968)) + (pad "9" thru_hole circle (at 20.32 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp daf11e26-4964-4beb-95a2-83539130bbdc)) + (pad "10" thru_hole circle (at 22.86 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp d3408db3-6bfa-450f-b329-06b26fb33a90)) + (pad "11" thru_hole circle (at 25.4 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 3543e533-19bd-4216-8b7b-6e6c3d9ecc52)) + (pad "12" thru_hole circle (at 27.94 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp f9a41287-85e2-4337-8ab4-d88666d02b9f)) + (pad "13" thru_hole circle (at 30.48 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp d7c1714e-eafb-4d9e-a796-8115157c7574)) + (pad "14" thru_hole circle (at 33.02 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp d4ff8e75-1465-4f1a-85cb-a95f8074bcfe)) + (pad "15" thru_hole circle (at 35.56 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 48897e0e-8797-4623-a49f-212388d94920)) + (pad "16" thru_hole circle (at 38.1 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 4e8e7901-09d1-4541-ba41-bcd81dc585b1)) + (pad "17" thru_hole circle (at 40.64 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp b0e2a65b-f08e-4ae9-93e2-35fe13c8c008)) + (pad "18" thru_hole circle (at 43.18 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 1f30df83-2aa2-46c2-8923-1d95ab2b7130)) + (pad "19" thru_hole circle (at 45.72 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 4c1beb08-7d47-4d1d-a0b1-ac15f533c5b8)) + (pad "20" thru_hole circle (at 48.26 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp ecf95183-6618-4ebb-88c7-efdc250a56ec)) + (pad "21" thru_hole circle (at 50.8 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp eb253eee-30a8-45b7-980e-c8e404e99334)) + (pad "22" thru_hole circle (at 53.34 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 0df28c98-e339-44fc-b6a1-f172933d1b41)) + (pad "23" thru_hole circle (at 55.88 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp e298eef5-d0e6-435d-add5-7764d6f7ae1a)) + (pad "24" thru_hole circle (at 58.42 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp feb14e56-7be7-4427-8b6c-b603aee95906)) + (pad "25" thru_hole circle (at 60.96 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 93799624-50fc-46c2-a8a6-d4f00b81bb6a)) + (pad "26" thru_hole circle (at 63.5 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp be87ab73-e385-4060-85ad-723f062b6bdb)) + (pad "27" thru_hole circle (at 66.04 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 4e3b7c80-7fdc-4f5c-856d-15feebb30133)) + (pad "28" thru_hole circle (at 68.58 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 09b34f94-d507-439d-9acf-6d75c973687e)) + (pad "29" thru_hole circle (at 71.12 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 44b25f89-32b7-4a11-901d-491150d36ee3)) + (pad "30" thru_hole circle (at 73.66 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 09e98b6b-0faa-4e34-af3f-8a2f38c07881)) + (pad "31" thru_hole circle (at 76.2 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 4a5a85f2-efc3-4a22-93ab-8308bfb61718)) + (pad "32" thru_hole circle (at 0 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 8cb9fb87-2a19-4d6c-99e8-c657eea5c7e6)) + (pad "33" thru_hole circle (at 2.54 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 5dd3ae93-0018-4330-a6fd-102ce29a21ed)) + (pad "34" thru_hole circle (at 5.08 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp f9573076-f0af-4f6c-bee7-486e45aa7b01)) + (pad "35" thru_hole circle (at 7.62 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 53060720-cc59-4995-9765-1167aeea57ea)) + (pad "36" thru_hole circle (at 10.16 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 52662549-00e5-41aa-b443-298c02134e25)) + (pad "37" thru_hole circle (at 12.7 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 0f2a3e91-42f8-44c8-8a4b-d0544f999e4a)) + (pad "38" thru_hole circle (at 15.24 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 48099395-e3bb-4e29-96e8-74b55a8f4067)) + (pad "39" thru_hole circle (at 17.78 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 89f238d4-5eed-468b-a4d0-88b4283fc14a)) + (pad "40" thru_hole circle (at 20.32 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 8daccef4-3c79-446b-8098-9d08cdbef386)) + (pad "41" thru_hole circle (at 22.86 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 8567e462-3f0e-4771-9976-697320fdff5c)) + (pad "42" thru_hole circle (at 25.4 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 13b96cd5-b207-41d4-a86b-4b1c0aba719a)) + (pad "43" thru_hole circle (at 27.94 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp f3a7fba4-6b2c-4674-ad18-e2f6b8220d0d)) + (pad "44" thru_hole circle (at 30.48 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 30da49c2-841d-41f0-b4a0-0c4427ee6b7a)) + (pad "45" thru_hole circle (at 33.02 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 1735c17e-57d5-4d0f-9143-e2899edf212b)) + (pad "46" thru_hole circle (at 35.56 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 5b03f871-f009-4e13-b815-ad72e1edf17c)) + (pad "47" thru_hole circle (at 38.1 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp af706493-64c4-4df1-9885-407ff0f03d3f)) + (pad "48" thru_hole circle (at 40.64 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 2c0ca365-9172-464c-8d97-32c9cf035f1a)) + (pad "49" thru_hole circle (at 43.18 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 0016e644-ec3f-4329-9891-8d89717dce6d)) + (pad "50" thru_hole circle (at 45.72 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 65c3ac30-7407-4f63-818d-4a7a4bb095b9)) + (pad "51" thru_hole circle (at 48.26 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 5e773001-222d-4e31-887a-5b4f43c54db9)) + (pad "52" thru_hole circle (at 50.8 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp c44f4c5b-b17e-45ab-be86-3cd42eef3d31)) + (pad "53" thru_hole circle (at 53.34 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 9b8d593d-be6f-4c07-bb02-520524826ae7)) + (pad "54" thru_hole circle (at 55.88 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 32c559b4-3eac-4d98-b377-f734175cbb71)) + (pad "55" thru_hole circle (at 58.42 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 1f017b49-e636-46af-909b-2d9d283d9ac9)) + (pad "56" thru_hole circle (at 60.96 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 509d0c22-0422-4d73-a93b-8cdc45274317)) + (pad "57" thru_hole circle (at 63.5 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 8624aeae-6282-4ed5-ad0b-7693006bef36)) + (pad "58" thru_hole circle (at 66.04 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 69f82a80-04a9-47e4-82e2-f5a408028da3)) + (pad "59" thru_hole circle (at 68.58 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp cf5dc28a-785d-4ba0-94e2-4d0920e0c69c)) + (pad "60" thru_hole circle (at 71.12 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 7b9aa6db-8fa2-4fca-ace9-e94021db0401)) + (pad "61" thru_hole circle (at 73.66 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 8f18aac2-fda2-4c36-ade3-66520e41ca45)) + (pad "62" thru_hole circle (at 76.2 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp fe710d6e-3c0f-4b87-ab4f-393592898bf7)) + (pad "63" thru_hole circle (at 86.36 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 95e4ff27-ab5a-4c7f-9860-826ddcceb961)) + (pad "64" thru_hole circle (at 88.9 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 66e8b56f-d356-41ef-becc-4198913cb00b)) + (pad "65" thru_hole circle (at 91.44 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp a8a3d5d1-ee5a-4e69-a5dd-51677d286ae8)) + (pad "66" thru_hole circle (at 93.98 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp b865ee09-f265-455c-bb64-959bfd7ea47f)) + (pad "67" thru_hole circle (at 96.52 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp ae59f346-e1f3-44ae-aa94-98cef60d7357)) + (pad "68" thru_hole circle (at 99.06 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 15446884-fcc7-4228-a66f-7001a9628496)) + (pad "69" thru_hole circle (at 101.6 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp c2198aec-02d5-4883-aed7-b3fffd3390ce)) + (pad "70" thru_hole circle (at 104.14 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp e0fd3347-7316-43c2-ba59-8c6047f143bf)) + (pad "71" thru_hole circle (at 106.68 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 0a3b538d-373e-41e6-b0dc-17ecd41197ca)) + (pad "72" thru_hole circle (at 109.22 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp c968e8b2-d279-48d7-b9d3-0e2cb9d8816f)) + (pad "73" thru_hole circle (at 111.76 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 5bb95470-86e4-4b2d-a136-a2d23950300b)) + (pad "74" thru_hole circle (at 114.3 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 00c0fdbf-b807-469a-9205-0a30ae0b8b79)) + (pad "75" thru_hole circle (at 116.84 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 223bd42a-5cb4-4e77-b11b-a666857323eb)) + (pad "76" thru_hole circle (at 119.38 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 9a9a487c-3540-40ee-a631-4d0300df0ff1)) + (pad "77" thru_hole circle (at 121.92 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 90c397d0-f992-43a9-b9af-4e090a11fdee)) + (pad "78" thru_hole circle (at 124.46 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 8566bfe8-a49e-4430-ba93-d28b9ff2b6fe)) + (pad "79" thru_hole circle (at 127 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 176c318f-f837-45f7-9767-b023a3e6d99b)) + (pad "80" thru_hole circle (at 129.54 0) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp a03818d7-f7e1-4355-a89a-f889e0fad953)) + (pad "81" thru_hole circle (at 86.36 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 31760cb3-ac45-46ed-8db9-341329774caa)) + (pad "82" thru_hole circle (at 88.9 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 30fe1e84-e8ac-4465-9f19-4046a2d11854)) + (pad "83" thru_hole circle (at 91.44 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp adc5f49e-0e4e-4f26-a315-f26c68994329)) + (pad "84" thru_hole circle (at 93.98 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 084d2a9d-0839-47cd-ba60-e8e9060f4260)) + (pad "85" thru_hole circle (at 96.52 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 927acfc9-1370-411c-9bbd-b8c1f9e064aa)) + (pad "86" thru_hole circle (at 99.06 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 20294fd4-b1d0-4dab-97c3-d1b3018a73bc)) + (pad "87" thru_hole circle (at 101.6 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 9cbc4691-4b5a-482a-81d4-d32a878b438b)) + (pad "88" thru_hole circle (at 104.14 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 534c2534-ee07-4277-b8e0-0e1b98d2e994)) + (pad "89" thru_hole circle (at 106.68 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 7eb44e0a-0bc9-4545-8c65-e1ed95fde2b2)) + (pad "90" thru_hole circle (at 109.22 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp f622aa94-20e9-4c71-a551-b4a312b619d1)) + (pad "91" thru_hole circle (at 111.76 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 40c95c62-5846-4007-95d0-aedb79cbfd77)) + (pad "92" thru_hole circle (at 114.3 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 14eb3122-e4f2-45fa-b758-589516ee3f0a)) + (pad "93" thru_hole circle (at 116.84 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 0f258eee-d3ea-4353-91ca-c3a2bdf84dbb)) + (pad "94" thru_hole circle (at 119.38 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 16c12743-f98f-40dc-8cba-96ed094a4f9e)) + (pad "95" thru_hole circle (at 121.92 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 01a26ff2-28ec-44d8-b86c-9476e57ea68c)) + (pad "96" thru_hole circle (at 124.46 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 6b5d7eef-d37a-402c-93ca-9dd70a8c073a)) + (pad "97" thru_hole circle (at 127 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 5bba46dd-c3ba-4bcc-bbbb-92410ab66ebc)) + (pad "98" thru_hole circle (at 129.54 -5.08) (size 1.651 1.651) (drill 1.143) (layers "*.Cu" "*.Mask") (tstamp 99a6c9d0-7cda-4f05-8a14-0d29feafb520)) + (model "${KIPRJMOD}/packages3d/c-2-5530843-7-f-3d.stp" + (offset (xyz 107.95 2.45 15.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model "${KIPRJMOD}/packages3d/c-6-5530843-5-f-3d.stp" + (offset (xyz 38.1 2.45 15.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/local.pretty/PC104_16bit.kicad_mod b/local.pretty/PC104_16bit.kicad_mod new file mode 100644 index 0000000..9cc30eb --- /dev/null +++ b/local.pretty/PC104_16bit.kicad_mod @@ -0,0 +1,134 @@ +(footprint "PC104_16bit" (version 20211014) (generator pcbnew) + (layer "F.Cu") + (tedit 59FED5CC) + (attr through_hole) + (fp_text reference "REF**" (at -11.43 -4.02 180) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1a123fcc-56ac-435f-a0f8-036ddb197998) + ) + (fp_text value "PC104_16bit" (at -11.43 -87.42 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0ac9ec35-de84-4cfb-a8a4-17e9a4f5a431) + ) + (fp_text user "${REFERENCE}" (at -11.43 -45.72 270) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5bed8c87-4f25-43ea-aaf8-ab548f36cde8) + ) + (fp_line (start 0 -90.17) (end -95.89 -90.17) (layer "F.SilkS") (width 0.12) (tstamp 12e6a7f8-1be5-465d-befa-5f23ac22657c)) + (fp_line (start 0 0) (end -95.89 0) (layer "F.SilkS") (width 0.12) (tstamp 8058ec28-4f39-4ab5-84eb-feebf1f3ca13)) + (fp_line (start -95.89 -90.17) (end -95.89 0) (layer "F.SilkS") (width 0.12) (tstamp a6020e18-d45e-49c3-b8c7-76bfcb6ecb78)) + (fp_line (start 0 0) (end 0 -90.17) (layer "F.SilkS") (width 0.12) (tstamp ecfb114c-116c-48e2-b629-b89b9bebe1a1)) + (pad "" thru_hole circle (at -5.08 -5.08) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp 327aaf91-33d3-40cb-a489-732b290d5dcc)) + (pad "" thru_hole circle (at -90.81 -8.89) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp 55958b25-fdc3-4c7d-99a2-9dfa18039764)) + (pad "" thru_hole circle (at -5.08 -85.09) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp 778bab1d-dac2-4f11-b70d-350570b32567)) + (pad "" thru_hole circle (at -90.81 -82.55) (size 6.35 6.35) (drill 3.18) (layers *.Cu *.Mask) (tstamp fc3d76b7-b275-47cf-be62-5d5534b0b6a8)) + (pad "A1" thru_hole rect (at -10.16 -6.35 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 0771e530-de42-46f0-a4cc-a14b3a0d460a)) + (pad "A2" thru_hole oval (at -10.16 -8.89 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp bd931a7e-6598-4426-9432-8b5ecb3734b0)) + (pad "A3" thru_hole oval (at -10.16 -11.43 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 8c44920c-fbc9-4f1d-bd4d-3c62b6ff7095)) + (pad "A4" thru_hole oval (at -10.16 -13.97 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp d5439a3b-9e01-4d92-9b6f-fef1844f067d)) + (pad "A5" thru_hole oval (at -10.16 -16.51 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp bcd766ce-06a4-4aae-be64-a7533fb4dae8)) + (pad "A6" thru_hole oval (at -10.16 -19.05 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 19db15d3-5490-4bee-b5b9-d1a84003fafd)) + (pad "A7" thru_hole oval (at -10.16 -21.59 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 3f1da03e-dacb-4f8a-809a-2a2e80b95464)) + (pad "A8" thru_hole oval (at -10.16 -24.13 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 0348d3a2-f1eb-4278-a9cf-83fdb14e29a8)) + (pad "A9" thru_hole oval (at -10.16 -26.67 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 71fb720c-d2c3-4fa2-9bef-87460d18a871)) + (pad "A10" thru_hole oval (at -10.16 -29.21 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 3262c37b-81d7-4b4e-8681-d52bcdd0cd5d)) + (pad "A11" thru_hole oval (at -10.16 -31.75 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c1bef9d7-1161-42b0-a55e-ddd4b63935ed)) + (pad "A12" thru_hole oval (at -10.16 -34.29 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c978e77d-4d8f-44ba-8e63-1622e49c9812)) + (pad "A13" thru_hole oval (at -10.16 -36.83 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4f534b3a-077c-4913-8fb6-d662853be108)) + (pad "A14" thru_hole oval (at -10.16 -39.37 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 452e9b34-ed72-407a-b56e-8a1e68a60c88)) + (pad "A15" thru_hole oval (at -10.16 -41.91 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 9ce662ac-2824-442c-a0b8-d55099c09690)) + (pad "A16" thru_hole oval (at -10.16 -44.45 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e69d4c0d-9bad-4048-b08b-788fbd5dbab8)) + (pad "A17" thru_hole oval (at -10.16 -46.99 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp dfe4cee2-ee6f-43a2-b157-412a9f6db303)) + (pad "A18" thru_hole oval (at -10.16 -49.53 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 13b3da1f-751d-4f35-91a1-04cfa9fea2ec)) + (pad "A19" thru_hole oval (at -10.16 -52.07 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 75de71da-b80c-4d7f-bfee-0e9c8ce038a9)) + (pad "A20" thru_hole oval (at -10.16 -54.61 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 799df9ae-d923-44b2-853e-da09e43b0188)) + (pad "A21" thru_hole oval (at -10.16 -57.15 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6d71af36-8f19-43a6-8344-b736d5942836)) + (pad "A22" thru_hole oval (at -10.16 -59.69 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp cc84bbf2-b660-45f2-987f-71bb161f8f35)) + (pad "A23" thru_hole oval (at -10.16 -62.23 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6d276683-4264-4fdf-a031-7868d4dbe535)) + (pad "A24" thru_hole oval (at -10.16 -64.77 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 53efd5fa-4392-4d99-97b4-71c3867d2ea2)) + (pad "A25" thru_hole oval (at -10.16 -67.31 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 81829b7a-d3bc-47c2-979c-41e2a141e1bb)) + (pad "A26" thru_hole oval (at -10.16 -69.85 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 42527ea7-85d0-4265-a2bc-c4842cc9c396)) + (pad "A27" thru_hole oval (at -10.16 -72.39 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp da3c08b9-3398-4476-b196-3f11c6e07d85)) + (pad "A28" thru_hole oval (at -10.16 -74.93 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 2686ada9-89bb-4ea3-8463-9299b93470c6)) + (pad "A29" thru_hole oval (at -10.16 -77.47 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 3ca3b5b2-69bb-4e20-b1e0-6014cc184945)) + (pad "A30" thru_hole oval (at -10.16 -80.01 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp ca3157d7-8c3d-4a35-b4bc-52b70405503d)) + (pad "A31" thru_hole oval (at -10.16 -82.55 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp b7d66c47-68bf-4aab-bfc0-cfb2bb9f60be)) + (pad "A32" thru_hole oval (at -10.16 -85.09 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e8fd6ad4-237b-4f97-8f39-13493a5d03ad)) + (pad "B1" thru_hole rect (at -12.7 -6.35 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 3d49e39a-3c8a-4853-9b92-31622b0d386f)) + (pad "B2" thru_hole oval (at -12.7 -8.89 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 9b275bff-8f02-4b01-a3f3-5a152d850bc6)) + (pad "B3" thru_hole oval (at -12.7 -11.43 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 67c08536-a4ff-43e1-8b8a-76c90c94f3c1)) + (pad "B4" thru_hole oval (at -12.7 -13.97 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 1b512ad9-4f78-42c3-8734-0e48ab0d8930)) + (pad "B5" thru_hole oval (at -12.7 -16.51 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 56f657a6-e8f5-4cdb-aadd-dbd201c3ccfd)) + (pad "B6" thru_hole oval (at -12.7 -19.05 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp cb2160d1-c0b7-4bb5-b900-b1d118546382)) + (pad "B7" thru_hole oval (at -12.7 -21.59 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6af3a97b-6ea9-4642-8cb1-29e1dbe579b4)) + (pad "B8" thru_hole oval (at -12.7 -24.13 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 5737a2b7-0a89-4fa4-ad06-4cd28d495a62)) + (pad "B9" thru_hole oval (at -12.7 -26.67 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 43ab15df-42db-4737-ae18-d3527d2a9017)) + (pad "B10" thru_hole oval (at -12.7 -29.21 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 026a3fc3-f83d-4ba7-9ef8-9f7ba2b04fd1)) + (pad "B11" thru_hole oval (at -12.7 -31.75 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 9f2ba84c-e6c0-4e73-9601-db532ef5f848)) + (pad "B12" thru_hole oval (at -12.7 -34.29 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4e1c2900-724a-4f4d-a01d-03a362dd334c)) + (pad "B13" thru_hole oval (at -12.7 -36.83 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp f3b248b0-f91f-4cfb-9654-c66e0cf23b13)) + (pad "B14" thru_hole oval (at -12.7 -39.37 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 96056434-f3a3-4293-bb1d-fd5f4b035386)) + (pad "B15" thru_hole oval (at -12.7 -41.91 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp f2801bf2-3ff8-417c-a57e-adfe6cd0f50a)) + (pad "B16" thru_hole oval (at -12.7 -44.45 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 398f1db3-d949-4be7-9285-42f58b6000c3)) + (pad "B17" thru_hole oval (at -12.7 -46.99 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp f0819304-89a5-4d98-a014-a88a9e095d2b)) + (pad "B18" thru_hole oval (at -12.7 -49.53 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 35eabe18-db16-4be0-bb0e-624965ff51f3)) + (pad "B19" thru_hole oval (at -12.7 -52.07 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 624687ce-7266-416d-b4ff-05d54a64d2fe)) + (pad "B20" thru_hole oval (at -12.7 -54.61 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp efbf59f7-4b10-4c00-9d0b-89b517a87fd1)) + (pad "B21" thru_hole oval (at -12.7 -57.15 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp f0b4e1fa-2f5d-4ee6-8305-05a9cccde88d)) + (pad "B22" thru_hole oval (at -12.7 -59.69 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 98cb3137-f90f-4011-8b78-74f8d64363c3)) + (pad "B23" thru_hole oval (at -12.7 -62.23 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 05ca866a-8cad-4ee4-b6c2-c1625f86abf1)) + (pad "B24" thru_hole oval (at -12.7 -64.77 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c3dd75e7-eac9-4738-9403-981a0e37ec32)) + (pad "B25" thru_hole oval (at -12.7 -67.31 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4608185c-f56f-4eb1-ac57-a7139a12b68d)) + (pad "B26" thru_hole oval (at -12.7 -69.85 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 63d281f5-8dbb-4c0f-b6f3-1bc2954ebd1b)) + (pad "B27" thru_hole oval (at -12.7 -72.39 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e4158e5a-663a-4cda-8099-ce8d97a790f2)) + (pad "B28" thru_hole oval (at -12.7 -74.93 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c6b1536a-dc86-4446-b080-9b257d098963)) + (pad "B29" thru_hole oval (at -12.7 -77.47 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 1987f897-997d-46e2-aff8-e85be8f052cb)) + (pad "B30" thru_hole oval (at -12.7 -80.01 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp c4d2033b-a158-479d-818b-b1f58b42b401)) + (pad "B31" thru_hole oval (at -12.7 -82.55 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 06b641ee-070a-471d-8a53-4631b5f90a1b)) + (pad "B32" thru_hole oval (at -12.7 -85.09 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 875d6f88-dd4a-4d4c-b861-4c5ab2b409c6)) + (pad "C0" thru_hole oval (at -7.62 -26.67 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 0b57b5ed-0c7b-4592-89cc-e23677e5832a)) + (pad "C1" thru_hole rect (at -7.62 -29.21 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 877d4af2-206e-4956-84ae-fad9b75e1cc8)) + (pad "C2" thru_hole oval (at -7.62 -31.75 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 5c23fc99-1080-4010-88eb-7889eb4983b8)) + (pad "C3" thru_hole oval (at -7.62 -34.29 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 50e2430e-a55f-486a-abcf-a30d72af594a)) + (pad "C4" thru_hole oval (at -7.62 -36.83 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp ef3e1a1e-83c4-49d8-89e9-43b81feecb0f)) + (pad "C5" thru_hole oval (at -7.62 -39.37 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp a35f6dd2-c370-49ee-9a90-6856a7fc4d02)) + (pad "C6" thru_hole oval (at -7.62 -41.91 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp fc2bf354-9e0b-417b-87d9-1e880c7127c0)) + (pad "C7" thru_hole oval (at -7.62 -44.45 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp baa74305-bd5a-49c5-825c-4b59b0761d64)) + (pad "C8" thru_hole oval (at -7.62 -46.99 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp b77e0b40-c3b8-4c4d-a9f9-34232ab453ba)) + (pad "C9" thru_hole oval (at -7.62 -49.53 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp eda4e0a7-0f4b-439f-bed2-efb90e00f6c1)) + (pad "C10" thru_hole oval (at -7.62 -52.07 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4a7daef9-22cb-4d0a-bb50-025e10e9e801)) + (pad "C11" thru_hole oval (at -7.62 -54.61 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp eb0e8847-a8cb-445d-8d9a-e01faba83820)) + (pad "C12" thru_hole oval (at -7.62 -57.15 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 5d5fb3ae-a765-4f1a-ab56-ac24d376ad85)) + (pad "C13" thru_hole oval (at -7.62 -59.69 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 571a8237-f5f7-4ed7-bf9b-4737e9fe90d7)) + (pad "C14" thru_hole oval (at -7.62 -62.23 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp cf596797-3155-469d-b189-3df41ef4c40f)) + (pad "C15" thru_hole oval (at -7.62 -64.77 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 5f51b563-2a26-4caa-a729-50e2023b6cd5)) + (pad "C16" thru_hole oval (at -7.62 -67.31 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 4ba916c2-99db-4c79-8839-18c3ce37196e)) + (pad "C17" thru_hole oval (at -7.62 -69.85 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp cf6b6974-4400-44d2-99d1-562fd07b4fb5)) + (pad "C18" thru_hole oval (at -7.62 -72.39 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 7b31335d-4007-4987-9395-cf699330c15f)) + (pad "C19" thru_hole oval (at -7.62 -74.93 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 81592aa6-f08d-4bbe-8e93-ed52bd1812b6)) + (pad "D0" thru_hole oval (at -5.08 -26.67 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6b2b753e-b2d4-4bcb-bfea-b974a51b4f9b)) + (pad "D1" thru_hole rect (at -5.08 -29.21 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e2d70834-d1ae-4457-8576-32b20a4180f7)) + (pad "D2" thru_hole oval (at -5.08 -31.75 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 0e09dfc1-e873-4e7e-b4ad-390accbbddfa)) + (pad "D3" thru_hole oval (at -5.08 -34.29 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 21b1e28e-5ceb-4496-867f-19768acdc28e)) + (pad "D4" thru_hole oval (at -5.08 -36.83 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp b93c13e1-ba5f-476b-a5f3-98442a5bb465)) + (pad "D5" thru_hole oval (at -5.08 -39.37 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp d96eda98-65dd-4cfb-90c3-b6d7eb0fe185)) + (pad "D6" thru_hole oval (at -5.08 -41.91 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 8a54bfde-97f8-4ffd-b2e7-3780f00dcc1f)) + (pad "D7" thru_hole oval (at -5.08 -44.45 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 20f86a33-7513-4430-a265-0505e0274941)) + (pad "D8" thru_hole oval (at -5.08 -46.99 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 1d22ac58-fc14-4759-9e7f-050d0f12682e)) + (pad "D9" thru_hole oval (at -5.08 -49.53 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 1a0d66ee-aebf-4c4f-99bc-92aff1dc541b)) + (pad "D10" thru_hole oval (at -5.08 -52.07 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp add1d332-8903-4c73-928a-1ec2dc7e91cd)) + (pad "D11" thru_hole oval (at -5.08 -54.61 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 99faaef9-9cdd-4307-b8ad-da7679615232)) + (pad "D12" thru_hole oval (at -5.08 -57.15 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp e6656851-b55a-4537-9478-dbdf98dab1a3)) + (pad "D13" thru_hole oval (at -5.08 -59.69 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp a97696de-87e0-4cc0-96fa-553212b22344)) + (pad "D14" thru_hole oval (at -5.08 -62.23 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 7c865eec-bfa4-4e6b-97e6-ab5a619d99a0)) + (pad "D15" thru_hole oval (at -5.08 -64.77 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp a7ab1a5d-84f2-4899-9d67-89348912b8e9)) + (pad "D16" thru_hole oval (at -5.08 -67.31 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp fa133e99-dbaf-45ec-8005-671699c83727)) + (pad "D17" thru_hole oval (at -5.08 -69.85 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 80a90699-40c1-4d7a-86a8-8815e1f3eebf)) + (pad "D18" thru_hole oval (at -5.08 -72.39 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp ecbf6a18-31ea-44ca-8bca-e9caa2e0a47a)) + (pad "D19" thru_hole oval (at -5.08 -74.93 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (tstamp 6424cb0d-dc10-47df-aa36-2c5103bda6d1)) + (model "${KIPRJMOD}/packages3D/c-1375795-01-h-3d.stp" + (offset (xyz -8.89 45.72 11.05)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) +) diff --git a/packages3D/c-1375795-01-h-3d.stp b/packages3D/c-1375795-01-h-3d.stp new file mode 100644 index 0000000..ed79eac --- /dev/null +++ b/packages3D/c-1375795-01-h-3d.stp @@ -0,0 +1,20266 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-1375795-01-3','2007-09-04T',('workeradm'),( +'Tyco Electronics Corporation'), +'PRO/ENGINEER BY PARAMETRIC TECHNOLOGY CORPORATION, 2005450', +'PRO/ENGINEER BY PARAMETRIC TECHNOLOGY CORPORATION, 2005450',''); +FILE_SCHEMA(('CONFIG_CONTROL_DESIGN', 'GEOMETRIC_VALIDATION_PROPERTIES_MIM')); +ENDSEC; +DATA; +#1=DRAUGHTING_PRE_DEFINED_COLOUR('black'); +#2=COLOUR_RGB('',0.E0,0.E0,3.6E-1); +#3=COLOUR_RGB('',0.E0,0.E0,4.8E-1); +#4=DRAUGHTING_PRE_DEFINED_COLOUR('blue'); +#5=COLOUR_RGB('',0.E0,3.6E-1,9.E-2); +#6=COLOUR_RGB('',0.E0,3.6E-1,2.7E-1); +#7=COLOUR_RGB('',0.E0,5.6E-1,6.4E-1); +#8=COLOUR_RGB('',0.E0,5.8E-1,8.E-1); +#9=COLOUR_RGB('',0.E0,7.1E-1,6.6E-1); +#10=COLOUR_RGB('',0.E0,7.13E-1,0.E0); +#11=COLOUR_RGB('',0.E0,9.5E-1,8.4E-1); +#12=DRAUGHTING_PRE_DEFINED_COLOUR('green'); +#13=DRAUGHTING_PRE_DEFINED_COLOUR('cyan'); +#14=COLOUR_RGB('',4.E-2,0.E0,1.6E-1); +#15=COLOUR_RGB('',9.E-2,0.E0,1.2E-1); +#16=COLOUR_RGB('',1.E-1,0.E0,2.5E-1); +#17=COLOUR_RGB('',1.6E-1,3.2E-2,0.E0); +#18=COLOUR_RGB('',1.6E-1,4.6E-1,6.5E-1); +#19=COLOUR_RGB('',1.6E-1,1.E0,1.6E-1); +#20=COLOUR_RGB('',2.E-1,3.2E-2,0.E0); +#21=COLOUR_RGB('',3.1E-1,3.1E-1,5.6E-1); +#22=COLOUR_RGB('',3.2E-1,1.5E-1,0.E0); +#23=COLOUR_RGB('',4.E-1,4.E-1,4.E-1); +#24=COLOUR_RGB('',4.2E-1,4.2E-1,1.E0); +#25=COLOUR_RGB('',4.4E-1,8.5E-1,1.E0); +#26=COLOUR_RGB('',4.9E-1,4.9E-1,0.E0); +#27=COLOUR_RGB('',4.9E-1,1.E0,0.E0); +#28=COLOUR_RGB('',5.E-1,0.E0,0.E0); +#29=COLOUR_RGB('',5.E-1,0.E0,2.3E-1); +#30=COLOUR_RGB('',5.E-1,5.E-1,5.E-1); +#31=COLOUR_RGB('',5.3E-1,0.E0,0.E0); +#32=COLOUR_RGB('',5.4E-1,0.E0,4.4E-1); +#33=COLOUR_RGB('',5.5E-1,3.E-1,0.E0); +#34=COLOUR_RGB('',5.6E-1,1.E-1,5.E-2); +#35=COLOUR_RGB('',5.8E-1,2.2E-1,1.E-2); +#36=COLOUR_RGB('',6.E-1,6.E-1,6.E-1); +#37=COLOUR_RGB('',6.2E-1,0.E0,5.5E-1); +#38=COLOUR_RGB('',6.4E-1,0.E0,2.5E-1); +#39=COLOUR_RGB('',6.4E-1,1.E-2,0.E0); +#40=COLOUR_RGB('',6.8E-1,7.5E-1,1.E0); +#41=COLOUR_RGB('',7.1E-1,3.1E-1,0.E0); +#42=COLOUR_RGB('',7.2E-1,7.2E-1,7.2E-1); +#43=COLOUR_RGB('',7.4E-1,1.E0,2.6E-1); +#44=COLOUR_RGB('',7.5E-1,3.E-2,0.E0); +#45=COLOUR_RGB('',7.6E-1,0.E0,4.7E-1); +#46=COLOUR_RGB('',8.1E-1,4.E-2,0.E0); +#47=COLOUR_RGB('',8.1E-1,6.1E-1,0.E0); +#48=COLOUR_RGB('',8.2E-1,4.E-2,6.6E-1); +#49=COLOUR_RGB('',8.5E-1,8.5E-1,8.5E-1); +#50=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#51=COLOUR_RGB('',9.E-1,1.E0,0.E0); +#52=COLOUR_RGB('',9.37E-1,7.85E-1,0.E0); +#53=COLOUR_RGB('',9.5E-1,2.2E-1,8.1E-1); +#54=COLOUR_RGB('',9.6E-1,3.7E-1,3.E-1); +#55=COLOUR_RGB('',9.6E-1,7.1E-1,3.75E-1); +#56=DRAUGHTING_PRE_DEFINED_COLOUR('red'); +#57=COLOUR_RGB('',1.E0,0.E0,6.1E-1); +#58=COLOUR_RGB('',1.E0,4.E-2,0.E0); +#59=COLOUR_RGB('',1.E0,2.3E-1,0.E0); +#60=COLOUR_RGB('',1.E0,3.5E-1,5.2E-1); +#61=COLOUR_RGB('',1.E0,3.6E-1,0.E0); +#62=COLOUR_RGB('',1.E0,4.9E-1,3.7E-1); +#63=COLOUR_RGB('',1.E0,5.E-1,0.E0); +#64=COLOUR_RGB('',1.E0,5.6E-1,7.8E-1); +#65=COLOUR_RGB('',1.E0,6.4E-1,4.9E-1); +#66=COLOUR_RGB('',1.E0,6.7E-1,0.E0); +#67=COLOUR_RGB('',1.E0,7.6E-1,6.5E-1); +#68=COLOUR_RGB('',1.E0,8.6E-1,4.E-2); +#69=COLOUR_RGB('',1.E0,9.8E-1,7.2E-1); +#70=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#71=DRAUGHTING_PRE_DEFINED_COLOUR('white'); +#72=DIRECTION('',(0.E0,-1.E0,0.E0)); +#73=VECTOR('',#72,4.95E0); +#74=CARTESIAN_POINT('',(-4.08E1,4.95E0,0.E0)); +#75=LINE('',#74,#73); +#76=DIRECTION('',(-1.E0,0.E0,0.E0)); +#77=VECTOR('',#76,8.16E1); +#78=CARTESIAN_POINT('',(4.08E1,4.95E0,0.E0)); +#79=LINE('',#78,#77); +#80=DIRECTION('',(0.E0,1.E0,0.E0)); +#81=VECTOR('',#80,4.95E0); +#82=CARTESIAN_POINT('',(4.08E1,0.E0,0.E0)); +#83=LINE('',#82,#81); +#84=DIRECTION('',(1.E0,0.E0,0.E0)); +#85=VECTOR('',#84,1.016E1); +#86=CARTESIAN_POINT('',(3.064E1,0.E0,0.E0)); +#87=LINE('',#86,#85); +#88=CARTESIAN_POINT('',(3.064E1,-4.95E0,0.E0)); +#89=LINE('',#88,#81); +#90=VECTOR('',#84,5.111E1); +#91=CARTESIAN_POINT('',(-2.047E1,-4.95E0,0.E0)); +#92=LINE('',#91,#90); +#93=CARTESIAN_POINT('',(-2.047E1,0.E0,0.E0)); +#94=LINE('',#93,#73); +#95=VECTOR('',#84,2.033E1); +#96=CARTESIAN_POINT('',(-4.08E1,0.E0,0.E0)); +#97=LINE('',#96,#95); +#98=VECTOR('',#72,1.49E0); +#99=CARTESIAN_POINT('',(-4.0115E1,4.555E0,0.E0)); +#100=LINE('',#99,#98); +#101=VECTOR('',#84,1.49E0); +#102=CARTESIAN_POINT('',(-4.0115E1,3.065E0,0.E0)); +#103=LINE('',#102,#101); +#104=VECTOR('',#80,1.49E0); +#105=CARTESIAN_POINT('',(-3.8625E1,3.065E0,0.E0)); +#106=LINE('',#105,#104); +#107=VECTOR('',#76,1.49E0); +#108=CARTESIAN_POINT('',(-3.8625E1,4.555E0,0.E0)); +#109=LINE('',#108,#107); +#110=CARTESIAN_POINT('',(-3.7575E1,4.555E0,0.E0)); +#111=LINE('',#110,#98); +#112=CARTESIAN_POINT('',(-3.7575E1,3.065E0,0.E0)); +#113=LINE('',#112,#101); +#114=CARTESIAN_POINT('',(-3.6085E1,3.065E0,0.E0)); +#115=LINE('',#114,#104); +#116=CARTESIAN_POINT('',(-3.6085E1,4.555E0,0.E0)); +#117=LINE('',#116,#107); +#118=CARTESIAN_POINT('',(-3.5035E1,4.555E0,0.E0)); +#119=LINE('',#118,#98); +#120=CARTESIAN_POINT('',(-3.5035E1,3.065E0,0.E0)); +#121=LINE('',#120,#101); +#122=CARTESIAN_POINT('',(-3.3545E1,3.065E0,0.E0)); +#123=LINE('',#122,#104); +#124=CARTESIAN_POINT('',(-3.3545E1,4.555E0,0.E0)); +#125=LINE('',#124,#107); +#126=CARTESIAN_POINT('',(-3.2495E1,4.555E0,0.E0)); +#127=LINE('',#126,#98); +#128=CARTESIAN_POINT('',(-3.2495E1,3.065E0,0.E0)); +#129=LINE('',#128,#101); +#130=CARTESIAN_POINT('',(-3.1005E1,3.065E0,0.E0)); +#131=LINE('',#130,#104); +#132=CARTESIAN_POINT('',(-3.1005E1,4.555E0,0.E0)); +#133=LINE('',#132,#107); +#134=CARTESIAN_POINT('',(-2.9955E1,4.555E0,0.E0)); +#135=LINE('',#134,#98); +#136=CARTESIAN_POINT('',(-2.9955E1,3.065E0,0.E0)); +#137=LINE('',#136,#101); +#138=CARTESIAN_POINT('',(-2.8465E1,3.065E0,0.E0)); +#139=LINE('',#138,#104); +#140=CARTESIAN_POINT('',(-2.8465E1,4.555E0,0.E0)); +#141=LINE('',#140,#107); +#142=CARTESIAN_POINT('',(-2.7415E1,4.555E0,0.E0)); +#143=LINE('',#142,#98); +#144=CARTESIAN_POINT('',(-2.7415E1,3.065E0,0.E0)); +#145=LINE('',#144,#101); +#146=CARTESIAN_POINT('',(-2.5925E1,3.065E0,0.E0)); +#147=LINE('',#146,#104); +#148=CARTESIAN_POINT('',(-2.5925E1,4.555E0,0.E0)); +#149=LINE('',#148,#107); +#150=CARTESIAN_POINT('',(-2.4875E1,4.555E0,0.E0)); +#151=LINE('',#150,#98); +#152=CARTESIAN_POINT('',(-2.4875E1,3.065E0,0.E0)); +#153=LINE('',#152,#101); +#154=CARTESIAN_POINT('',(-2.3385E1,3.065E0,0.E0)); +#155=LINE('',#154,#104); +#156=CARTESIAN_POINT('',(-2.3385E1,4.555E0,0.E0)); +#157=LINE('',#156,#107); +#158=CARTESIAN_POINT('',(-2.2335E1,4.555E0,0.E0)); +#159=LINE('',#158,#98); +#160=CARTESIAN_POINT('',(-2.2335E1,3.065E0,0.E0)); +#161=LINE('',#160,#101); +#162=CARTESIAN_POINT('',(-2.0845E1,3.065E0,0.E0)); +#163=LINE('',#162,#104); +#164=CARTESIAN_POINT('',(-2.0845E1,4.555E0,0.E0)); +#165=LINE('',#164,#107); +#166=CARTESIAN_POINT('',(-1.9795E1,4.555E0,0.E0)); +#167=LINE('',#166,#98); +#168=CARTESIAN_POINT('',(-1.9795E1,3.065E0,0.E0)); +#169=LINE('',#168,#101); +#170=CARTESIAN_POINT('',(-1.8305E1,3.065E0,0.E0)); +#171=LINE('',#170,#104); +#172=CARTESIAN_POINT('',(-1.8305E1,4.555E0,0.E0)); +#173=LINE('',#172,#107); +#174=CARTESIAN_POINT('',(-1.4715E1,4.555E0,0.E0)); +#175=LINE('',#174,#98); +#176=CARTESIAN_POINT('',(-1.4715E1,3.065E0,0.E0)); +#177=LINE('',#176,#101); +#178=CARTESIAN_POINT('',(-1.3225E1,3.065E0,0.E0)); +#179=LINE('',#178,#104); +#180=CARTESIAN_POINT('',(-1.3225E1,4.555E0,0.E0)); +#181=LINE('',#180,#107); +#182=CARTESIAN_POINT('',(-1.2175E1,4.555E0,0.E0)); +#183=LINE('',#182,#98); +#184=CARTESIAN_POINT('',(-1.2175E1,3.065E0,0.E0)); +#185=LINE('',#184,#101); +#186=CARTESIAN_POINT('',(-1.0685E1,3.065E0,0.E0)); +#187=LINE('',#186,#104); +#188=CARTESIAN_POINT('',(-1.0685E1,4.555E0,0.E0)); +#189=LINE('',#188,#107); +#190=CARTESIAN_POINT('',(-9.635E0,4.555E0,0.E0)); +#191=LINE('',#190,#98); +#192=CARTESIAN_POINT('',(-9.635E0,3.065E0,0.E0)); +#193=LINE('',#192,#101); +#194=CARTESIAN_POINT('',(-8.145E0,3.065E0,0.E0)); +#195=LINE('',#194,#104); +#196=CARTESIAN_POINT('',(-8.145E0,4.555E0,0.E0)); +#197=LINE('',#196,#107); +#198=CARTESIAN_POINT('',(-7.095E0,4.555E0,0.E0)); +#199=LINE('',#198,#98); +#200=CARTESIAN_POINT('',(-7.095E0,3.065E0,0.E0)); +#201=LINE('',#200,#101); +#202=CARTESIAN_POINT('',(-5.605E0,3.065E0,0.E0)); +#203=LINE('',#202,#104); +#204=CARTESIAN_POINT('',(-5.605E0,4.555E0,0.E0)); +#205=LINE('',#204,#107); +#206=CARTESIAN_POINT('',(-4.555E0,4.555E0,0.E0)); +#207=LINE('',#206,#98); +#208=CARTESIAN_POINT('',(-4.555E0,3.065E0,0.E0)); +#209=LINE('',#208,#101); +#210=CARTESIAN_POINT('',(-3.065E0,3.065E0,0.E0)); +#211=LINE('',#210,#104); +#212=CARTESIAN_POINT('',(-3.065E0,4.555E0,0.E0)); +#213=LINE('',#212,#107); +#214=CARTESIAN_POINT('',(-2.015E0,4.555E0,0.E0)); +#215=LINE('',#214,#98); +#216=CARTESIAN_POINT('',(-2.015E0,3.065E0,0.E0)); +#217=LINE('',#216,#101); +#218=CARTESIAN_POINT('',(-5.25E-1,3.065E0,0.E0)); +#219=LINE('',#218,#104); +#220=CARTESIAN_POINT('',(-5.25E-1,4.555E0,0.E0)); +#221=LINE('',#220,#107); +#222=CARTESIAN_POINT('',(5.25E-1,4.555E0,0.E0)); +#223=LINE('',#222,#98); +#224=CARTESIAN_POINT('',(5.25E-1,3.065E0,0.E0)); +#225=LINE('',#224,#101); +#226=CARTESIAN_POINT('',(2.015E0,3.065E0,0.E0)); +#227=LINE('',#226,#104); +#228=CARTESIAN_POINT('',(2.015E0,4.555E0,0.E0)); +#229=LINE('',#228,#107); +#230=CARTESIAN_POINT('',(3.065E0,4.555E0,0.E0)); +#231=LINE('',#230,#98); +#232=CARTESIAN_POINT('',(3.065E0,3.065E0,0.E0)); +#233=LINE('',#232,#101); +#234=CARTESIAN_POINT('',(4.555E0,3.065E0,0.E0)); +#235=LINE('',#234,#104); +#236=CARTESIAN_POINT('',(4.555E0,4.555E0,0.E0)); +#237=LINE('',#236,#107); +#238=CARTESIAN_POINT('',(5.605E0,4.555E0,0.E0)); +#239=LINE('',#238,#98); +#240=CARTESIAN_POINT('',(5.605E0,3.065E0,0.E0)); +#241=LINE('',#240,#101); +#242=CARTESIAN_POINT('',(7.095E0,3.065E0,0.E0)); +#243=LINE('',#242,#104); +#244=CARTESIAN_POINT('',(7.095E0,4.555E0,0.E0)); +#245=LINE('',#244,#107); +#246=CARTESIAN_POINT('',(8.145E0,4.555E0,0.E0)); +#247=LINE('',#246,#98); +#248=CARTESIAN_POINT('',(8.145E0,3.065E0,0.E0)); +#249=LINE('',#248,#101); +#250=CARTESIAN_POINT('',(9.635E0,3.065E0,0.E0)); +#251=LINE('',#250,#104); +#252=CARTESIAN_POINT('',(9.635E0,4.555E0,0.E0)); +#253=LINE('',#252,#107); +#254=CARTESIAN_POINT('',(1.0685E1,4.555E0,0.E0)); +#255=LINE('',#254,#98); +#256=CARTESIAN_POINT('',(1.0685E1,3.065E0,0.E0)); +#257=LINE('',#256,#101); +#258=CARTESIAN_POINT('',(1.2175E1,3.065E0,0.E0)); +#259=LINE('',#258,#104); +#260=CARTESIAN_POINT('',(1.2175E1,4.555E0,0.E0)); +#261=LINE('',#260,#107); +#262=CARTESIAN_POINT('',(1.3225E1,4.555E0,0.E0)); +#263=LINE('',#262,#98); +#264=CARTESIAN_POINT('',(1.3225E1,3.065E0,0.E0)); +#265=LINE('',#264,#101); +#266=CARTESIAN_POINT('',(1.4715E1,3.065E0,0.E0)); +#267=LINE('',#266,#104); +#268=CARTESIAN_POINT('',(1.4715E1,4.555E0,0.E0)); +#269=LINE('',#268,#107); +#270=CARTESIAN_POINT('',(1.5765E1,4.555E0,0.E0)); +#271=LINE('',#270,#98); +#272=CARTESIAN_POINT('',(1.5765E1,3.065E0,0.E0)); +#273=LINE('',#272,#101); +#274=CARTESIAN_POINT('',(1.7255E1,3.065E0,0.E0)); +#275=LINE('',#274,#104); +#276=CARTESIAN_POINT('',(1.7255E1,4.555E0,0.E0)); +#277=LINE('',#276,#107); +#278=CARTESIAN_POINT('',(1.8305E1,4.555E0,0.E0)); +#279=LINE('',#278,#98); +#280=CARTESIAN_POINT('',(1.8305E1,3.065E0,0.E0)); +#281=LINE('',#280,#101); +#282=CARTESIAN_POINT('',(1.9795E1,3.065E0,0.E0)); +#283=LINE('',#282,#104); +#284=CARTESIAN_POINT('',(1.9795E1,4.555E0,0.E0)); +#285=LINE('',#284,#107); +#286=CARTESIAN_POINT('',(2.0845E1,4.555E0,0.E0)); +#287=LINE('',#286,#98); +#288=CARTESIAN_POINT('',(2.0845E1,3.065E0,0.E0)); +#289=LINE('',#288,#101); +#290=CARTESIAN_POINT('',(2.2335E1,3.065E0,0.E0)); +#291=LINE('',#290,#104); +#292=CARTESIAN_POINT('',(2.2335E1,4.555E0,0.E0)); +#293=LINE('',#292,#107); +#294=CARTESIAN_POINT('',(2.3385E1,4.555E0,0.E0)); +#295=LINE('',#294,#98); +#296=CARTESIAN_POINT('',(2.3385E1,3.065E0,0.E0)); +#297=LINE('',#296,#101); +#298=CARTESIAN_POINT('',(2.4875E1,3.065E0,0.E0)); +#299=LINE('',#298,#104); +#300=CARTESIAN_POINT('',(2.4875E1,4.555E0,0.E0)); +#301=LINE('',#300,#107); +#302=CARTESIAN_POINT('',(2.5925E1,4.555E0,0.E0)); +#303=LINE('',#302,#98); +#304=CARTESIAN_POINT('',(2.5925E1,3.065E0,0.E0)); +#305=LINE('',#304,#101); +#306=CARTESIAN_POINT('',(2.7415E1,3.065E0,0.E0)); +#307=LINE('',#306,#104); +#308=CARTESIAN_POINT('',(2.7415E1,4.555E0,0.E0)); +#309=LINE('',#308,#107); +#310=CARTESIAN_POINT('',(2.8465E1,4.555E0,0.E0)); +#311=LINE('',#310,#98); +#312=CARTESIAN_POINT('',(2.8465E1,3.065E0,0.E0)); +#313=LINE('',#312,#101); +#314=CARTESIAN_POINT('',(2.9955E1,3.065E0,0.E0)); +#315=LINE('',#314,#104); +#316=CARTESIAN_POINT('',(2.9955E1,4.555E0,0.E0)); +#317=LINE('',#316,#107); +#318=CARTESIAN_POINT('',(3.1005E1,4.555E0,0.E0)); +#319=LINE('',#318,#98); +#320=CARTESIAN_POINT('',(3.1005E1,3.065E0,0.E0)); +#321=LINE('',#320,#101); +#322=CARTESIAN_POINT('',(3.2495E1,3.065E0,0.E0)); +#323=LINE('',#322,#104); +#324=CARTESIAN_POINT('',(3.2495E1,4.555E0,0.E0)); +#325=LINE('',#324,#107); +#326=CARTESIAN_POINT('',(3.3545E1,4.555E0,0.E0)); +#327=LINE('',#326,#98); +#328=CARTESIAN_POINT('',(3.3545E1,3.065E0,0.E0)); +#329=LINE('',#328,#101); +#330=CARTESIAN_POINT('',(3.5035E1,3.065E0,0.E0)); +#331=LINE('',#330,#104); +#332=CARTESIAN_POINT('',(3.5035E1,4.555E0,0.E0)); +#333=LINE('',#332,#107); +#334=CARTESIAN_POINT('',(3.6085E1,4.555E0,0.E0)); +#335=LINE('',#334,#98); +#336=CARTESIAN_POINT('',(3.6085E1,3.065E0,0.E0)); +#337=LINE('',#336,#101); +#338=CARTESIAN_POINT('',(3.7575E1,3.065E0,0.E0)); +#339=LINE('',#338,#104); +#340=CARTESIAN_POINT('',(3.7575E1,4.555E0,0.E0)); +#341=LINE('',#340,#107); +#342=CARTESIAN_POINT('',(3.8625E1,4.555E0,0.E0)); +#343=LINE('',#342,#98); +#344=CARTESIAN_POINT('',(3.8625E1,3.065E0,0.E0)); +#345=LINE('',#344,#101); +#346=CARTESIAN_POINT('',(4.0115E1,3.065E0,0.E0)); +#347=LINE('',#346,#104); +#348=CARTESIAN_POINT('',(4.0115E1,4.555E0,0.E0)); +#349=LINE('',#348,#107); +#350=CARTESIAN_POINT('',(-4.0115E1,2.015E0,0.E0)); +#351=LINE('',#350,#98); +#352=CARTESIAN_POINT('',(-4.0115E1,5.25E-1,0.E0)); +#353=LINE('',#352,#101); +#354=CARTESIAN_POINT('',(-3.8625E1,5.25E-1,0.E0)); +#355=LINE('',#354,#104); +#356=CARTESIAN_POINT('',(-3.8625E1,2.015E0,0.E0)); +#357=LINE('',#356,#107); +#358=CARTESIAN_POINT('',(-3.7575E1,2.015E0,0.E0)); +#359=LINE('',#358,#98); +#360=CARTESIAN_POINT('',(-3.7575E1,5.25E-1,0.E0)); +#361=LINE('',#360,#101); +#362=CARTESIAN_POINT('',(-3.6085E1,5.25E-1,0.E0)); +#363=LINE('',#362,#104); +#364=CARTESIAN_POINT('',(-3.6085E1,2.015E0,0.E0)); +#365=LINE('',#364,#107); +#366=CARTESIAN_POINT('',(-3.5035E1,2.015E0,0.E0)); +#367=LINE('',#366,#98); +#368=CARTESIAN_POINT('',(-3.5035E1,5.25E-1,0.E0)); +#369=LINE('',#368,#101); +#370=CARTESIAN_POINT('',(-3.3545E1,5.25E-1,0.E0)); +#371=LINE('',#370,#104); +#372=CARTESIAN_POINT('',(-3.3545E1,2.015E0,0.E0)); +#373=LINE('',#372,#107); +#374=CARTESIAN_POINT('',(-3.2495E1,2.015E0,0.E0)); +#375=LINE('',#374,#98); +#376=CARTESIAN_POINT('',(-3.2495E1,5.25E-1,0.E0)); +#377=LINE('',#376,#101); +#378=CARTESIAN_POINT('',(-3.1005E1,5.25E-1,0.E0)); +#379=LINE('',#378,#104); +#380=CARTESIAN_POINT('',(-3.1005E1,2.015E0,0.E0)); +#381=LINE('',#380,#107); +#382=CARTESIAN_POINT('',(-2.9955E1,2.015E0,0.E0)); +#383=LINE('',#382,#98); +#384=CARTESIAN_POINT('',(-2.9955E1,5.25E-1,0.E0)); +#385=LINE('',#384,#101); +#386=CARTESIAN_POINT('',(-2.8465E1,5.25E-1,0.E0)); +#387=LINE('',#386,#104); +#388=CARTESIAN_POINT('',(-2.8465E1,2.015E0,0.E0)); +#389=LINE('',#388,#107); +#390=CARTESIAN_POINT('',(-2.7415E1,2.015E0,0.E0)); +#391=LINE('',#390,#98); +#392=CARTESIAN_POINT('',(-2.7415E1,5.25E-1,0.E0)); +#393=LINE('',#392,#101); +#394=CARTESIAN_POINT('',(-2.5925E1,5.25E-1,0.E0)); +#395=LINE('',#394,#104); +#396=CARTESIAN_POINT('',(-2.5925E1,2.015E0,0.E0)); +#397=LINE('',#396,#107); +#398=CARTESIAN_POINT('',(-2.4875E1,2.015E0,0.E0)); +#399=LINE('',#398,#98); +#400=CARTESIAN_POINT('',(-2.4875E1,5.25E-1,0.E0)); +#401=LINE('',#400,#101); +#402=CARTESIAN_POINT('',(-2.3385E1,5.25E-1,0.E0)); +#403=LINE('',#402,#104); +#404=CARTESIAN_POINT('',(-2.3385E1,2.015E0,0.E0)); +#405=LINE('',#404,#107); +#406=CARTESIAN_POINT('',(-2.2335E1,2.015E0,0.E0)); +#407=LINE('',#406,#98); +#408=CARTESIAN_POINT('',(-2.2335E1,5.25E-1,0.E0)); +#409=LINE('',#408,#101); +#410=CARTESIAN_POINT('',(-2.0845E1,5.25E-1,0.E0)); +#411=LINE('',#410,#104); +#412=CARTESIAN_POINT('',(-2.0845E1,2.015E0,0.E0)); +#413=LINE('',#412,#107); +#414=CARTESIAN_POINT('',(-1.9795E1,2.015E0,0.E0)); +#415=LINE('',#414,#98); +#416=CARTESIAN_POINT('',(-1.9795E1,5.25E-1,0.E0)); +#417=LINE('',#416,#101); +#418=CARTESIAN_POINT('',(-1.8305E1,5.25E-1,0.E0)); +#419=LINE('',#418,#104); +#420=CARTESIAN_POINT('',(-1.8305E1,2.015E0,0.E0)); +#421=LINE('',#420,#107); +#422=CARTESIAN_POINT('',(-1.7255E1,2.015E0,0.E0)); +#423=LINE('',#422,#98); +#424=CARTESIAN_POINT('',(-1.7255E1,5.25E-1,0.E0)); +#425=LINE('',#424,#101); +#426=CARTESIAN_POINT('',(-1.5765E1,5.25E-1,0.E0)); +#427=LINE('',#426,#104); +#428=CARTESIAN_POINT('',(-1.5765E1,2.015E0,0.E0)); +#429=LINE('',#428,#107); +#430=CARTESIAN_POINT('',(-1.4715E1,2.015E0,0.E0)); +#431=LINE('',#430,#98); +#432=CARTESIAN_POINT('',(-1.4715E1,5.25E-1,0.E0)); +#433=LINE('',#432,#101); +#434=CARTESIAN_POINT('',(-1.3225E1,5.25E-1,0.E0)); +#435=LINE('',#434,#104); +#436=CARTESIAN_POINT('',(-1.3225E1,2.015E0,0.E0)); +#437=LINE('',#436,#107); +#438=CARTESIAN_POINT('',(-1.2175E1,2.015E0,0.E0)); +#439=LINE('',#438,#98); +#440=CARTESIAN_POINT('',(-1.2175E1,5.25E-1,0.E0)); +#441=LINE('',#440,#101); +#442=CARTESIAN_POINT('',(-1.0685E1,5.25E-1,0.E0)); +#443=LINE('',#442,#104); +#444=CARTESIAN_POINT('',(-1.0685E1,2.015E0,0.E0)); +#445=LINE('',#444,#107); +#446=CARTESIAN_POINT('',(-9.635E0,2.015E0,0.E0)); +#447=LINE('',#446,#98); +#448=CARTESIAN_POINT('',(-9.635E0,5.25E-1,0.E0)); +#449=LINE('',#448,#101); +#450=CARTESIAN_POINT('',(-8.145E0,5.25E-1,0.E0)); +#451=LINE('',#450,#104); +#452=CARTESIAN_POINT('',(-8.145E0,2.015E0,0.E0)); +#453=LINE('',#452,#107); +#454=CARTESIAN_POINT('',(-7.095E0,2.015E0,0.E0)); +#455=LINE('',#454,#98); +#456=CARTESIAN_POINT('',(-7.095E0,5.25E-1,0.E0)); +#457=LINE('',#456,#101); +#458=CARTESIAN_POINT('',(-5.605E0,5.25E-1,0.E0)); +#459=LINE('',#458,#104); +#460=CARTESIAN_POINT('',(-5.605E0,2.015E0,0.E0)); +#461=LINE('',#460,#107); +#462=CARTESIAN_POINT('',(-4.555E0,2.015E0,0.E0)); +#463=LINE('',#462,#98); +#464=CARTESIAN_POINT('',(-4.555E0,5.25E-1,0.E0)); +#465=LINE('',#464,#101); +#466=CARTESIAN_POINT('',(-3.065E0,5.25E-1,0.E0)); +#467=LINE('',#466,#104); +#468=CARTESIAN_POINT('',(-3.065E0,2.015E0,0.E0)); +#469=LINE('',#468,#107); +#470=CARTESIAN_POINT('',(-2.015E0,2.015E0,0.E0)); +#471=LINE('',#470,#98); +#472=CARTESIAN_POINT('',(-2.015E0,5.25E-1,0.E0)); +#473=LINE('',#472,#101); +#474=CARTESIAN_POINT('',(-5.25E-1,5.25E-1,0.E0)); +#475=LINE('',#474,#104); +#476=CARTESIAN_POINT('',(-5.25E-1,2.015E0,0.E0)); +#477=LINE('',#476,#107); +#478=CARTESIAN_POINT('',(5.25E-1,2.015E0,0.E0)); +#479=LINE('',#478,#98); +#480=CARTESIAN_POINT('',(5.25E-1,5.25E-1,0.E0)); +#481=LINE('',#480,#101); +#482=CARTESIAN_POINT('',(2.015E0,5.25E-1,0.E0)); +#483=LINE('',#482,#104); +#484=CARTESIAN_POINT('',(2.015E0,2.015E0,0.E0)); +#485=LINE('',#484,#107); +#486=CARTESIAN_POINT('',(3.065E0,2.015E0,0.E0)); +#487=LINE('',#486,#98); +#488=CARTESIAN_POINT('',(3.065E0,5.25E-1,0.E0)); +#489=LINE('',#488,#101); +#490=CARTESIAN_POINT('',(4.555E0,5.25E-1,0.E0)); +#491=LINE('',#490,#104); +#492=CARTESIAN_POINT('',(4.555E0,2.015E0,0.E0)); +#493=LINE('',#492,#107); +#494=CARTESIAN_POINT('',(5.605E0,2.015E0,0.E0)); +#495=LINE('',#494,#98); +#496=CARTESIAN_POINT('',(5.605E0,5.25E-1,0.E0)); +#497=LINE('',#496,#101); +#498=CARTESIAN_POINT('',(7.095E0,5.25E-1,0.E0)); +#499=LINE('',#498,#104); +#500=CARTESIAN_POINT('',(7.095E0,2.015E0,0.E0)); +#501=LINE('',#500,#107); +#502=CARTESIAN_POINT('',(8.145E0,2.015E0,0.E0)); +#503=LINE('',#502,#98); +#504=CARTESIAN_POINT('',(8.145E0,5.25E-1,0.E0)); +#505=LINE('',#504,#101); +#506=CARTESIAN_POINT('',(9.635E0,5.25E-1,0.E0)); +#507=LINE('',#506,#104); +#508=CARTESIAN_POINT('',(9.635E0,2.015E0,0.E0)); +#509=LINE('',#508,#107); +#510=CARTESIAN_POINT('',(1.0685E1,2.015E0,0.E0)); +#511=LINE('',#510,#98); +#512=CARTESIAN_POINT('',(1.0685E1,5.25E-1,0.E0)); +#513=LINE('',#512,#101); +#514=CARTESIAN_POINT('',(1.2175E1,5.25E-1,0.E0)); +#515=LINE('',#514,#104); +#516=CARTESIAN_POINT('',(1.2175E1,2.015E0,0.E0)); +#517=LINE('',#516,#107); +#518=CARTESIAN_POINT('',(1.3225E1,2.015E0,0.E0)); +#519=LINE('',#518,#98); +#520=CARTESIAN_POINT('',(1.3225E1,5.25E-1,0.E0)); +#521=LINE('',#520,#101); +#522=CARTESIAN_POINT('',(1.4715E1,5.25E-1,0.E0)); +#523=LINE('',#522,#104); +#524=CARTESIAN_POINT('',(1.4715E1,2.015E0,0.E0)); +#525=LINE('',#524,#107); +#526=CARTESIAN_POINT('',(1.5765E1,2.015E0,0.E0)); +#527=LINE('',#526,#98); +#528=CARTESIAN_POINT('',(1.5765E1,5.25E-1,0.E0)); +#529=LINE('',#528,#101); +#530=CARTESIAN_POINT('',(1.7255E1,5.25E-1,0.E0)); +#531=LINE('',#530,#104); +#532=CARTESIAN_POINT('',(1.7255E1,2.015E0,0.E0)); +#533=LINE('',#532,#107); +#534=CARTESIAN_POINT('',(1.8305E1,2.015E0,0.E0)); +#535=LINE('',#534,#98); +#536=CARTESIAN_POINT('',(1.8305E1,5.25E-1,0.E0)); +#537=LINE('',#536,#101); +#538=CARTESIAN_POINT('',(1.9795E1,5.25E-1,0.E0)); +#539=LINE('',#538,#104); +#540=CARTESIAN_POINT('',(1.9795E1,2.015E0,0.E0)); +#541=LINE('',#540,#107); +#542=CARTESIAN_POINT('',(2.0845E1,2.015E0,0.E0)); +#543=LINE('',#542,#98); +#544=CARTESIAN_POINT('',(2.0845E1,5.25E-1,0.E0)); +#545=LINE('',#544,#101); +#546=CARTESIAN_POINT('',(2.2335E1,5.25E-1,0.E0)); +#547=LINE('',#546,#104); +#548=CARTESIAN_POINT('',(2.2335E1,2.015E0,0.E0)); +#549=LINE('',#548,#107); +#550=CARTESIAN_POINT('',(2.3385E1,2.015E0,0.E0)); +#551=LINE('',#550,#98); +#552=CARTESIAN_POINT('',(2.3385E1,5.25E-1,0.E0)); +#553=LINE('',#552,#101); +#554=CARTESIAN_POINT('',(2.4875E1,5.25E-1,0.E0)); +#555=LINE('',#554,#104); +#556=CARTESIAN_POINT('',(2.4875E1,2.015E0,0.E0)); +#557=LINE('',#556,#107); +#558=CARTESIAN_POINT('',(2.5925E1,2.015E0,0.E0)); +#559=LINE('',#558,#98); +#560=CARTESIAN_POINT('',(2.5925E1,5.25E-1,0.E0)); +#561=LINE('',#560,#101); +#562=CARTESIAN_POINT('',(2.7415E1,5.25E-1,0.E0)); +#563=LINE('',#562,#104); +#564=CARTESIAN_POINT('',(2.7415E1,2.015E0,0.E0)); +#565=LINE('',#564,#107); +#566=CARTESIAN_POINT('',(2.8465E1,2.015E0,0.E0)); +#567=LINE('',#566,#98); +#568=CARTESIAN_POINT('',(2.8465E1,5.25E-1,0.E0)); +#569=LINE('',#568,#101); +#570=CARTESIAN_POINT('',(2.9955E1,5.25E-1,0.E0)); +#571=LINE('',#570,#104); +#572=CARTESIAN_POINT('',(2.9955E1,2.015E0,0.E0)); +#573=LINE('',#572,#107); +#574=CARTESIAN_POINT('',(3.1005E1,2.015E0,0.E0)); +#575=LINE('',#574,#98); +#576=CARTESIAN_POINT('',(3.1005E1,5.25E-1,0.E0)); +#577=LINE('',#576,#101); +#578=CARTESIAN_POINT('',(3.2495E1,5.25E-1,0.E0)); +#579=LINE('',#578,#104); +#580=CARTESIAN_POINT('',(3.2495E1,2.015E0,0.E0)); +#581=LINE('',#580,#107); +#582=CARTESIAN_POINT('',(3.3545E1,2.015E0,0.E0)); +#583=LINE('',#582,#98); +#584=CARTESIAN_POINT('',(3.3545E1,5.25E-1,0.E0)); +#585=LINE('',#584,#101); +#586=CARTESIAN_POINT('',(3.5035E1,5.25E-1,0.E0)); +#587=LINE('',#586,#104); +#588=CARTESIAN_POINT('',(3.5035E1,2.015E0,0.E0)); +#589=LINE('',#588,#107); +#590=CARTESIAN_POINT('',(3.6085E1,2.015E0,0.E0)); +#591=LINE('',#590,#98); +#592=CARTESIAN_POINT('',(3.6085E1,5.25E-1,0.E0)); +#593=LINE('',#592,#101); +#594=CARTESIAN_POINT('',(3.7575E1,5.25E-1,0.E0)); +#595=LINE('',#594,#104); +#596=CARTESIAN_POINT('',(3.7575E1,2.015E0,0.E0)); +#597=LINE('',#596,#107); +#598=CARTESIAN_POINT('',(3.8625E1,2.015E0,0.E0)); +#599=LINE('',#598,#98); +#600=CARTESIAN_POINT('',(3.8625E1,5.25E-1,0.E0)); +#601=LINE('',#600,#101); +#602=CARTESIAN_POINT('',(4.0115E1,5.25E-1,0.E0)); +#603=LINE('',#602,#104); +#604=CARTESIAN_POINT('',(4.0115E1,2.015E0,0.E0)); +#605=LINE('',#604,#107); +#606=CARTESIAN_POINT('',(-1.9795E1,-5.25E-1,0.E0)); +#607=LINE('',#606,#98); +#608=CARTESIAN_POINT('',(-1.9795E1,-2.015E0,0.E0)); +#609=LINE('',#608,#101); +#610=CARTESIAN_POINT('',(-1.8305E1,-2.015E0,0.E0)); +#611=LINE('',#610,#104); +#612=CARTESIAN_POINT('',(-1.8305E1,-5.25E-1,0.E0)); +#613=LINE('',#612,#107); +#614=CARTESIAN_POINT('',(-1.7255E1,-5.25E-1,0.E0)); +#615=LINE('',#614,#98); +#616=CARTESIAN_POINT('',(-1.7255E1,-2.015E0,0.E0)); +#617=LINE('',#616,#101); +#618=CARTESIAN_POINT('',(-1.5765E1,-2.015E0,0.E0)); +#619=LINE('',#618,#104); +#620=CARTESIAN_POINT('',(-1.5765E1,-5.25E-1,0.E0)); +#621=LINE('',#620,#107); +#622=CARTESIAN_POINT('',(-1.4715E1,-5.25E-1,0.E0)); +#623=LINE('',#622,#98); +#624=CARTESIAN_POINT('',(-1.4715E1,-2.015E0,0.E0)); +#625=LINE('',#624,#101); +#626=CARTESIAN_POINT('',(-1.3225E1,-2.015E0,0.E0)); +#627=LINE('',#626,#104); +#628=CARTESIAN_POINT('',(-1.3225E1,-5.25E-1,0.E0)); +#629=LINE('',#628,#107); +#630=CARTESIAN_POINT('',(-1.2175E1,-5.25E-1,0.E0)); +#631=LINE('',#630,#98); +#632=CARTESIAN_POINT('',(-1.2175E1,-2.015E0,0.E0)); +#633=LINE('',#632,#101); +#634=CARTESIAN_POINT('',(-1.0685E1,-2.015E0,0.E0)); +#635=LINE('',#634,#104); +#636=CARTESIAN_POINT('',(-1.0685E1,-5.25E-1,0.E0)); +#637=LINE('',#636,#107); +#638=CARTESIAN_POINT('',(-9.635E0,-5.25E-1,0.E0)); +#639=LINE('',#638,#98); +#640=CARTESIAN_POINT('',(-9.635E0,-2.015E0,0.E0)); +#641=LINE('',#640,#101); +#642=CARTESIAN_POINT('',(-8.145E0,-2.015E0,0.E0)); +#643=LINE('',#642,#104); +#644=CARTESIAN_POINT('',(-8.145E0,-5.25E-1,0.E0)); +#645=LINE('',#644,#107); +#646=CARTESIAN_POINT('',(-7.095E0,-5.25E-1,0.E0)); +#647=LINE('',#646,#98); +#648=CARTESIAN_POINT('',(-7.095E0,-2.015E0,0.E0)); +#649=LINE('',#648,#101); +#650=CARTESIAN_POINT('',(-5.605E0,-2.015E0,0.E0)); +#651=LINE('',#650,#104); +#652=CARTESIAN_POINT('',(-5.605E0,-5.25E-1,0.E0)); +#653=LINE('',#652,#107); +#654=CARTESIAN_POINT('',(-4.555E0,-5.25E-1,0.E0)); +#655=LINE('',#654,#98); +#656=CARTESIAN_POINT('',(-4.555E0,-2.015E0,0.E0)); +#657=LINE('',#656,#101); +#658=CARTESIAN_POINT('',(-3.065E0,-2.015E0,0.E0)); +#659=LINE('',#658,#104); +#660=CARTESIAN_POINT('',(-3.065E0,-5.25E-1,0.E0)); +#661=LINE('',#660,#107); +#662=CARTESIAN_POINT('',(-2.015E0,-5.25E-1,0.E0)); +#663=LINE('',#662,#98); +#664=CARTESIAN_POINT('',(-2.015E0,-2.015E0,0.E0)); +#665=LINE('',#664,#101); +#666=CARTESIAN_POINT('',(-5.25E-1,-2.015E0,0.E0)); +#667=LINE('',#666,#104); +#668=CARTESIAN_POINT('',(-5.25E-1,-5.25E-1,0.E0)); +#669=LINE('',#668,#107); +#670=CARTESIAN_POINT('',(5.25E-1,-5.25E-1,0.E0)); +#671=LINE('',#670,#98); +#672=CARTESIAN_POINT('',(5.25E-1,-2.015E0,0.E0)); +#673=LINE('',#672,#101); +#674=CARTESIAN_POINT('',(2.015E0,-2.015E0,0.E0)); +#675=LINE('',#674,#104); +#676=CARTESIAN_POINT('',(2.015E0,-5.25E-1,0.E0)); +#677=LINE('',#676,#107); +#678=CARTESIAN_POINT('',(3.065E0,-5.25E-1,0.E0)); +#679=LINE('',#678,#98); +#680=CARTESIAN_POINT('',(3.065E0,-2.015E0,0.E0)); +#681=LINE('',#680,#101); +#682=CARTESIAN_POINT('',(4.555E0,-2.015E0,0.E0)); +#683=LINE('',#682,#104); +#684=CARTESIAN_POINT('',(4.555E0,-5.25E-1,0.E0)); +#685=LINE('',#684,#107); +#686=CARTESIAN_POINT('',(5.605E0,-5.25E-1,0.E0)); +#687=LINE('',#686,#98); +#688=CARTESIAN_POINT('',(5.605E0,-2.015E0,0.E0)); +#689=LINE('',#688,#101); +#690=CARTESIAN_POINT('',(7.095E0,-2.015E0,0.E0)); +#691=LINE('',#690,#104); +#692=CARTESIAN_POINT('',(7.095E0,-5.25E-1,0.E0)); +#693=LINE('',#692,#107); +#694=CARTESIAN_POINT('',(8.145E0,-5.25E-1,0.E0)); +#695=LINE('',#694,#98); +#696=CARTESIAN_POINT('',(8.145E0,-2.015E0,0.E0)); +#697=LINE('',#696,#101); +#698=CARTESIAN_POINT('',(9.635E0,-2.015E0,0.E0)); +#699=LINE('',#698,#104); +#700=CARTESIAN_POINT('',(9.635E0,-5.25E-1,0.E0)); +#701=LINE('',#700,#107); +#702=CARTESIAN_POINT('',(1.0685E1,-5.25E-1,0.E0)); +#703=LINE('',#702,#98); +#704=CARTESIAN_POINT('',(1.0685E1,-2.015E0,0.E0)); +#705=LINE('',#704,#101); +#706=CARTESIAN_POINT('',(1.2175E1,-2.015E0,0.E0)); +#707=LINE('',#706,#104); +#708=CARTESIAN_POINT('',(1.2175E1,-5.25E-1,0.E0)); +#709=LINE('',#708,#107); +#710=CARTESIAN_POINT('',(1.3225E1,-5.25E-1,0.E0)); +#711=LINE('',#710,#98); +#712=CARTESIAN_POINT('',(1.3225E1,-2.015E0,0.E0)); +#713=LINE('',#712,#101); +#714=CARTESIAN_POINT('',(1.4715E1,-2.015E0,0.E0)); +#715=LINE('',#714,#104); +#716=CARTESIAN_POINT('',(1.4715E1,-5.25E-1,0.E0)); +#717=LINE('',#716,#107); +#718=CARTESIAN_POINT('',(1.5765E1,-5.25E-1,0.E0)); +#719=LINE('',#718,#98); +#720=CARTESIAN_POINT('',(1.5765E1,-2.015E0,0.E0)); +#721=LINE('',#720,#101); +#722=CARTESIAN_POINT('',(1.7255E1,-2.015E0,0.E0)); +#723=LINE('',#722,#104); +#724=CARTESIAN_POINT('',(1.7255E1,-5.25E-1,0.E0)); +#725=LINE('',#724,#107); +#726=CARTESIAN_POINT('',(1.8305E1,-5.25E-1,0.E0)); +#727=LINE('',#726,#98); +#728=CARTESIAN_POINT('',(1.8305E1,-2.015E0,0.E0)); +#729=LINE('',#728,#101); +#730=CARTESIAN_POINT('',(1.9795E1,-2.015E0,0.E0)); +#731=LINE('',#730,#104); +#732=CARTESIAN_POINT('',(1.9795E1,-5.25E-1,0.E0)); +#733=LINE('',#732,#107); +#734=CARTESIAN_POINT('',(2.0845E1,-5.25E-1,0.E0)); +#735=LINE('',#734,#98); +#736=CARTESIAN_POINT('',(2.0845E1,-2.015E0,0.E0)); +#737=LINE('',#736,#101); +#738=CARTESIAN_POINT('',(2.2335E1,-2.015E0,0.E0)); +#739=LINE('',#738,#104); +#740=CARTESIAN_POINT('',(2.2335E1,-5.25E-1,0.E0)); +#741=LINE('',#740,#107); +#742=CARTESIAN_POINT('',(2.3385E1,-5.25E-1,0.E0)); +#743=LINE('',#742,#98); +#744=CARTESIAN_POINT('',(2.3385E1,-2.015E0,0.E0)); +#745=LINE('',#744,#101); +#746=CARTESIAN_POINT('',(2.4875E1,-2.015E0,0.E0)); +#747=LINE('',#746,#104); +#748=CARTESIAN_POINT('',(2.4875E1,-5.25E-1,0.E0)); +#749=LINE('',#748,#107); +#750=CARTESIAN_POINT('',(2.5925E1,-5.25E-1,0.E0)); +#751=LINE('',#750,#98); +#752=CARTESIAN_POINT('',(2.5925E1,-2.015E0,0.E0)); +#753=LINE('',#752,#101); +#754=CARTESIAN_POINT('',(2.7415E1,-2.015E0,0.E0)); +#755=LINE('',#754,#104); +#756=CARTESIAN_POINT('',(2.7415E1,-5.25E-1,0.E0)); +#757=LINE('',#756,#107); +#758=CARTESIAN_POINT('',(-1.9795E1,-3.065E0,0.E0)); +#759=LINE('',#758,#98); +#760=CARTESIAN_POINT('',(-1.9795E1,-4.555E0,0.E0)); +#761=LINE('',#760,#101); +#762=CARTESIAN_POINT('',(-1.8305E1,-4.555E0,0.E0)); +#763=LINE('',#762,#104); +#764=CARTESIAN_POINT('',(-1.8305E1,-3.065E0,0.E0)); +#765=LINE('',#764,#107); +#766=CARTESIAN_POINT('',(-1.7255E1,-3.065E0,0.E0)); +#767=LINE('',#766,#98); +#768=CARTESIAN_POINT('',(-1.7255E1,-4.555E0,0.E0)); +#769=LINE('',#768,#101); +#770=CARTESIAN_POINT('',(-1.5765E1,-4.555E0,0.E0)); +#771=LINE('',#770,#104); +#772=CARTESIAN_POINT('',(-1.5765E1,-3.065E0,0.E0)); +#773=LINE('',#772,#107); +#774=CARTESIAN_POINT('',(-1.4715E1,-3.065E0,0.E0)); +#775=LINE('',#774,#98); +#776=CARTESIAN_POINT('',(-1.4715E1,-4.555E0,0.E0)); +#777=LINE('',#776,#101); +#778=CARTESIAN_POINT('',(-1.3225E1,-4.555E0,0.E0)); +#779=LINE('',#778,#104); +#780=CARTESIAN_POINT('',(-1.3225E1,-3.065E0,0.E0)); +#781=LINE('',#780,#107); +#782=CARTESIAN_POINT('',(-1.2175E1,-3.065E0,0.E0)); +#783=LINE('',#782,#98); +#784=CARTESIAN_POINT('',(-1.2175E1,-4.555E0,0.E0)); +#785=LINE('',#784,#101); +#786=CARTESIAN_POINT('',(-1.0685E1,-4.555E0,0.E0)); +#787=LINE('',#786,#104); +#788=CARTESIAN_POINT('',(-1.0685E1,-3.065E0,0.E0)); +#789=LINE('',#788,#107); +#790=CARTESIAN_POINT('',(-9.635E0,-3.065E0,0.E0)); +#791=LINE('',#790,#98); +#792=CARTESIAN_POINT('',(-9.635E0,-4.555E0,0.E0)); +#793=LINE('',#792,#101); +#794=CARTESIAN_POINT('',(-8.145E0,-4.555E0,0.E0)); +#795=LINE('',#794,#104); +#796=CARTESIAN_POINT('',(-8.145E0,-3.065E0,0.E0)); +#797=LINE('',#796,#107); +#798=CARTESIAN_POINT('',(-7.095E0,-3.065E0,0.E0)); +#799=LINE('',#798,#98); +#800=CARTESIAN_POINT('',(-7.095E0,-4.555E0,0.E0)); +#801=LINE('',#800,#101); +#802=CARTESIAN_POINT('',(-5.605E0,-4.555E0,0.E0)); +#803=LINE('',#802,#104); +#804=CARTESIAN_POINT('',(-5.605E0,-3.065E0,0.E0)); +#805=LINE('',#804,#107); +#806=CARTESIAN_POINT('',(-4.555E0,-3.065E0,0.E0)); +#807=LINE('',#806,#98); +#808=CARTESIAN_POINT('',(-4.555E0,-4.555E0,0.E0)); +#809=LINE('',#808,#101); +#810=CARTESIAN_POINT('',(-3.065E0,-4.555E0,0.E0)); +#811=LINE('',#810,#104); +#812=CARTESIAN_POINT('',(-3.065E0,-3.065E0,0.E0)); +#813=LINE('',#812,#107); +#814=CARTESIAN_POINT('',(-2.015E0,-3.065E0,0.E0)); +#815=LINE('',#814,#98); +#816=CARTESIAN_POINT('',(-2.015E0,-4.555E0,0.E0)); +#817=LINE('',#816,#101); +#818=CARTESIAN_POINT('',(-5.25E-1,-4.555E0,0.E0)); +#819=LINE('',#818,#104); +#820=CARTESIAN_POINT('',(-5.25E-1,-3.065E0,0.E0)); +#821=LINE('',#820,#107); +#822=CARTESIAN_POINT('',(5.25E-1,-3.065E0,0.E0)); +#823=LINE('',#822,#98); +#824=CARTESIAN_POINT('',(5.25E-1,-4.555E0,0.E0)); +#825=LINE('',#824,#101); +#826=CARTESIAN_POINT('',(2.015E0,-4.555E0,0.E0)); +#827=LINE('',#826,#104); +#828=CARTESIAN_POINT('',(2.015E0,-3.065E0,0.E0)); +#829=LINE('',#828,#107); +#830=CARTESIAN_POINT('',(3.065E0,-3.065E0,0.E0)); +#831=LINE('',#830,#98); +#832=CARTESIAN_POINT('',(3.065E0,-4.555E0,0.E0)); +#833=LINE('',#832,#101); +#834=CARTESIAN_POINT('',(4.555E0,-4.555E0,0.E0)); +#835=LINE('',#834,#104); +#836=CARTESIAN_POINT('',(4.555E0,-3.065E0,0.E0)); +#837=LINE('',#836,#107); +#838=CARTESIAN_POINT('',(5.605E0,-3.065E0,0.E0)); +#839=LINE('',#838,#98); +#840=CARTESIAN_POINT('',(5.605E0,-4.555E0,0.E0)); +#841=LINE('',#840,#101); +#842=CARTESIAN_POINT('',(7.095E0,-4.555E0,0.E0)); +#843=LINE('',#842,#104); +#844=CARTESIAN_POINT('',(7.095E0,-3.065E0,0.E0)); +#845=LINE('',#844,#107); +#846=CARTESIAN_POINT('',(8.145E0,-3.065E0,0.E0)); +#847=LINE('',#846,#98); +#848=CARTESIAN_POINT('',(8.145E0,-4.555E0,0.E0)); +#849=LINE('',#848,#101); +#850=CARTESIAN_POINT('',(9.635E0,-4.555E0,0.E0)); +#851=LINE('',#850,#104); +#852=CARTESIAN_POINT('',(9.635E0,-3.065E0,0.E0)); +#853=LINE('',#852,#107); +#854=CARTESIAN_POINT('',(1.0685E1,-3.065E0,0.E0)); +#855=LINE('',#854,#98); +#856=CARTESIAN_POINT('',(1.0685E1,-4.555E0,0.E0)); +#857=LINE('',#856,#101); +#858=CARTESIAN_POINT('',(1.2175E1,-4.555E0,0.E0)); +#859=LINE('',#858,#104); +#860=CARTESIAN_POINT('',(1.2175E1,-3.065E0,0.E0)); +#861=LINE('',#860,#107); +#862=CARTESIAN_POINT('',(1.3225E1,-3.065E0,0.E0)); +#863=LINE('',#862,#98); +#864=CARTESIAN_POINT('',(1.3225E1,-4.555E0,0.E0)); +#865=LINE('',#864,#101); +#866=CARTESIAN_POINT('',(1.4715E1,-4.555E0,0.E0)); +#867=LINE('',#866,#104); +#868=CARTESIAN_POINT('',(1.4715E1,-3.065E0,0.E0)); +#869=LINE('',#868,#107); +#870=CARTESIAN_POINT('',(1.5765E1,-3.065E0,0.E0)); +#871=LINE('',#870,#98); +#872=CARTESIAN_POINT('',(1.5765E1,-4.555E0,0.E0)); +#873=LINE('',#872,#101); +#874=CARTESIAN_POINT('',(1.7255E1,-4.555E0,0.E0)); +#875=LINE('',#874,#104); +#876=CARTESIAN_POINT('',(1.7255E1,-3.065E0,0.E0)); +#877=LINE('',#876,#107); +#878=CARTESIAN_POINT('',(1.8305E1,-3.065E0,0.E0)); +#879=LINE('',#878,#98); +#880=CARTESIAN_POINT('',(1.8305E1,-4.555E0,0.E0)); +#881=LINE('',#880,#101); +#882=CARTESIAN_POINT('',(1.9795E1,-4.555E0,0.E0)); +#883=LINE('',#882,#104); +#884=CARTESIAN_POINT('',(1.9795E1,-3.065E0,0.E0)); +#885=LINE('',#884,#107); +#886=CARTESIAN_POINT('',(2.0845E1,-3.065E0,0.E0)); +#887=LINE('',#886,#98); +#888=CARTESIAN_POINT('',(2.0845E1,-4.555E0,0.E0)); +#889=LINE('',#888,#101); +#890=CARTESIAN_POINT('',(2.2335E1,-4.555E0,0.E0)); +#891=LINE('',#890,#104); +#892=CARTESIAN_POINT('',(2.2335E1,-3.065E0,0.E0)); +#893=LINE('',#892,#107); +#894=CARTESIAN_POINT('',(2.3385E1,-3.065E0,0.E0)); +#895=LINE('',#894,#98); +#896=CARTESIAN_POINT('',(2.3385E1,-4.555E0,0.E0)); +#897=LINE('',#896,#101); +#898=CARTESIAN_POINT('',(2.4875E1,-4.555E0,0.E0)); +#899=LINE('',#898,#104); +#900=CARTESIAN_POINT('',(2.4875E1,-3.065E0,0.E0)); +#901=LINE('',#900,#107); +#902=CARTESIAN_POINT('',(2.5925E1,-3.065E0,0.E0)); +#903=LINE('',#902,#98); +#904=CARTESIAN_POINT('',(2.5925E1,-4.555E0,0.E0)); +#905=LINE('',#904,#101); +#906=CARTESIAN_POINT('',(2.7415E1,-4.555E0,0.E0)); +#907=LINE('',#906,#104); +#908=CARTESIAN_POINT('',(2.7415E1,-3.065E0,0.E0)); +#909=LINE('',#908,#107); +#910=CARTESIAN_POINT('',(2.8465E1,-3.065E0,0.E0)); +#911=LINE('',#910,#98); +#912=CARTESIAN_POINT('',(2.8465E1,-4.555E0,0.E0)); +#913=LINE('',#912,#101); +#914=CARTESIAN_POINT('',(2.9955E1,-4.555E0,0.E0)); +#915=LINE('',#914,#104); +#916=CARTESIAN_POINT('',(2.9955E1,-3.065E0,0.E0)); +#917=LINE('',#916,#107); +#918=DIRECTION('',(0.E0,0.E0,-1.E0)); +#919=VECTOR('',#918,1.105E1); +#920=LINE('',#74,#919); +#921=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#922=VECTOR('',#921,7.071067811865E-1); +#923=CARTESIAN_POINT('',(-4.08E1,8.55E-1,-1.055E1)); +#924=LINE('',#923,#922); +#925=VECTOR('',#72,3.24E0); +#926=CARTESIAN_POINT('',(-4.08E1,4.095E0,-1.055E1)); +#927=LINE('',#926,#925); +#928=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#929=VECTOR('',#928,7.071067811865E-1); +#930=CARTESIAN_POINT('',(-4.08E1,4.595E0,-1.105E1)); +#931=LINE('',#930,#929); +#932=VECTOR('',#72,3.55E-1); +#933=CARTESIAN_POINT('',(-4.08E1,4.95E0,-1.105E1)); +#934=LINE('',#933,#932); +#935=VECTOR('',#84,8.16E1); +#936=LINE('',#930,#935); +#937=VECTOR('',#80,3.55E-1); +#938=CARTESIAN_POINT('',(4.08E1,4.595E0,-1.105E1)); +#939=LINE('',#938,#937); +#940=CARTESIAN_POINT('',(4.08E1,4.95E0,-1.105E1)); +#941=LINE('',#940,#77); +#942=CARTESIAN_POINT('',(-4.08E1,3.55E-1,-1.105E1)); +#943=LINE('',#942,#932); +#944=CARTESIAN_POINT('',(-4.08E1,0.E0,-1.105E1)); +#945=LINE('',#944,#95); +#946=CARTESIAN_POINT('',(-2.047E1,0.E0,-1.105E1)); +#947=LINE('',#946,#932); +#948=CARTESIAN_POINT('',(-2.047E1,-3.55E-1,-1.105E1)); +#949=LINE('',#948,#90); +#950=CARTESIAN_POINT('',(3.064E1,-3.55E-1,-1.105E1)); +#951=LINE('',#950,#937); +#952=CARTESIAN_POINT('',(3.064E1,0.E0,-1.105E1)); +#953=LINE('',#952,#85); +#954=CARTESIAN_POINT('',(4.08E1,0.E0,-1.105E1)); +#955=LINE('',#954,#937); +#956=LINE('',#942,#935); +#957=CARTESIAN_POINT('',(-2.047E1,-4.595E0,-1.105E1)); +#958=LINE('',#957,#932); +#959=CARTESIAN_POINT('',(-2.047E1,-4.95E0,-1.105E1)); +#960=LINE('',#959,#90); +#961=CARTESIAN_POINT('',(3.064E1,-4.95E0,-1.105E1)); +#962=LINE('',#961,#937); +#963=LINE('',#957,#90); +#964=VECTOR('',#84,4.44E0); +#965=CARTESIAN_POINT('',(-1.873E1,4.095E0,-1.055E1)); +#966=LINE('',#965,#964); +#967=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811866E-1)); +#968=VECTOR('',#967,4.949747468306E-2); +#969=CARTESIAN_POINT('',(-1.429E1,4.095E0,-1.055E1)); +#970=LINE('',#969,#968); +#971=VECTOR('',#84,6.4E-1); +#972=CARTESIAN_POINT('',(-1.429E1,4.13E0,-1.0585E1)); +#973=LINE('',#972,#971); +#974=CARTESIAN_POINT('',(-1.365E1,4.095E0,-1.055E1)); +#975=LINE('',#974,#968); +#976=DIRECTION('',(3.588782762706E-14,7.071067811865E-1,-7.071067811865E-1)); +#977=VECTOR('',#976,4.949747468306E-2); +#978=CARTESIAN_POINT('',(-1.175E1,4.095E0,-1.055E1)); +#979=LINE('',#978,#977); +#980=CARTESIAN_POINT('',(-1.175E1,4.13E0,-1.0585E1)); +#981=LINE('',#980,#971); +#982=DIRECTION('',(7.177565525411E-14,7.071067811865E-1,-7.071067811866E-1)); +#983=VECTOR('',#982,4.949747468306E-2); +#984=CARTESIAN_POINT('',(-1.111E1,4.095E0,-1.055E1)); +#985=LINE('',#984,#983); +#986=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#987=VECTOR('',#986,4.949747468306E-2); +#988=CARTESIAN_POINT('',(-9.21E0,4.095E0,-1.055E1)); +#989=LINE('',#988,#987); +#990=CARTESIAN_POINT('',(-9.21E0,4.13E0,-1.0585E1)); +#991=LINE('',#990,#971); +#992=CARTESIAN_POINT('',(-8.57E0,4.095E0,-1.055E1)); +#993=LINE('',#992,#968); +#994=CARTESIAN_POINT('',(-6.67E0,4.095E0,-1.055E1)); +#995=LINE('',#994,#987); +#996=CARTESIAN_POINT('',(-6.67E0,4.13E0,-1.0585E1)); +#997=LINE('',#996,#971); +#998=CARTESIAN_POINT('',(-6.03E0,4.095E0,-1.055E1)); +#999=LINE('',#998,#968); +#1000=CARTESIAN_POINT('',(-4.13E0,4.095E0,-1.055E1)); +#1001=LINE('',#1000,#968); +#1002=CARTESIAN_POINT('',(-4.13E0,4.13E0,-1.0585E1)); +#1003=LINE('',#1002,#971); +#1004=CARTESIAN_POINT('',(-3.49E0,4.095E0,-1.055E1)); +#1005=LINE('',#1004,#968); +#1006=CARTESIAN_POINT('',(-1.59E0,4.095E0,-1.055E1)); +#1007=LINE('',#1006,#968); +#1008=CARTESIAN_POINT('',(-1.59E0,4.13E0,-1.0585E1)); +#1009=LINE('',#1008,#971); +#1010=CARTESIAN_POINT('',(-9.5E-1,4.095E0,-1.055E1)); +#1011=LINE('',#1010,#968); +#1012=CARTESIAN_POINT('',(9.5E-1,4.095E0,-1.055E1)); +#1013=LINE('',#1012,#987); +#1014=CARTESIAN_POINT('',(9.5E-1,4.13E0,-1.0585E1)); +#1015=LINE('',#1014,#971); +#1016=CARTESIAN_POINT('',(1.59E0,4.095E0,-1.055E1)); +#1017=LINE('',#1016,#968); +#1018=CARTESIAN_POINT('',(3.49E0,4.095E0,-1.055E1)); +#1019=LINE('',#1018,#987); +#1020=CARTESIAN_POINT('',(3.49E0,4.13E0,-1.0585E1)); +#1021=LINE('',#1020,#971); +#1022=CARTESIAN_POINT('',(4.13E0,4.095E0,-1.055E1)); +#1023=LINE('',#1022,#968); +#1024=CARTESIAN_POINT('',(6.03E0,4.095E0,-1.055E1)); +#1025=LINE('',#1024,#987); +#1026=CARTESIAN_POINT('',(6.03E0,4.13E0,-1.0585E1)); +#1027=LINE('',#1026,#971); +#1028=CARTESIAN_POINT('',(6.67E0,4.095E0,-1.055E1)); +#1029=LINE('',#1028,#968); +#1030=CARTESIAN_POINT('',(8.57E0,4.095E0,-1.055E1)); +#1031=LINE('',#1030,#987); +#1032=CARTESIAN_POINT('',(8.57E0,4.13E0,-1.0585E1)); +#1033=LINE('',#1032,#971); +#1034=CARTESIAN_POINT('',(9.21E0,4.095E0,-1.055E1)); +#1035=LINE('',#1034,#968); +#1036=CARTESIAN_POINT('',(1.111E1,4.095E0,-1.055E1)); +#1037=LINE('',#1036,#987); +#1038=CARTESIAN_POINT('',(1.111E1,4.13E0,-1.0585E1)); +#1039=LINE('',#1038,#971); +#1040=CARTESIAN_POINT('',(1.175E1,4.095E0,-1.055E1)); +#1041=LINE('',#1040,#968); +#1042=CARTESIAN_POINT('',(1.365E1,4.095E0,-1.055E1)); +#1043=LINE('',#1042,#968); +#1044=CARTESIAN_POINT('',(1.365E1,4.13E0,-1.0585E1)); +#1045=LINE('',#1044,#971); +#1046=CARTESIAN_POINT('',(1.429E1,4.095E0,-1.055E1)); +#1047=LINE('',#1046,#968); +#1048=CARTESIAN_POINT('',(1.619E1,4.095E0,-1.055E1)); +#1049=LINE('',#1048,#987); +#1050=CARTESIAN_POINT('',(1.619E1,4.13E0,-1.0585E1)); +#1051=LINE('',#1050,#971); +#1052=CARTESIAN_POINT('',(1.683E1,4.095E0,-1.055E1)); +#1053=LINE('',#1052,#968); +#1054=CARTESIAN_POINT('',(1.873E1,4.095E0,-1.055E1)); +#1055=LINE('',#1054,#987); +#1056=CARTESIAN_POINT('',(1.873E1,4.13E0,-1.0585E1)); +#1057=LINE('',#1056,#971); +#1058=CARTESIAN_POINT('',(1.937E1,4.095E0,-1.055E1)); +#1059=LINE('',#1058,#968); +#1060=CARTESIAN_POINT('',(2.127E1,4.095E0,-1.055E1)); +#1061=LINE('',#1060,#987); +#1062=CARTESIAN_POINT('',(2.127E1,4.13E0,-1.0585E1)); +#1063=LINE('',#1062,#971); +#1064=CARTESIAN_POINT('',(2.191E1,4.095E0,-1.055E1)); +#1065=LINE('',#1064,#968); +#1066=CARTESIAN_POINT('',(2.381E1,4.095E0,-1.055E1)); +#1067=LINE('',#1066,#987); +#1068=CARTESIAN_POINT('',(2.381E1,4.13E0,-1.0585E1)); +#1069=LINE('',#1068,#971); +#1070=CARTESIAN_POINT('',(2.445E1,4.095E0,-1.055E1)); +#1071=LINE('',#1070,#968); +#1072=CARTESIAN_POINT('',(2.635E1,4.095E0,-1.055E1)); +#1073=LINE('',#1072,#968); +#1074=CARTESIAN_POINT('',(2.635E1,4.13E0,-1.0585E1)); +#1075=LINE('',#1074,#971); +#1076=CARTESIAN_POINT('',(2.699E1,4.095E0,-1.055E1)); +#1077=LINE('',#1076,#968); +#1078=CARTESIAN_POINT('',(2.889E1,4.095E0,-1.055E1)); +#1079=LINE('',#1078,#987); +#1080=CARTESIAN_POINT('',(2.889E1,4.13E0,-1.0585E1)); +#1081=LINE('',#1080,#971); +#1082=CARTESIAN_POINT('',(2.953E1,4.095E0,-1.055E1)); +#1083=LINE('',#1082,#968); +#1084=CARTESIAN_POINT('',(3.143E1,4.095E0,-1.055E1)); +#1085=LINE('',#1084,#987); +#1086=CARTESIAN_POINT('',(3.143E1,4.13E0,-1.0585E1)); +#1087=LINE('',#1086,#971); +#1088=CARTESIAN_POINT('',(3.207E1,4.095E0,-1.055E1)); +#1089=LINE('',#1088,#968); +#1090=CARTESIAN_POINT('',(3.397E1,4.095E0,-1.055E1)); +#1091=LINE('',#1090,#968); +#1092=CARTESIAN_POINT('',(3.397E1,4.13E0,-1.0585E1)); +#1093=LINE('',#1092,#971); +#1094=CARTESIAN_POINT('',(3.461E1,4.095E0,-1.055E1)); +#1095=LINE('',#1094,#968); +#1096=CARTESIAN_POINT('',(3.651E1,4.095E0,-1.055E1)); +#1097=LINE('',#1096,#968); +#1098=CARTESIAN_POINT('',(3.651E1,4.13E0,-1.0585E1)); +#1099=LINE('',#1098,#971); +#1100=CARTESIAN_POINT('',(3.715E1,4.095E0,-1.055E1)); +#1101=LINE('',#1100,#968); +#1102=CARTESIAN_POINT('',(3.905E1,4.095E0,-1.055E1)); +#1103=LINE('',#1102,#987); +#1104=CARTESIAN_POINT('',(3.905E1,4.13E0,-1.0585E1)); +#1105=LINE('',#1104,#971); +#1106=CARTESIAN_POINT('',(3.969E1,4.095E0,-1.055E1)); +#1107=LINE('',#1106,#968); +#1108=CARTESIAN_POINT('',(-3.969E1,4.095E0,-1.055E1)); +#1109=LINE('',#1108,#987); +#1110=CARTESIAN_POINT('',(-3.969E1,4.13E0,-1.0585E1)); +#1111=LINE('',#1110,#971); +#1112=CARTESIAN_POINT('',(-3.905E1,4.095E0,-1.055E1)); +#1113=LINE('',#1112,#968); +#1114=CARTESIAN_POINT('',(-3.715E1,4.095E0,-1.055E1)); +#1115=LINE('',#1114,#987); +#1116=CARTESIAN_POINT('',(-3.715E1,4.13E0,-1.0585E1)); +#1117=LINE('',#1116,#971); +#1118=CARTESIAN_POINT('',(-3.651E1,4.095E0,-1.055E1)); +#1119=LINE('',#1118,#968); +#1120=CARTESIAN_POINT('',(-3.461E1,4.095E0,-1.055E1)); +#1121=LINE('',#1120,#987); +#1122=CARTESIAN_POINT('',(-3.461E1,4.13E0,-1.0585E1)); +#1123=LINE('',#1122,#971); +#1124=CARTESIAN_POINT('',(-3.397E1,4.095E0,-1.055E1)); +#1125=LINE('',#1124,#968); +#1126=CARTESIAN_POINT('',(-3.207E1,4.095E0,-1.055E1)); +#1127=LINE('',#1126,#987); +#1128=CARTESIAN_POINT('',(-3.207E1,4.13E0,-1.0585E1)); +#1129=LINE('',#1128,#971); +#1130=CARTESIAN_POINT('',(-3.143E1,4.095E0,-1.055E1)); +#1131=LINE('',#1130,#968); +#1132=CARTESIAN_POINT('',(-2.953E1,4.095E0,-1.055E1)); +#1133=LINE('',#1132,#987); +#1134=CARTESIAN_POINT('',(-2.953E1,4.13E0,-1.0585E1)); +#1135=LINE('',#1134,#971); +#1136=CARTESIAN_POINT('',(-2.889E1,4.095E0,-1.055E1)); +#1137=LINE('',#1136,#968); +#1138=CARTESIAN_POINT('',(-2.699E1,4.095E0,-1.055E1)); +#1139=LINE('',#1138,#968); +#1140=CARTESIAN_POINT('',(-2.699E1,4.13E0,-1.0585E1)); +#1141=LINE('',#1140,#971); +#1142=CARTESIAN_POINT('',(-2.635E1,4.095E0,-1.055E1)); +#1143=LINE('',#1142,#968); +#1144=CARTESIAN_POINT('',(-2.445E1,4.095E0,-1.055E1)); +#1145=LINE('',#1144,#987); +#1146=CARTESIAN_POINT('',(-2.445E1,4.13E0,-1.0585E1)); +#1147=LINE('',#1146,#971); +#1148=CARTESIAN_POINT('',(-2.381E1,4.095E0,-1.055E1)); +#1149=LINE('',#1148,#968); +#1150=CARTESIAN_POINT('',(-2.191E1,4.095E0,-1.055E1)); +#1151=LINE('',#1150,#987); +#1152=CARTESIAN_POINT('',(-2.191E1,4.13E0,-1.0585E1)); +#1153=LINE('',#1152,#971); +#1154=CARTESIAN_POINT('',(-2.127E1,4.095E0,-1.055E1)); +#1155=LINE('',#1154,#968); +#1156=CARTESIAN_POINT('',(-1.937E1,4.095E0,-1.055E1)); +#1157=LINE('',#1156,#987); +#1158=CARTESIAN_POINT('',(-1.937E1,4.13E0,-1.0585E1)); +#1159=LINE('',#1158,#971); +#1160=LINE('',#965,#968); +#1161=VECTOR('',#72,6.4E-1); +#1162=CARTESIAN_POINT('',(-3.905E1,1.59E0,-1.055E1)); +#1163=LINE('',#1162,#1161); +#1164=VECTOR('',#76,6.4E-1); +#1165=CARTESIAN_POINT('',(-3.905E1,9.5E-1,-1.055E1)); +#1166=LINE('',#1165,#1164); +#1167=VECTOR('',#80,6.4E-1); +#1168=CARTESIAN_POINT('',(-3.969E1,9.5E-1,-1.055E1)); +#1169=LINE('',#1168,#1167); +#1170=CARTESIAN_POINT('',(-3.969E1,1.59E0,-1.055E1)); +#1171=LINE('',#1170,#971); +#1172=CARTESIAN_POINT('',(-3.651E1,1.59E0,-1.055E1)); +#1173=LINE('',#1172,#1161); +#1174=CARTESIAN_POINT('',(-3.651E1,9.5E-1,-1.055E1)); +#1175=LINE('',#1174,#1164); +#1176=CARTESIAN_POINT('',(-3.715E1,9.5E-1,-1.055E1)); +#1177=LINE('',#1176,#1167); +#1178=CARTESIAN_POINT('',(-3.715E1,1.59E0,-1.055E1)); +#1179=LINE('',#1178,#971); +#1180=CARTESIAN_POINT('',(-3.397E1,1.59E0,-1.055E1)); +#1181=LINE('',#1180,#1161); +#1182=CARTESIAN_POINT('',(-3.397E1,9.5E-1,-1.055E1)); +#1183=LINE('',#1182,#1164); +#1184=CARTESIAN_POINT('',(-3.461E1,9.5E-1,-1.055E1)); +#1185=LINE('',#1184,#1167); +#1186=CARTESIAN_POINT('',(-3.461E1,1.59E0,-1.055E1)); +#1187=LINE('',#1186,#971); +#1188=CARTESIAN_POINT('',(-3.143E1,1.59E0,-1.055E1)); +#1189=LINE('',#1188,#1161); +#1190=CARTESIAN_POINT('',(-3.143E1,9.5E-1,-1.055E1)); +#1191=LINE('',#1190,#1164); +#1192=CARTESIAN_POINT('',(-3.207E1,9.5E-1,-1.055E1)); +#1193=LINE('',#1192,#1167); +#1194=CARTESIAN_POINT('',(-3.207E1,1.59E0,-1.055E1)); +#1195=LINE('',#1194,#971); +#1196=CARTESIAN_POINT('',(-2.889E1,1.59E0,-1.055E1)); +#1197=LINE('',#1196,#1161); +#1198=CARTESIAN_POINT('',(-2.889E1,9.5E-1,-1.055E1)); +#1199=LINE('',#1198,#1164); +#1200=CARTESIAN_POINT('',(-2.953E1,9.5E-1,-1.055E1)); +#1201=LINE('',#1200,#1167); +#1202=CARTESIAN_POINT('',(-2.953E1,1.59E0,-1.055E1)); +#1203=LINE('',#1202,#971); +#1204=CARTESIAN_POINT('',(-2.635E1,1.59E0,-1.055E1)); +#1205=LINE('',#1204,#1161); +#1206=CARTESIAN_POINT('',(-2.635E1,9.5E-1,-1.055E1)); +#1207=LINE('',#1206,#1164); +#1208=CARTESIAN_POINT('',(-2.699E1,9.5E-1,-1.055E1)); +#1209=LINE('',#1208,#1167); +#1210=CARTESIAN_POINT('',(-2.699E1,1.59E0,-1.055E1)); +#1211=LINE('',#1210,#971); +#1212=CARTESIAN_POINT('',(-2.381E1,1.59E0,-1.055E1)); +#1213=LINE('',#1212,#1161); +#1214=CARTESIAN_POINT('',(-2.381E1,9.5E-1,-1.055E1)); +#1215=LINE('',#1214,#1164); +#1216=CARTESIAN_POINT('',(-2.445E1,9.5E-1,-1.055E1)); +#1217=LINE('',#1216,#1167); +#1218=CARTESIAN_POINT('',(-2.445E1,1.59E0,-1.055E1)); +#1219=LINE('',#1218,#971); +#1220=CARTESIAN_POINT('',(-2.127E1,1.59E0,-1.055E1)); +#1221=LINE('',#1220,#1161); +#1222=CARTESIAN_POINT('',(-2.127E1,9.5E-1,-1.055E1)); +#1223=LINE('',#1222,#1164); +#1224=CARTESIAN_POINT('',(-2.191E1,9.5E-1,-1.055E1)); +#1225=LINE('',#1224,#1167); +#1226=CARTESIAN_POINT('',(-2.191E1,1.59E0,-1.055E1)); +#1227=LINE('',#1226,#971); +#1228=CARTESIAN_POINT('',(-1.873E1,1.59E0,-1.055E1)); +#1229=LINE('',#1228,#1161); +#1230=CARTESIAN_POINT('',(-1.873E1,9.5E-1,-1.055E1)); +#1231=LINE('',#1230,#1164); +#1232=CARTESIAN_POINT('',(-1.937E1,9.5E-1,-1.055E1)); +#1233=LINE('',#1232,#1167); +#1234=CARTESIAN_POINT('',(-1.937E1,1.59E0,-1.055E1)); +#1235=LINE('',#1234,#971); +#1236=CARTESIAN_POINT('',(-1.619E1,1.59E0,-1.055E1)); +#1237=LINE('',#1236,#1161); +#1238=CARTESIAN_POINT('',(-1.619E1,9.5E-1,-1.055E1)); +#1239=LINE('',#1238,#1164); +#1240=CARTESIAN_POINT('',(-1.683E1,9.5E-1,-1.055E1)); +#1241=LINE('',#1240,#1167); +#1242=CARTESIAN_POINT('',(-1.683E1,1.59E0,-1.055E1)); +#1243=LINE('',#1242,#971); +#1244=CARTESIAN_POINT('',(-1.365E1,1.59E0,-1.055E1)); +#1245=LINE('',#1244,#1161); +#1246=CARTESIAN_POINT('',(-1.365E1,9.5E-1,-1.055E1)); +#1247=LINE('',#1246,#1164); +#1248=CARTESIAN_POINT('',(-1.429E1,9.5E-1,-1.055E1)); +#1249=LINE('',#1248,#1167); +#1250=CARTESIAN_POINT('',(-1.429E1,1.59E0,-1.055E1)); +#1251=LINE('',#1250,#971); +#1252=CARTESIAN_POINT('',(-1.111E1,1.59E0,-1.055E1)); +#1253=LINE('',#1252,#1161); +#1254=CARTESIAN_POINT('',(-1.111E1,9.5E-1,-1.055E1)); +#1255=LINE('',#1254,#1164); +#1256=CARTESIAN_POINT('',(-1.175E1,9.5E-1,-1.055E1)); +#1257=LINE('',#1256,#1167); +#1258=CARTESIAN_POINT('',(-1.175E1,1.59E0,-1.055E1)); +#1259=LINE('',#1258,#971); +#1260=CARTESIAN_POINT('',(-8.57E0,1.59E0,-1.055E1)); +#1261=LINE('',#1260,#1161); +#1262=CARTESIAN_POINT('',(-8.57E0,9.5E-1,-1.055E1)); +#1263=LINE('',#1262,#1164); +#1264=DIRECTION('',(-1.110223024625E-14,1.E0,0.E0)); +#1265=VECTOR('',#1264,6.4E-1); +#1266=CARTESIAN_POINT('',(-9.21E0,9.5E-1,-1.055E1)); +#1267=LINE('',#1266,#1265); +#1268=CARTESIAN_POINT('',(-9.21E0,1.59E0,-1.055E1)); +#1269=LINE('',#1268,#971); +#1270=CARTESIAN_POINT('',(-6.03E0,1.59E0,-1.055E1)); +#1271=LINE('',#1270,#1161); +#1272=CARTESIAN_POINT('',(-6.03E0,9.5E-1,-1.055E1)); +#1273=LINE('',#1272,#1164); +#1274=CARTESIAN_POINT('',(-6.67E0,9.5E-1,-1.055E1)); +#1275=LINE('',#1274,#1167); +#1276=CARTESIAN_POINT('',(-6.67E0,1.59E0,-1.055E1)); +#1277=LINE('',#1276,#971); +#1278=CARTESIAN_POINT('',(-3.49E0,1.59E0,-1.055E1)); +#1279=LINE('',#1278,#1161); +#1280=CARTESIAN_POINT('',(-3.49E0,9.5E-1,-1.055E1)); +#1281=LINE('',#1280,#1164); +#1282=CARTESIAN_POINT('',(-4.13E0,9.5E-1,-1.055E1)); +#1283=LINE('',#1282,#1167); +#1284=CARTESIAN_POINT('',(-4.13E0,1.59E0,-1.055E1)); +#1285=LINE('',#1284,#971); +#1286=CARTESIAN_POINT('',(-9.5E-1,1.59E0,-1.055E1)); +#1287=LINE('',#1286,#1161); +#1288=CARTESIAN_POINT('',(-9.5E-1,9.5E-1,-1.055E1)); +#1289=LINE('',#1288,#1164); +#1290=CARTESIAN_POINT('',(-1.59E0,9.5E-1,-1.055E1)); +#1291=LINE('',#1290,#1167); +#1292=CARTESIAN_POINT('',(-1.59E0,1.59E0,-1.055E1)); +#1293=LINE('',#1292,#971); +#1294=CARTESIAN_POINT('',(1.59E0,1.59E0,-1.055E1)); +#1295=LINE('',#1294,#1161); +#1296=CARTESIAN_POINT('',(1.59E0,9.5E-1,-1.055E1)); +#1297=LINE('',#1296,#1164); +#1298=CARTESIAN_POINT('',(9.5E-1,9.5E-1,-1.055E1)); +#1299=LINE('',#1298,#1167); +#1300=CARTESIAN_POINT('',(9.5E-1,1.59E0,-1.055E1)); +#1301=LINE('',#1300,#971); +#1302=CARTESIAN_POINT('',(4.13E0,1.59E0,-1.055E1)); +#1303=LINE('',#1302,#1161); +#1304=CARTESIAN_POINT('',(4.13E0,9.5E-1,-1.055E1)); +#1305=LINE('',#1304,#1164); +#1306=CARTESIAN_POINT('',(3.49E0,9.5E-1,-1.055E1)); +#1307=LINE('',#1306,#1167); +#1308=CARTESIAN_POINT('',(3.49E0,1.59E0,-1.055E1)); +#1309=LINE('',#1308,#971); +#1310=CARTESIAN_POINT('',(6.67E0,1.59E0,-1.055E1)); +#1311=LINE('',#1310,#1161); +#1312=CARTESIAN_POINT('',(6.67E0,9.5E-1,-1.055E1)); +#1313=LINE('',#1312,#1164); +#1314=CARTESIAN_POINT('',(6.03E0,9.5E-1,-1.055E1)); +#1315=LINE('',#1314,#1167); +#1316=CARTESIAN_POINT('',(6.03E0,1.59E0,-1.055E1)); +#1317=LINE('',#1316,#971); +#1318=CARTESIAN_POINT('',(9.21E0,1.59E0,-1.055E1)); +#1319=LINE('',#1318,#1161); +#1320=CARTESIAN_POINT('',(9.21E0,9.5E-1,-1.055E1)); +#1321=LINE('',#1320,#1164); +#1322=CARTESIAN_POINT('',(8.57E0,9.5E-1,-1.055E1)); +#1323=LINE('',#1322,#1167); +#1324=CARTESIAN_POINT('',(8.57E0,1.59E0,-1.055E1)); +#1325=LINE('',#1324,#971); +#1326=CARTESIAN_POINT('',(1.175E1,1.59E0,-1.055E1)); +#1327=LINE('',#1326,#1161); +#1328=CARTESIAN_POINT('',(1.175E1,9.5E-1,-1.055E1)); +#1329=LINE('',#1328,#1164); +#1330=CARTESIAN_POINT('',(1.111E1,9.5E-1,-1.055E1)); +#1331=LINE('',#1330,#1167); +#1332=CARTESIAN_POINT('',(1.111E1,1.59E0,-1.055E1)); +#1333=LINE('',#1332,#971); +#1334=CARTESIAN_POINT('',(1.429E1,1.59E0,-1.055E1)); +#1335=LINE('',#1334,#1161); +#1336=CARTESIAN_POINT('',(1.429E1,9.5E-1,-1.055E1)); +#1337=LINE('',#1336,#1164); +#1338=CARTESIAN_POINT('',(1.365E1,9.5E-1,-1.055E1)); +#1339=LINE('',#1338,#1167); +#1340=CARTESIAN_POINT('',(1.365E1,1.59E0,-1.055E1)); +#1341=LINE('',#1340,#971); +#1342=CARTESIAN_POINT('',(1.683E1,1.59E0,-1.055E1)); +#1343=LINE('',#1342,#1161); +#1344=CARTESIAN_POINT('',(1.683E1,9.5E-1,-1.055E1)); +#1345=LINE('',#1344,#1164); +#1346=CARTESIAN_POINT('',(1.619E1,9.5E-1,-1.055E1)); +#1347=LINE('',#1346,#1167); +#1348=CARTESIAN_POINT('',(1.619E1,1.59E0,-1.055E1)); +#1349=LINE('',#1348,#971); +#1350=CARTESIAN_POINT('',(1.937E1,1.59E0,-1.055E1)); +#1351=LINE('',#1350,#1161); +#1352=CARTESIAN_POINT('',(1.937E1,9.5E-1,-1.055E1)); +#1353=LINE('',#1352,#1164); +#1354=CARTESIAN_POINT('',(1.873E1,9.5E-1,-1.055E1)); +#1355=LINE('',#1354,#1167); +#1356=CARTESIAN_POINT('',(1.873E1,1.59E0,-1.055E1)); +#1357=LINE('',#1356,#971); +#1358=CARTESIAN_POINT('',(2.191E1,1.59E0,-1.055E1)); +#1359=LINE('',#1358,#1161); +#1360=CARTESIAN_POINT('',(2.191E1,9.5E-1,-1.055E1)); +#1361=LINE('',#1360,#1164); +#1362=CARTESIAN_POINT('',(2.127E1,9.5E-1,-1.055E1)); +#1363=LINE('',#1362,#1167); +#1364=CARTESIAN_POINT('',(2.127E1,1.59E0,-1.055E1)); +#1365=LINE('',#1364,#971); +#1366=CARTESIAN_POINT('',(2.445E1,1.59E0,-1.055E1)); +#1367=LINE('',#1366,#1161); +#1368=CARTESIAN_POINT('',(2.445E1,9.5E-1,-1.055E1)); +#1369=LINE('',#1368,#1164); +#1370=CARTESIAN_POINT('',(2.381E1,9.5E-1,-1.055E1)); +#1371=LINE('',#1370,#1167); +#1372=CARTESIAN_POINT('',(2.381E1,1.59E0,-1.055E1)); +#1373=LINE('',#1372,#971); +#1374=CARTESIAN_POINT('',(2.699E1,1.59E0,-1.055E1)); +#1375=LINE('',#1374,#1161); +#1376=CARTESIAN_POINT('',(2.699E1,9.5E-1,-1.055E1)); +#1377=LINE('',#1376,#1164); +#1378=CARTESIAN_POINT('',(2.635E1,9.5E-1,-1.055E1)); +#1379=LINE('',#1378,#1167); +#1380=CARTESIAN_POINT('',(2.635E1,1.59E0,-1.055E1)); +#1381=LINE('',#1380,#971); +#1382=CARTESIAN_POINT('',(2.953E1,1.59E0,-1.055E1)); +#1383=LINE('',#1382,#1161); +#1384=CARTESIAN_POINT('',(2.953E1,9.5E-1,-1.055E1)); +#1385=LINE('',#1384,#1164); +#1386=CARTESIAN_POINT('',(2.889E1,9.5E-1,-1.055E1)); +#1387=LINE('',#1386,#1167); +#1388=CARTESIAN_POINT('',(2.889E1,1.59E0,-1.055E1)); +#1389=LINE('',#1388,#971); +#1390=CARTESIAN_POINT('',(3.207E1,1.59E0,-1.055E1)); +#1391=LINE('',#1390,#1161); +#1392=CARTESIAN_POINT('',(3.207E1,9.5E-1,-1.055E1)); +#1393=LINE('',#1392,#1164); +#1394=CARTESIAN_POINT('',(3.143E1,9.5E-1,-1.055E1)); +#1395=LINE('',#1394,#1167); +#1396=CARTESIAN_POINT('',(3.143E1,1.59E0,-1.055E1)); +#1397=LINE('',#1396,#971); +#1398=CARTESIAN_POINT('',(3.461E1,1.59E0,-1.055E1)); +#1399=LINE('',#1398,#1161); +#1400=CARTESIAN_POINT('',(3.461E1,9.5E-1,-1.055E1)); +#1401=LINE('',#1400,#1164); +#1402=CARTESIAN_POINT('',(3.397E1,9.5E-1,-1.055E1)); +#1403=LINE('',#1402,#1167); +#1404=CARTESIAN_POINT('',(3.397E1,1.59E0,-1.055E1)); +#1405=LINE('',#1404,#971); +#1406=CARTESIAN_POINT('',(3.715E1,1.59E0,-1.055E1)); +#1407=LINE('',#1406,#1161); +#1408=CARTESIAN_POINT('',(3.715E1,9.5E-1,-1.055E1)); +#1409=LINE('',#1408,#1164); +#1410=CARTESIAN_POINT('',(3.651E1,9.5E-1,-1.055E1)); +#1411=LINE('',#1410,#1167); +#1412=CARTESIAN_POINT('',(3.651E1,1.59E0,-1.055E1)); +#1413=LINE('',#1412,#971); +#1414=CARTESIAN_POINT('',(3.969E1,1.59E0,-1.055E1)); +#1415=LINE('',#1414,#1161); +#1416=CARTESIAN_POINT('',(3.969E1,9.5E-1,-1.055E1)); +#1417=LINE('',#1416,#1164); +#1418=CARTESIAN_POINT('',(3.905E1,9.5E-1,-1.055E1)); +#1419=LINE('',#1418,#1167); +#1420=CARTESIAN_POINT('',(3.905E1,1.59E0,-1.055E1)); +#1421=LINE('',#1420,#971); +#1422=VECTOR('',#72,6.05E-1); +#1423=LINE('',#965,#1422); +#1424=CARTESIAN_POINT('',(-1.873E1,3.49E0,-1.055E1)); +#1425=LINE('',#1424,#1164); +#1426=LINE('',#1156,#1422); +#1427=VECTOR('',#76,1.9E0); +#1428=LINE('',#1156,#1427); +#1429=LINE('',#1154,#1422); +#1430=CARTESIAN_POINT('',(-2.127E1,3.49E0,-1.055E1)); +#1431=LINE('',#1430,#1164); +#1432=LINE('',#1150,#1422); +#1433=LINE('',#1150,#1427); +#1434=LINE('',#1148,#1422); +#1435=CARTESIAN_POINT('',(-2.381E1,3.49E0,-1.055E1)); +#1436=LINE('',#1435,#1164); +#1437=LINE('',#1144,#1422); +#1438=LINE('',#1144,#1427); +#1439=LINE('',#1142,#1422); +#1440=CARTESIAN_POINT('',(-2.635E1,3.49E0,-1.055E1)); +#1441=LINE('',#1440,#1164); +#1442=LINE('',#1138,#1422); +#1443=LINE('',#1138,#1427); +#1444=LINE('',#1136,#1422); +#1445=CARTESIAN_POINT('',(-2.889E1,3.49E0,-1.055E1)); +#1446=LINE('',#1445,#1164); +#1447=LINE('',#1132,#1422); +#1448=LINE('',#1132,#1427); +#1449=LINE('',#1130,#1422); +#1450=CARTESIAN_POINT('',(-3.143E1,3.49E0,-1.055E1)); +#1451=LINE('',#1450,#1164); +#1452=LINE('',#1126,#1422); +#1453=LINE('',#1126,#1427); +#1454=LINE('',#1124,#1422); +#1455=CARTESIAN_POINT('',(-3.397E1,3.49E0,-1.055E1)); +#1456=LINE('',#1455,#1164); +#1457=LINE('',#1120,#1422); +#1458=LINE('',#1120,#1427); +#1459=LINE('',#1118,#1422); +#1460=CARTESIAN_POINT('',(-3.651E1,3.49E0,-1.055E1)); +#1461=LINE('',#1460,#1164); +#1462=LINE('',#1114,#1422); +#1463=LINE('',#1114,#1427); +#1464=LINE('',#1112,#1422); +#1465=CARTESIAN_POINT('',(-3.905E1,3.49E0,-1.055E1)); +#1466=LINE('',#1465,#1164); +#1467=LINE('',#1108,#1422); +#1468=VECTOR('',#76,1.11E0); +#1469=LINE('',#1108,#1468); +#1470=CARTESIAN_POINT('',(4.08E1,4.095E0,-1.055E1)); +#1471=LINE('',#1470,#1468); +#1472=LINE('',#1106,#1422); +#1473=CARTESIAN_POINT('',(3.969E1,3.49E0,-1.055E1)); +#1474=LINE('',#1473,#1164); +#1475=LINE('',#1102,#1422); +#1476=LINE('',#1102,#1427); +#1477=LINE('',#1100,#1422); +#1478=CARTESIAN_POINT('',(3.715E1,3.49E0,-1.055E1)); +#1479=LINE('',#1478,#1164); +#1480=LINE('',#1096,#1422); +#1481=LINE('',#1096,#1427); +#1482=LINE('',#1094,#1422); +#1483=CARTESIAN_POINT('',(3.461E1,3.49E0,-1.055E1)); +#1484=LINE('',#1483,#1164); +#1485=LINE('',#1090,#1422); +#1486=LINE('',#1090,#1427); +#1487=LINE('',#1088,#1422); +#1488=CARTESIAN_POINT('',(3.207E1,3.49E0,-1.055E1)); +#1489=LINE('',#1488,#1164); +#1490=LINE('',#1084,#1422); +#1491=LINE('',#1084,#1427); +#1492=LINE('',#1082,#1422); +#1493=CARTESIAN_POINT('',(2.953E1,3.49E0,-1.055E1)); +#1494=LINE('',#1493,#1164); +#1495=LINE('',#1078,#1422); +#1496=LINE('',#1078,#1427); +#1497=LINE('',#1076,#1422); +#1498=CARTESIAN_POINT('',(2.699E1,3.49E0,-1.055E1)); +#1499=LINE('',#1498,#1164); +#1500=LINE('',#1072,#1422); +#1501=LINE('',#1072,#1427); +#1502=LINE('',#1070,#1422); +#1503=CARTESIAN_POINT('',(2.445E1,3.49E0,-1.055E1)); +#1504=LINE('',#1503,#1164); +#1505=LINE('',#1066,#1422); +#1506=LINE('',#1066,#1427); +#1507=LINE('',#1064,#1422); +#1508=CARTESIAN_POINT('',(2.191E1,3.49E0,-1.055E1)); +#1509=LINE('',#1508,#1164); +#1510=LINE('',#1060,#1422); +#1511=LINE('',#1060,#1427); +#1512=LINE('',#1058,#1422); +#1513=CARTESIAN_POINT('',(1.937E1,3.49E0,-1.055E1)); +#1514=LINE('',#1513,#1164); +#1515=LINE('',#1054,#1422); +#1516=LINE('',#1054,#1427); +#1517=LINE('',#1052,#1422); +#1518=CARTESIAN_POINT('',(1.683E1,3.49E0,-1.055E1)); +#1519=LINE('',#1518,#1164); +#1520=LINE('',#1048,#1422); +#1521=LINE('',#1048,#1427); +#1522=LINE('',#1046,#1422); +#1523=CARTESIAN_POINT('',(1.429E1,3.49E0,-1.055E1)); +#1524=LINE('',#1523,#1164); +#1525=LINE('',#1042,#1422); +#1526=LINE('',#1042,#1427); +#1527=LINE('',#1040,#1422); +#1528=CARTESIAN_POINT('',(1.175E1,3.49E0,-1.055E1)); +#1529=LINE('',#1528,#1164); +#1530=LINE('',#1036,#1422); +#1531=LINE('',#1036,#1427); +#1532=LINE('',#1034,#1422); +#1533=CARTESIAN_POINT('',(9.21E0,3.49E0,-1.055E1)); +#1534=LINE('',#1533,#1164); +#1535=LINE('',#1030,#1422); +#1536=LINE('',#1030,#1427); +#1537=LINE('',#1028,#1422); +#1538=CARTESIAN_POINT('',(6.67E0,3.49E0,-1.055E1)); +#1539=LINE('',#1538,#1164); +#1540=LINE('',#1024,#1422); +#1541=LINE('',#1024,#1427); +#1542=LINE('',#1022,#1422); +#1543=CARTESIAN_POINT('',(4.13E0,3.49E0,-1.055E1)); +#1544=LINE('',#1543,#1164); +#1545=LINE('',#1018,#1422); +#1546=LINE('',#1018,#1427); +#1547=LINE('',#1016,#1422); +#1548=CARTESIAN_POINT('',(1.59E0,3.49E0,-1.055E1)); +#1549=LINE('',#1548,#1164); +#1550=LINE('',#1012,#1422); +#1551=LINE('',#1012,#1427); +#1552=LINE('',#1010,#1422); +#1553=CARTESIAN_POINT('',(-9.5E-1,3.49E0,-1.055E1)); +#1554=LINE('',#1553,#1164); +#1555=LINE('',#1006,#1422); +#1556=LINE('',#1006,#1427); +#1557=LINE('',#1004,#1422); +#1558=CARTESIAN_POINT('',(-3.49E0,3.49E0,-1.055E1)); +#1559=LINE('',#1558,#1164); +#1560=LINE('',#1000,#1422); +#1561=LINE('',#1000,#1427); +#1562=LINE('',#998,#1422); +#1563=CARTESIAN_POINT('',(-6.03E0,3.49E0,-1.055E1)); +#1564=LINE('',#1563,#1164); +#1565=LINE('',#994,#1422); +#1566=LINE('',#994,#1427); +#1567=LINE('',#992,#1422); +#1568=CARTESIAN_POINT('',(-8.57E0,3.49E0,-1.055E1)); +#1569=LINE('',#1568,#1164); +#1570=LINE('',#988,#1422); +#1571=LINE('',#988,#1427); +#1572=LINE('',#984,#1422); +#1573=CARTESIAN_POINT('',(-1.111E1,3.49E0,-1.055E1)); +#1574=LINE('',#1573,#1164); +#1575=LINE('',#978,#1422); +#1576=LINE('',#978,#1427); +#1577=LINE('',#974,#1422); +#1578=CARTESIAN_POINT('',(-1.365E1,3.49E0,-1.055E1)); +#1579=LINE('',#1578,#1164); +#1580=LINE('',#969,#1422); +#1581=VECTOR('',#918,1.277E1); +#1582=LINE('',#1162,#1581); +#1583=LINE('',#1170,#1581); +#1584=LINE('',#1168,#1581); +#1585=LINE('',#1165,#1581); +#1586=CARTESIAN_POINT('',(-3.905E1,1.59E0,-2.332E1)); +#1587=LINE('',#1586,#1161); +#1588=CARTESIAN_POINT('',(-3.969E1,1.59E0,-2.332E1)); +#1589=LINE('',#1588,#971); +#1590=CARTESIAN_POINT('',(-3.969E1,9.5E-1,-2.332E1)); +#1591=LINE('',#1590,#1167); +#1592=CARTESIAN_POINT('',(-3.905E1,9.5E-1,-2.332E1)); +#1593=LINE('',#1592,#1164); +#1594=LINE('',#1172,#1581); +#1595=LINE('',#1178,#1581); +#1596=LINE('',#1176,#1581); +#1597=LINE('',#1174,#1581); +#1598=CARTESIAN_POINT('',(-3.651E1,1.59E0,-2.332E1)); +#1599=LINE('',#1598,#1161); +#1600=CARTESIAN_POINT('',(-3.715E1,1.59E0,-2.332E1)); +#1601=LINE('',#1600,#971); +#1602=CARTESIAN_POINT('',(-3.715E1,9.5E-1,-2.332E1)); +#1603=LINE('',#1602,#1167); +#1604=CARTESIAN_POINT('',(-3.651E1,9.5E-1,-2.332E1)); +#1605=LINE('',#1604,#1164); +#1606=LINE('',#1180,#1581); +#1607=LINE('',#1186,#1581); +#1608=LINE('',#1184,#1581); +#1609=LINE('',#1182,#1581); +#1610=CARTESIAN_POINT('',(-3.397E1,1.59E0,-2.332E1)); +#1611=LINE('',#1610,#1161); +#1612=CARTESIAN_POINT('',(-3.461E1,1.59E0,-2.332E1)); +#1613=LINE('',#1612,#971); +#1614=CARTESIAN_POINT('',(-3.461E1,9.5E-1,-2.332E1)); +#1615=LINE('',#1614,#1167); +#1616=CARTESIAN_POINT('',(-3.397E1,9.5E-1,-2.332E1)); +#1617=LINE('',#1616,#1164); +#1618=LINE('',#1188,#1581); +#1619=LINE('',#1194,#1581); +#1620=LINE('',#1192,#1581); +#1621=LINE('',#1190,#1581); +#1622=CARTESIAN_POINT('',(-3.143E1,1.59E0,-2.332E1)); +#1623=LINE('',#1622,#1161); +#1624=CARTESIAN_POINT('',(-3.207E1,1.59E0,-2.332E1)); +#1625=LINE('',#1624,#971); +#1626=CARTESIAN_POINT('',(-3.207E1,9.5E-1,-2.332E1)); +#1627=LINE('',#1626,#1167); +#1628=CARTESIAN_POINT('',(-3.143E1,9.5E-1,-2.332E1)); +#1629=LINE('',#1628,#1164); +#1630=LINE('',#1196,#1581); +#1631=LINE('',#1202,#1581); +#1632=LINE('',#1200,#1581); +#1633=LINE('',#1198,#1581); +#1634=CARTESIAN_POINT('',(-2.889E1,1.59E0,-2.332E1)); +#1635=LINE('',#1634,#1161); +#1636=CARTESIAN_POINT('',(-2.953E1,1.59E0,-2.332E1)); +#1637=LINE('',#1636,#971); +#1638=CARTESIAN_POINT('',(-2.953E1,9.5E-1,-2.332E1)); +#1639=LINE('',#1638,#1167); +#1640=CARTESIAN_POINT('',(-2.889E1,9.5E-1,-2.332E1)); +#1641=LINE('',#1640,#1164); +#1642=LINE('',#1204,#1581); +#1643=LINE('',#1210,#1581); +#1644=LINE('',#1208,#1581); +#1645=LINE('',#1206,#1581); +#1646=CARTESIAN_POINT('',(-2.635E1,1.59E0,-2.332E1)); +#1647=LINE('',#1646,#1161); +#1648=CARTESIAN_POINT('',(-2.699E1,1.59E0,-2.332E1)); +#1649=LINE('',#1648,#971); +#1650=CARTESIAN_POINT('',(-2.699E1,9.5E-1,-2.332E1)); +#1651=LINE('',#1650,#1167); +#1652=CARTESIAN_POINT('',(-2.635E1,9.5E-1,-2.332E1)); +#1653=LINE('',#1652,#1164); +#1654=LINE('',#1212,#1581); +#1655=LINE('',#1218,#1581); +#1656=LINE('',#1216,#1581); +#1657=LINE('',#1214,#1581); +#1658=CARTESIAN_POINT('',(-2.381E1,1.59E0,-2.332E1)); +#1659=LINE('',#1658,#1161); +#1660=CARTESIAN_POINT('',(-2.445E1,1.59E0,-2.332E1)); +#1661=LINE('',#1660,#971); +#1662=CARTESIAN_POINT('',(-2.445E1,9.5E-1,-2.332E1)); +#1663=LINE('',#1662,#1167); +#1664=CARTESIAN_POINT('',(-2.381E1,9.5E-1,-2.332E1)); +#1665=LINE('',#1664,#1164); +#1666=LINE('',#1220,#1581); +#1667=LINE('',#1226,#1581); +#1668=LINE('',#1224,#1581); +#1669=LINE('',#1222,#1581); +#1670=CARTESIAN_POINT('',(-2.127E1,1.59E0,-2.332E1)); +#1671=LINE('',#1670,#1161); +#1672=CARTESIAN_POINT('',(-2.191E1,1.59E0,-2.332E1)); +#1673=LINE('',#1672,#971); +#1674=CARTESIAN_POINT('',(-2.191E1,9.5E-1,-2.332E1)); +#1675=LINE('',#1674,#1167); +#1676=CARTESIAN_POINT('',(-2.127E1,9.5E-1,-2.332E1)); +#1677=LINE('',#1676,#1164); +#1678=LINE('',#1228,#1581); +#1679=LINE('',#1234,#1581); +#1680=LINE('',#1232,#1581); +#1681=LINE('',#1230,#1581); +#1682=CARTESIAN_POINT('',(-1.873E1,1.59E0,-2.332E1)); +#1683=LINE('',#1682,#1161); +#1684=CARTESIAN_POINT('',(-1.937E1,1.59E0,-2.332E1)); +#1685=LINE('',#1684,#971); +#1686=CARTESIAN_POINT('',(-1.937E1,9.5E-1,-2.332E1)); +#1687=LINE('',#1686,#1167); +#1688=CARTESIAN_POINT('',(-1.873E1,9.5E-1,-2.332E1)); +#1689=LINE('',#1688,#1164); +#1690=LINE('',#1236,#1581); +#1691=LINE('',#1242,#1581); +#1692=LINE('',#1240,#1581); +#1693=LINE('',#1238,#1581); +#1694=CARTESIAN_POINT('',(-1.619E1,1.59E0,-2.332E1)); +#1695=LINE('',#1694,#1161); +#1696=CARTESIAN_POINT('',(-1.683E1,1.59E0,-2.332E1)); +#1697=LINE('',#1696,#971); +#1698=CARTESIAN_POINT('',(-1.683E1,9.5E-1,-2.332E1)); +#1699=LINE('',#1698,#1167); +#1700=CARTESIAN_POINT('',(-1.619E1,9.5E-1,-2.332E1)); +#1701=LINE('',#1700,#1164); +#1702=LINE('',#1244,#1581); +#1703=LINE('',#1250,#1581); +#1704=LINE('',#1248,#1581); +#1705=LINE('',#1246,#1581); +#1706=CARTESIAN_POINT('',(-1.365E1,1.59E0,-2.332E1)); +#1707=LINE('',#1706,#1161); +#1708=CARTESIAN_POINT('',(-1.429E1,1.59E0,-2.332E1)); +#1709=LINE('',#1708,#971); +#1710=CARTESIAN_POINT('',(-1.429E1,9.5E-1,-2.332E1)); +#1711=LINE('',#1710,#1167); +#1712=CARTESIAN_POINT('',(-1.365E1,9.5E-1,-2.332E1)); +#1713=LINE('',#1712,#1164); +#1714=LINE('',#1252,#1581); +#1715=LINE('',#1258,#1581); +#1716=LINE('',#1256,#1581); +#1717=LINE('',#1254,#1581); +#1718=CARTESIAN_POINT('',(-1.111E1,1.59E0,-2.332E1)); +#1719=LINE('',#1718,#1161); +#1720=CARTESIAN_POINT('',(-1.175E1,1.59E0,-2.332E1)); +#1721=LINE('',#1720,#971); +#1722=CARTESIAN_POINT('',(-1.175E1,9.5E-1,-2.332E1)); +#1723=LINE('',#1722,#1167); +#1724=CARTESIAN_POINT('',(-1.111E1,9.5E-1,-2.332E1)); +#1725=LINE('',#1724,#1164); +#1726=LINE('',#1260,#1581); +#1727=LINE('',#1268,#1581); +#1728=LINE('',#1266,#1581); +#1729=LINE('',#1262,#1581); +#1730=CARTESIAN_POINT('',(-8.57E0,1.59E0,-2.332E1)); +#1731=LINE('',#1730,#1161); +#1732=CARTESIAN_POINT('',(-9.21E0,1.59E0,-2.332E1)); +#1733=LINE('',#1732,#971); +#1734=CARTESIAN_POINT('',(-9.21E0,9.5E-1,-2.332E1)); +#1735=LINE('',#1734,#1265); +#1736=CARTESIAN_POINT('',(-8.57E0,9.5E-1,-2.332E1)); +#1737=LINE('',#1736,#1164); +#1738=LINE('',#1270,#1581); +#1739=LINE('',#1276,#1581); +#1740=LINE('',#1274,#1581); +#1741=LINE('',#1272,#1581); +#1742=CARTESIAN_POINT('',(-6.03E0,1.59E0,-2.332E1)); +#1743=LINE('',#1742,#1161); +#1744=CARTESIAN_POINT('',(-6.67E0,1.59E0,-2.332E1)); +#1745=LINE('',#1744,#971); +#1746=CARTESIAN_POINT('',(-6.67E0,9.5E-1,-2.332E1)); +#1747=LINE('',#1746,#1167); +#1748=CARTESIAN_POINT('',(-6.03E0,9.5E-1,-2.332E1)); +#1749=LINE('',#1748,#1164); +#1750=LINE('',#1278,#1581); +#1751=LINE('',#1284,#1581); +#1752=LINE('',#1282,#1581); +#1753=LINE('',#1280,#1581); +#1754=CARTESIAN_POINT('',(-3.49E0,1.59E0,-2.332E1)); +#1755=LINE('',#1754,#1161); +#1756=CARTESIAN_POINT('',(-4.13E0,1.59E0,-2.332E1)); +#1757=LINE('',#1756,#971); +#1758=CARTESIAN_POINT('',(-4.13E0,9.5E-1,-2.332E1)); +#1759=LINE('',#1758,#1167); +#1760=CARTESIAN_POINT('',(-3.49E0,9.5E-1,-2.332E1)); +#1761=LINE('',#1760,#1164); +#1762=LINE('',#1286,#1581); +#1763=LINE('',#1292,#1581); +#1764=LINE('',#1290,#1581); +#1765=LINE('',#1288,#1581); +#1766=CARTESIAN_POINT('',(-9.5E-1,1.59E0,-2.332E1)); +#1767=LINE('',#1766,#1161); +#1768=CARTESIAN_POINT('',(-1.59E0,1.59E0,-2.332E1)); +#1769=LINE('',#1768,#971); +#1770=CARTESIAN_POINT('',(-1.59E0,9.5E-1,-2.332E1)); +#1771=LINE('',#1770,#1167); +#1772=CARTESIAN_POINT('',(-9.5E-1,9.5E-1,-2.332E1)); +#1773=LINE('',#1772,#1164); +#1774=LINE('',#1294,#1581); +#1775=LINE('',#1300,#1581); +#1776=LINE('',#1298,#1581); +#1777=LINE('',#1296,#1581); +#1778=CARTESIAN_POINT('',(1.59E0,1.59E0,-2.332E1)); +#1779=LINE('',#1778,#1161); +#1780=CARTESIAN_POINT('',(9.5E-1,1.59E0,-2.332E1)); +#1781=LINE('',#1780,#971); +#1782=CARTESIAN_POINT('',(9.5E-1,9.5E-1,-2.332E1)); +#1783=LINE('',#1782,#1167); +#1784=CARTESIAN_POINT('',(1.59E0,9.5E-1,-2.332E1)); +#1785=LINE('',#1784,#1164); +#1786=LINE('',#1302,#1581); +#1787=LINE('',#1308,#1581); +#1788=LINE('',#1306,#1581); +#1789=LINE('',#1304,#1581); +#1790=CARTESIAN_POINT('',(4.13E0,1.59E0,-2.332E1)); +#1791=LINE('',#1790,#1161); +#1792=CARTESIAN_POINT('',(3.49E0,1.59E0,-2.332E1)); +#1793=LINE('',#1792,#971); +#1794=CARTESIAN_POINT('',(3.49E0,9.5E-1,-2.332E1)); +#1795=LINE('',#1794,#1167); +#1796=CARTESIAN_POINT('',(4.13E0,9.5E-1,-2.332E1)); +#1797=LINE('',#1796,#1164); +#1798=LINE('',#1310,#1581); +#1799=LINE('',#1316,#1581); +#1800=LINE('',#1314,#1581); +#1801=LINE('',#1312,#1581); +#1802=CARTESIAN_POINT('',(6.67E0,1.59E0,-2.332E1)); +#1803=LINE('',#1802,#1161); +#1804=CARTESIAN_POINT('',(6.03E0,1.59E0,-2.332E1)); +#1805=LINE('',#1804,#971); +#1806=CARTESIAN_POINT('',(6.03E0,9.5E-1,-2.332E1)); +#1807=LINE('',#1806,#1167); +#1808=CARTESIAN_POINT('',(6.67E0,9.5E-1,-2.332E1)); +#1809=LINE('',#1808,#1164); +#1810=LINE('',#1318,#1581); +#1811=LINE('',#1324,#1581); +#1812=LINE('',#1322,#1581); +#1813=LINE('',#1320,#1581); +#1814=CARTESIAN_POINT('',(9.21E0,1.59E0,-2.332E1)); +#1815=LINE('',#1814,#1161); +#1816=CARTESIAN_POINT('',(8.57E0,1.59E0,-2.332E1)); +#1817=LINE('',#1816,#971); +#1818=CARTESIAN_POINT('',(8.57E0,9.5E-1,-2.332E1)); +#1819=LINE('',#1818,#1167); +#1820=CARTESIAN_POINT('',(9.21E0,9.5E-1,-2.332E1)); +#1821=LINE('',#1820,#1164); +#1822=LINE('',#1326,#1581); +#1823=LINE('',#1332,#1581); +#1824=LINE('',#1330,#1581); +#1825=LINE('',#1328,#1581); +#1826=CARTESIAN_POINT('',(1.175E1,1.59E0,-2.332E1)); +#1827=LINE('',#1826,#1161); +#1828=CARTESIAN_POINT('',(1.111E1,1.59E0,-2.332E1)); +#1829=LINE('',#1828,#971); +#1830=CARTESIAN_POINT('',(1.111E1,9.5E-1,-2.332E1)); +#1831=LINE('',#1830,#1167); +#1832=CARTESIAN_POINT('',(1.175E1,9.5E-1,-2.332E1)); +#1833=LINE('',#1832,#1164); +#1834=LINE('',#1334,#1581); +#1835=LINE('',#1340,#1581); +#1836=LINE('',#1338,#1581); +#1837=LINE('',#1336,#1581); +#1838=CARTESIAN_POINT('',(1.429E1,1.59E0,-2.332E1)); +#1839=LINE('',#1838,#1161); +#1840=CARTESIAN_POINT('',(1.365E1,1.59E0,-2.332E1)); +#1841=LINE('',#1840,#971); +#1842=CARTESIAN_POINT('',(1.365E1,9.5E-1,-2.332E1)); +#1843=LINE('',#1842,#1167); +#1844=CARTESIAN_POINT('',(1.429E1,9.5E-1,-2.332E1)); +#1845=LINE('',#1844,#1164); +#1846=LINE('',#1342,#1581); +#1847=LINE('',#1348,#1581); +#1848=LINE('',#1346,#1581); +#1849=LINE('',#1344,#1581); +#1850=CARTESIAN_POINT('',(1.683E1,1.59E0,-2.332E1)); +#1851=LINE('',#1850,#1161); +#1852=CARTESIAN_POINT('',(1.619E1,1.59E0,-2.332E1)); +#1853=LINE('',#1852,#971); +#1854=CARTESIAN_POINT('',(1.619E1,9.5E-1,-2.332E1)); +#1855=LINE('',#1854,#1167); +#1856=CARTESIAN_POINT('',(1.683E1,9.5E-1,-2.332E1)); +#1857=LINE('',#1856,#1164); +#1858=LINE('',#1350,#1581); +#1859=LINE('',#1356,#1581); +#1860=LINE('',#1354,#1581); +#1861=LINE('',#1352,#1581); +#1862=CARTESIAN_POINT('',(1.937E1,1.59E0,-2.332E1)); +#1863=LINE('',#1862,#1161); +#1864=CARTESIAN_POINT('',(1.873E1,1.59E0,-2.332E1)); +#1865=LINE('',#1864,#971); +#1866=CARTESIAN_POINT('',(1.873E1,9.5E-1,-2.332E1)); +#1867=LINE('',#1866,#1167); +#1868=CARTESIAN_POINT('',(1.937E1,9.5E-1,-2.332E1)); +#1869=LINE('',#1868,#1164); +#1870=LINE('',#1358,#1581); +#1871=LINE('',#1364,#1581); +#1872=LINE('',#1362,#1581); +#1873=LINE('',#1360,#1581); +#1874=CARTESIAN_POINT('',(2.191E1,1.59E0,-2.332E1)); +#1875=LINE('',#1874,#1161); +#1876=CARTESIAN_POINT('',(2.127E1,1.59E0,-2.332E1)); +#1877=LINE('',#1876,#971); +#1878=CARTESIAN_POINT('',(2.127E1,9.5E-1,-2.332E1)); +#1879=LINE('',#1878,#1167); +#1880=CARTESIAN_POINT('',(2.191E1,9.5E-1,-2.332E1)); +#1881=LINE('',#1880,#1164); +#1882=LINE('',#1366,#1581); +#1883=LINE('',#1372,#1581); +#1884=LINE('',#1370,#1581); +#1885=LINE('',#1368,#1581); +#1886=CARTESIAN_POINT('',(2.445E1,1.59E0,-2.332E1)); +#1887=LINE('',#1886,#1161); +#1888=CARTESIAN_POINT('',(2.381E1,1.59E0,-2.332E1)); +#1889=LINE('',#1888,#971); +#1890=CARTESIAN_POINT('',(2.381E1,9.5E-1,-2.332E1)); +#1891=LINE('',#1890,#1167); +#1892=CARTESIAN_POINT('',(2.445E1,9.5E-1,-2.332E1)); +#1893=LINE('',#1892,#1164); +#1894=LINE('',#1374,#1581); +#1895=LINE('',#1380,#1581); +#1896=LINE('',#1378,#1581); +#1897=LINE('',#1376,#1581); +#1898=CARTESIAN_POINT('',(2.699E1,1.59E0,-2.332E1)); +#1899=LINE('',#1898,#1161); +#1900=CARTESIAN_POINT('',(2.635E1,1.59E0,-2.332E1)); +#1901=LINE('',#1900,#971); +#1902=CARTESIAN_POINT('',(2.635E1,9.5E-1,-2.332E1)); +#1903=LINE('',#1902,#1167); +#1904=CARTESIAN_POINT('',(2.699E1,9.5E-1,-2.332E1)); +#1905=LINE('',#1904,#1164); +#1906=LINE('',#1382,#1581); +#1907=LINE('',#1388,#1581); +#1908=LINE('',#1386,#1581); +#1909=LINE('',#1384,#1581); +#1910=CARTESIAN_POINT('',(2.953E1,1.59E0,-2.332E1)); +#1911=LINE('',#1910,#1161); +#1912=CARTESIAN_POINT('',(2.889E1,1.59E0,-2.332E1)); +#1913=LINE('',#1912,#971); +#1914=CARTESIAN_POINT('',(2.889E1,9.5E-1,-2.332E1)); +#1915=LINE('',#1914,#1167); +#1916=CARTESIAN_POINT('',(2.953E1,9.5E-1,-2.332E1)); +#1917=LINE('',#1916,#1164); +#1918=LINE('',#1390,#1581); +#1919=LINE('',#1396,#1581); +#1920=LINE('',#1394,#1581); +#1921=LINE('',#1392,#1581); +#1922=CARTESIAN_POINT('',(3.207E1,1.59E0,-2.332E1)); +#1923=LINE('',#1922,#1161); +#1924=CARTESIAN_POINT('',(3.143E1,1.59E0,-2.332E1)); +#1925=LINE('',#1924,#971); +#1926=CARTESIAN_POINT('',(3.143E1,9.5E-1,-2.332E1)); +#1927=LINE('',#1926,#1167); +#1928=CARTESIAN_POINT('',(3.207E1,9.5E-1,-2.332E1)); +#1929=LINE('',#1928,#1164); +#1930=LINE('',#1398,#1581); +#1931=LINE('',#1404,#1581); +#1932=LINE('',#1402,#1581); +#1933=LINE('',#1400,#1581); +#1934=CARTESIAN_POINT('',(3.461E1,1.59E0,-2.332E1)); +#1935=LINE('',#1934,#1161); +#1936=CARTESIAN_POINT('',(3.397E1,1.59E0,-2.332E1)); +#1937=LINE('',#1936,#971); +#1938=CARTESIAN_POINT('',(3.397E1,9.5E-1,-2.332E1)); +#1939=LINE('',#1938,#1167); +#1940=CARTESIAN_POINT('',(3.461E1,9.5E-1,-2.332E1)); +#1941=LINE('',#1940,#1164); +#1942=LINE('',#1406,#1581); +#1943=LINE('',#1412,#1581); +#1944=LINE('',#1410,#1581); +#1945=LINE('',#1408,#1581); +#1946=CARTESIAN_POINT('',(3.715E1,1.59E0,-2.332E1)); +#1947=LINE('',#1946,#1161); +#1948=CARTESIAN_POINT('',(3.651E1,1.59E0,-2.332E1)); +#1949=LINE('',#1948,#971); +#1950=CARTESIAN_POINT('',(3.651E1,9.5E-1,-2.332E1)); +#1951=LINE('',#1950,#1167); +#1952=CARTESIAN_POINT('',(3.715E1,9.5E-1,-2.332E1)); +#1953=LINE('',#1952,#1164); +#1954=LINE('',#1414,#1581); +#1955=LINE('',#1420,#1581); +#1956=LINE('',#1418,#1581); +#1957=LINE('',#1416,#1581); +#1958=CARTESIAN_POINT('',(3.969E1,1.59E0,-2.332E1)); +#1959=LINE('',#1958,#1161); +#1960=CARTESIAN_POINT('',(3.905E1,1.59E0,-2.332E1)); +#1961=LINE('',#1960,#971); +#1962=CARTESIAN_POINT('',(3.905E1,9.5E-1,-2.332E1)); +#1963=LINE('',#1962,#1167); +#1964=CARTESIAN_POINT('',(3.969E1,9.5E-1,-2.332E1)); +#1965=LINE('',#1964,#1164); +#1966=VECTOR('',#918,1.2735E1); +#1967=CARTESIAN_POINT('',(-1.873E1,4.13E0,-1.0585E1)); +#1968=LINE('',#1967,#1966); +#1969=LINE('',#1158,#1966); +#1970=CARTESIAN_POINT('',(-1.937E1,3.49E0,-1.055E1)); +#1971=LINE('',#1970,#1581); +#1972=LINE('',#1424,#1581); +#1973=CARTESIAN_POINT('',(-1.873E1,4.13E0,-2.332E1)); +#1974=LINE('',#1973,#1161); +#1975=CARTESIAN_POINT('',(-1.937E1,4.13E0,-2.332E1)); +#1976=LINE('',#1975,#971); +#1977=CARTESIAN_POINT('',(-1.937E1,3.49E0,-2.332E1)); +#1978=LINE('',#1977,#1167); +#1979=CARTESIAN_POINT('',(-1.873E1,3.49E0,-2.332E1)); +#1980=LINE('',#1979,#1164); +#1981=CARTESIAN_POINT('',(-2.127E1,4.13E0,-1.0585E1)); +#1982=LINE('',#1981,#1966); +#1983=LINE('',#1152,#1966); +#1984=CARTESIAN_POINT('',(-2.191E1,3.49E0,-1.055E1)); +#1985=LINE('',#1984,#1581); +#1986=LINE('',#1430,#1581); +#1987=CARTESIAN_POINT('',(-2.127E1,4.13E0,-2.332E1)); +#1988=LINE('',#1987,#1161); +#1989=CARTESIAN_POINT('',(-2.191E1,4.13E0,-2.332E1)); +#1990=LINE('',#1989,#971); +#1991=CARTESIAN_POINT('',(-2.191E1,3.49E0,-2.332E1)); +#1992=LINE('',#1991,#1167); +#1993=CARTESIAN_POINT('',(-2.127E1,3.49E0,-2.332E1)); +#1994=LINE('',#1993,#1164); +#1995=CARTESIAN_POINT('',(-2.381E1,4.13E0,-1.0585E1)); +#1996=LINE('',#1995,#1966); +#1997=LINE('',#1146,#1966); +#1998=CARTESIAN_POINT('',(-2.445E1,3.49E0,-1.055E1)); +#1999=LINE('',#1998,#1581); +#2000=LINE('',#1435,#1581); +#2001=CARTESIAN_POINT('',(-2.381E1,4.13E0,-2.332E1)); +#2002=LINE('',#2001,#1161); +#2003=CARTESIAN_POINT('',(-2.445E1,4.13E0,-2.332E1)); +#2004=LINE('',#2003,#971); +#2005=CARTESIAN_POINT('',(-2.445E1,3.49E0,-2.332E1)); +#2006=LINE('',#2005,#1167); +#2007=CARTESIAN_POINT('',(-2.381E1,3.49E0,-2.332E1)); +#2008=LINE('',#2007,#1164); +#2009=CARTESIAN_POINT('',(-2.635E1,4.13E0,-1.0585E1)); +#2010=LINE('',#2009,#1966); +#2011=LINE('',#1140,#1966); +#2012=CARTESIAN_POINT('',(-2.699E1,3.49E0,-1.055E1)); +#2013=LINE('',#2012,#1581); +#2014=LINE('',#1440,#1581); +#2015=CARTESIAN_POINT('',(-2.635E1,4.13E0,-2.332E1)); +#2016=LINE('',#2015,#1161); +#2017=CARTESIAN_POINT('',(-2.699E1,4.13E0,-2.332E1)); +#2018=LINE('',#2017,#971); +#2019=CARTESIAN_POINT('',(-2.699E1,3.49E0,-2.332E1)); +#2020=LINE('',#2019,#1167); +#2021=CARTESIAN_POINT('',(-2.635E1,3.49E0,-2.332E1)); +#2022=LINE('',#2021,#1164); +#2023=CARTESIAN_POINT('',(-2.889E1,4.13E0,-1.0585E1)); +#2024=LINE('',#2023,#1966); +#2025=LINE('',#1134,#1966); +#2026=CARTESIAN_POINT('',(-2.953E1,3.49E0,-1.055E1)); +#2027=LINE('',#2026,#1581); +#2028=LINE('',#1445,#1581); +#2029=CARTESIAN_POINT('',(-2.889E1,4.13E0,-2.332E1)); +#2030=LINE('',#2029,#1161); +#2031=CARTESIAN_POINT('',(-2.953E1,4.13E0,-2.332E1)); +#2032=LINE('',#2031,#971); +#2033=CARTESIAN_POINT('',(-2.953E1,3.49E0,-2.332E1)); +#2034=LINE('',#2033,#1167); +#2035=CARTESIAN_POINT('',(-2.889E1,3.49E0,-2.332E1)); +#2036=LINE('',#2035,#1164); +#2037=CARTESIAN_POINT('',(-3.143E1,4.13E0,-1.0585E1)); +#2038=LINE('',#2037,#1966); +#2039=LINE('',#1128,#1966); +#2040=CARTESIAN_POINT('',(-3.207E1,3.49E0,-1.055E1)); +#2041=LINE('',#2040,#1581); +#2042=LINE('',#1450,#1581); +#2043=CARTESIAN_POINT('',(-3.143E1,4.13E0,-2.332E1)); +#2044=LINE('',#2043,#1161); +#2045=CARTESIAN_POINT('',(-3.207E1,4.13E0,-2.332E1)); +#2046=LINE('',#2045,#971); +#2047=CARTESIAN_POINT('',(-3.207E1,3.49E0,-2.332E1)); +#2048=LINE('',#2047,#1167); +#2049=CARTESIAN_POINT('',(-3.143E1,3.49E0,-2.332E1)); +#2050=LINE('',#2049,#1164); +#2051=CARTESIAN_POINT('',(-3.397E1,4.13E0,-1.0585E1)); +#2052=LINE('',#2051,#1966); +#2053=LINE('',#1122,#1966); +#2054=CARTESIAN_POINT('',(-3.461E1,3.49E0,-1.055E1)); +#2055=LINE('',#2054,#1581); +#2056=LINE('',#1455,#1581); +#2057=CARTESIAN_POINT('',(-3.397E1,4.13E0,-2.332E1)); +#2058=LINE('',#2057,#1161); +#2059=CARTESIAN_POINT('',(-3.461E1,4.13E0,-2.332E1)); +#2060=LINE('',#2059,#971); +#2061=CARTESIAN_POINT('',(-3.461E1,3.49E0,-2.332E1)); +#2062=LINE('',#2061,#1167); +#2063=CARTESIAN_POINT('',(-3.397E1,3.49E0,-2.332E1)); +#2064=LINE('',#2063,#1164); +#2065=CARTESIAN_POINT('',(-3.651E1,4.13E0,-1.0585E1)); +#2066=LINE('',#2065,#1966); +#2067=LINE('',#1116,#1966); +#2068=CARTESIAN_POINT('',(-3.715E1,3.49E0,-1.055E1)); +#2069=LINE('',#2068,#1581); +#2070=LINE('',#1460,#1581); +#2071=CARTESIAN_POINT('',(-3.651E1,4.13E0,-2.332E1)); +#2072=LINE('',#2071,#1161); +#2073=CARTESIAN_POINT('',(-3.715E1,4.13E0,-2.332E1)); +#2074=LINE('',#2073,#971); +#2075=CARTESIAN_POINT('',(-3.715E1,3.49E0,-2.332E1)); +#2076=LINE('',#2075,#1167); +#2077=CARTESIAN_POINT('',(-3.651E1,3.49E0,-2.332E1)); +#2078=LINE('',#2077,#1164); +#2079=CARTESIAN_POINT('',(-3.905E1,4.13E0,-1.0585E1)); +#2080=LINE('',#2079,#1966); +#2081=LINE('',#1110,#1966); +#2082=CARTESIAN_POINT('',(-3.969E1,3.49E0,-1.055E1)); +#2083=LINE('',#2082,#1581); +#2084=LINE('',#1465,#1581); +#2085=CARTESIAN_POINT('',(-3.905E1,4.13E0,-2.332E1)); +#2086=LINE('',#2085,#1161); +#2087=CARTESIAN_POINT('',(-3.969E1,4.13E0,-2.332E1)); +#2088=LINE('',#2087,#971); +#2089=CARTESIAN_POINT('',(-3.969E1,3.49E0,-2.332E1)); +#2090=LINE('',#2089,#1167); +#2091=CARTESIAN_POINT('',(-3.905E1,3.49E0,-2.332E1)); +#2092=LINE('',#2091,#1164); +#2093=CARTESIAN_POINT('',(4.08E1,8.55E-1,-1.055E1)); +#2094=LINE('',#2093,#77); +#2095=LINE('',#82,#919); +#2096=LINE('',#938,#929); +#2097=LINE('',#1470,#925); +#2098=LINE('',#2093,#922); +#2099=LINE('',#86,#919); +#2100=DIRECTION('',(0.E0,0.E0,1.E0)); +#2101=VECTOR('',#2100,5.E-1); +#2102=LINE('',#950,#2101); +#2103=VECTOR('',#72,3.74E0); +#2104=CARTESIAN_POINT('',(3.064E1,-3.55E-1,-1.055E1)); +#2105=LINE('',#2104,#2103); +#2106=CARTESIAN_POINT('',(3.064E1,-4.095E0,-1.055E1)); +#2107=LINE('',#2106,#922); +#2108=LINE('',#88,#919); +#2109=LINE('',#948,#2101); +#2110=LINE('',#93,#919); +#2111=CARTESIAN_POINT('',(-2.047E1,-4.095E0,-1.055E1)); +#2112=LINE('',#2111,#922); +#2113=CARTESIAN_POINT('',(-2.047E1,-3.55E-1,-1.055E1)); +#2114=LINE('',#2113,#2103); +#2115=LINE('',#96,#919); +#2116=LINE('',#91,#919); +#2117=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811866E-1)); +#2118=VECTOR('',#2117,4.949747468306E-2); +#2119=CARTESIAN_POINT('',(2.953E1,-4.095E0,-1.055E1)); +#2120=LINE('',#2119,#2118); +#2121=CARTESIAN_POINT('',(2.953E1,-4.13E0,-1.0585E1)); +#2122=LINE('',#2121,#1164); +#2123=CARTESIAN_POINT('',(2.889E1,-4.095E0,-1.055E1)); +#2124=LINE('',#2123,#2118); +#2125=LINE('',#2123,#1427); +#2126=CARTESIAN_POINT('',(2.699E1,-4.095E0,-1.055E1)); +#2127=LINE('',#2126,#2118); +#2128=CARTESIAN_POINT('',(2.699E1,-4.13E0,-1.0585E1)); +#2129=LINE('',#2128,#1164); +#2130=CARTESIAN_POINT('',(2.635E1,-4.095E0,-1.055E1)); +#2131=LINE('',#2130,#2118); +#2132=LINE('',#2130,#1427); +#2133=CARTESIAN_POINT('',(2.445E1,-4.095E0,-1.055E1)); +#2134=LINE('',#2133,#2118); +#2135=CARTESIAN_POINT('',(2.445E1,-4.13E0,-1.0585E1)); +#2136=LINE('',#2135,#1164); +#2137=CARTESIAN_POINT('',(2.381E1,-4.095E0,-1.055E1)); +#2138=LINE('',#2137,#2118); +#2139=LINE('',#2137,#1427); +#2140=CARTESIAN_POINT('',(2.191E1,-4.095E0,-1.055E1)); +#2141=LINE('',#2140,#2118); +#2142=CARTESIAN_POINT('',(2.191E1,-4.13E0,-1.0585E1)); +#2143=LINE('',#2142,#1164); +#2144=CARTESIAN_POINT('',(2.127E1,-4.095E0,-1.055E1)); +#2145=LINE('',#2144,#2118); +#2146=LINE('',#2144,#1427); +#2147=CARTESIAN_POINT('',(1.937E1,-4.095E0,-1.055E1)); +#2148=LINE('',#2147,#2118); +#2149=CARTESIAN_POINT('',(1.937E1,-4.13E0,-1.0585E1)); +#2150=LINE('',#2149,#1164); +#2151=CARTESIAN_POINT('',(1.873E1,-4.095E0,-1.055E1)); +#2152=LINE('',#2151,#2118); +#2153=LINE('',#2151,#1427); +#2154=CARTESIAN_POINT('',(1.683E1,-4.095E0,-1.055E1)); +#2155=LINE('',#2154,#2118); +#2156=CARTESIAN_POINT('',(1.683E1,-4.13E0,-1.0585E1)); +#2157=LINE('',#2156,#1164); +#2158=CARTESIAN_POINT('',(1.619E1,-4.095E0,-1.055E1)); +#2159=LINE('',#2158,#2118); +#2160=LINE('',#2158,#1427); +#2161=CARTESIAN_POINT('',(1.429E1,-4.095E0,-1.055E1)); +#2162=LINE('',#2161,#2118); +#2163=CARTESIAN_POINT('',(1.429E1,-4.13E0,-1.0585E1)); +#2164=LINE('',#2163,#1164); +#2165=CARTESIAN_POINT('',(1.365E1,-4.095E0,-1.055E1)); +#2166=LINE('',#2165,#2118); +#2167=LINE('',#2165,#1427); +#2168=CARTESIAN_POINT('',(1.175E1,-4.095E0,-1.055E1)); +#2169=LINE('',#2168,#2118); +#2170=CARTESIAN_POINT('',(1.175E1,-4.13E0,-1.0585E1)); +#2171=LINE('',#2170,#1164); +#2172=CARTESIAN_POINT('',(1.111E1,-4.095E0,-1.055E1)); +#2173=LINE('',#2172,#2118); +#2174=LINE('',#2172,#1427); +#2175=CARTESIAN_POINT('',(9.21E0,-4.095E0,-1.055E1)); +#2176=LINE('',#2175,#2118); +#2177=CARTESIAN_POINT('',(9.21E0,-4.13E0,-1.0585E1)); +#2178=LINE('',#2177,#1164); +#2179=CARTESIAN_POINT('',(8.57E0,-4.095E0,-1.055E1)); +#2180=LINE('',#2179,#2118); +#2181=LINE('',#2179,#1427); +#2182=CARTESIAN_POINT('',(6.67E0,-4.095E0,-1.055E1)); +#2183=LINE('',#2182,#2118); +#2184=CARTESIAN_POINT('',(6.67E0,-4.13E0,-1.0585E1)); +#2185=LINE('',#2184,#1164); +#2186=CARTESIAN_POINT('',(6.03E0,-4.095E0,-1.055E1)); +#2187=LINE('',#2186,#2118); +#2188=LINE('',#2186,#1427); +#2189=CARTESIAN_POINT('',(4.13E0,-4.095E0,-1.055E1)); +#2190=LINE('',#2189,#2118); +#2191=CARTESIAN_POINT('',(4.13E0,-4.13E0,-1.0585E1)); +#2192=LINE('',#2191,#1164); +#2193=CARTESIAN_POINT('',(3.49E0,-4.095E0,-1.055E1)); +#2194=LINE('',#2193,#2118); +#2195=LINE('',#2193,#1427); +#2196=CARTESIAN_POINT('',(1.59E0,-4.095E0,-1.055E1)); +#2197=LINE('',#2196,#2118); +#2198=CARTESIAN_POINT('',(1.59E0,-4.13E0,-1.0585E1)); +#2199=LINE('',#2198,#1164); +#2200=CARTESIAN_POINT('',(9.5E-1,-4.095E0,-1.055E1)); +#2201=LINE('',#2200,#2118); +#2202=LINE('',#2200,#1427); +#2203=CARTESIAN_POINT('',(-9.5E-1,-4.095E0,-1.055E1)); +#2204=LINE('',#2203,#2118); +#2205=CARTESIAN_POINT('',(-9.5E-1,-4.13E0,-1.0585E1)); +#2206=LINE('',#2205,#1164); +#2207=CARTESIAN_POINT('',(-1.59E0,-4.095E0,-1.055E1)); +#2208=LINE('',#2207,#2118); +#2209=LINE('',#2207,#1427); +#2210=CARTESIAN_POINT('',(-3.49E0,-4.095E0,-1.055E1)); +#2211=LINE('',#2210,#2118); +#2212=CARTESIAN_POINT('',(-3.49E0,-4.13E0,-1.0585E1)); +#2213=LINE('',#2212,#1164); +#2214=CARTESIAN_POINT('',(-4.13E0,-4.095E0,-1.055E1)); +#2215=LINE('',#2214,#2118); +#2216=LINE('',#2214,#1427); +#2217=CARTESIAN_POINT('',(-6.03E0,-4.095E0,-1.055E1)); +#2218=LINE('',#2217,#2118); +#2219=CARTESIAN_POINT('',(-6.03E0,-4.13E0,-1.0585E1)); +#2220=LINE('',#2219,#1164); +#2221=CARTESIAN_POINT('',(-6.67E0,-4.095E0,-1.055E1)); +#2222=LINE('',#2221,#2118); +#2223=LINE('',#2221,#1427); +#2224=CARTESIAN_POINT('',(-8.57E0,-4.095E0,-1.055E1)); +#2225=LINE('',#2224,#2118); +#2226=CARTESIAN_POINT('',(-8.57E0,-4.13E0,-1.0585E1)); +#2227=LINE('',#2226,#1164); +#2228=CARTESIAN_POINT('',(-9.21E0,-4.095E0,-1.055E1)); +#2229=LINE('',#2228,#2118); +#2230=LINE('',#2228,#1427); +#2231=CARTESIAN_POINT('',(-1.111E1,-4.095E0,-1.055E1)); +#2232=LINE('',#2231,#2118); +#2233=CARTESIAN_POINT('',(-1.111E1,-4.13E0,-1.0585E1)); +#2234=LINE('',#2233,#1164); +#2235=CARTESIAN_POINT('',(-1.175E1,-4.095E0,-1.055E1)); +#2236=LINE('',#2235,#2118); +#2237=LINE('',#2235,#1427); +#2238=CARTESIAN_POINT('',(-1.365E1,-4.095E0,-1.055E1)); +#2239=LINE('',#2238,#2118); +#2240=CARTESIAN_POINT('',(-1.365E1,-4.13E0,-1.0585E1)); +#2241=LINE('',#2240,#1164); +#2242=CARTESIAN_POINT('',(-1.429E1,-4.095E0,-1.055E1)); +#2243=LINE('',#2242,#2118); +#2244=LINE('',#2242,#1427); +#2245=CARTESIAN_POINT('',(-1.619E1,-4.095E0,-1.055E1)); +#2246=LINE('',#2245,#2118); +#2247=CARTESIAN_POINT('',(-1.619E1,-4.13E0,-1.0585E1)); +#2248=LINE('',#2247,#1164); +#2249=CARTESIAN_POINT('',(-1.683E1,-4.095E0,-1.055E1)); +#2250=LINE('',#2249,#2118); +#2251=LINE('',#2249,#1427); +#2252=CARTESIAN_POINT('',(-1.873E1,-4.095E0,-1.055E1)); +#2253=LINE('',#2252,#2118); +#2254=CARTESIAN_POINT('',(-1.873E1,-4.13E0,-1.0585E1)); +#2255=LINE('',#2254,#1164); +#2256=CARTESIAN_POINT('',(-1.937E1,-4.095E0,-1.055E1)); +#2257=LINE('',#2256,#2118); +#2258=VECTOR('',#76,1.1E0); +#2259=LINE('',#2256,#2258); +#2260=LINE('',#2106,#1468); +#2261=CARTESIAN_POINT('',(2.953E1,-3.49E0,-1.055E1)); +#2262=LINE('',#2261,#1581); +#2263=CARTESIAN_POINT('',(-1.873E1,-9.5E-1,-1.055E1)); +#2264=LINE('',#2263,#1161); +#2265=CARTESIAN_POINT('',(-1.873E1,-1.59E0,-1.055E1)); +#2266=LINE('',#2265,#1164); +#2267=CARTESIAN_POINT('',(-1.937E1,-1.59E0,-1.055E1)); +#2268=LINE('',#2267,#1167); +#2269=CARTESIAN_POINT('',(-1.937E1,-9.5E-1,-1.055E1)); +#2270=LINE('',#2269,#971); +#2271=CARTESIAN_POINT('',(-1.619E1,-9.5E-1,-1.055E1)); +#2272=LINE('',#2271,#1161); +#2273=CARTESIAN_POINT('',(-1.619E1,-1.59E0,-1.055E1)); +#2274=LINE('',#2273,#1164); +#2275=CARTESIAN_POINT('',(-1.683E1,-1.59E0,-1.055E1)); +#2276=LINE('',#2275,#1167); +#2277=CARTESIAN_POINT('',(-1.683E1,-9.5E-1,-1.055E1)); +#2278=LINE('',#2277,#971); +#2279=CARTESIAN_POINT('',(-1.365E1,-9.5E-1,-1.055E1)); +#2280=LINE('',#2279,#1161); +#2281=CARTESIAN_POINT('',(-1.365E1,-1.59E0,-1.055E1)); +#2282=LINE('',#2281,#1164); +#2283=CARTESIAN_POINT('',(-1.429E1,-1.59E0,-1.055E1)); +#2284=LINE('',#2283,#1167); +#2285=CARTESIAN_POINT('',(-1.429E1,-9.5E-1,-1.055E1)); +#2286=LINE('',#2285,#971); +#2287=CARTESIAN_POINT('',(-1.111E1,-9.5E-1,-1.055E1)); +#2288=LINE('',#2287,#1161); +#2289=CARTESIAN_POINT('',(-1.111E1,-1.59E0,-1.055E1)); +#2290=LINE('',#2289,#1164); +#2291=DIRECTION('',(1.110223024625E-14,1.E0,0.E0)); +#2292=VECTOR('',#2291,6.4E-1); +#2293=CARTESIAN_POINT('',(-1.175E1,-1.59E0,-1.055E1)); +#2294=LINE('',#2293,#2292); +#2295=CARTESIAN_POINT('',(-1.175E1,-9.5E-1,-1.055E1)); +#2296=LINE('',#2295,#971); +#2297=CARTESIAN_POINT('',(-8.57E0,-9.5E-1,-1.055E1)); +#2298=LINE('',#2297,#1161); +#2299=CARTESIAN_POINT('',(-8.57E0,-1.59E0,-1.055E1)); +#2300=LINE('',#2299,#1164); +#2301=CARTESIAN_POINT('',(-9.21E0,-1.59E0,-1.055E1)); +#2302=LINE('',#2301,#1167); +#2303=CARTESIAN_POINT('',(-9.21E0,-9.5E-1,-1.055E1)); +#2304=LINE('',#2303,#971); +#2305=CARTESIAN_POINT('',(-6.03E0,-9.5E-1,-1.055E1)); +#2306=LINE('',#2305,#1161); +#2307=CARTESIAN_POINT('',(-6.03E0,-1.59E0,-1.055E1)); +#2308=LINE('',#2307,#1164); +#2309=CARTESIAN_POINT('',(-6.67E0,-1.59E0,-1.055E1)); +#2310=LINE('',#2309,#1167); +#2311=CARTESIAN_POINT('',(-6.67E0,-9.5E-1,-1.055E1)); +#2312=LINE('',#2311,#971); +#2313=CARTESIAN_POINT('',(-3.49E0,-9.5E-1,-1.055E1)); +#2314=LINE('',#2313,#1161); +#2315=CARTESIAN_POINT('',(-3.49E0,-1.59E0,-1.055E1)); +#2316=LINE('',#2315,#1164); +#2317=CARTESIAN_POINT('',(-4.13E0,-1.59E0,-1.055E1)); +#2318=LINE('',#2317,#1167); +#2319=CARTESIAN_POINT('',(-4.13E0,-9.5E-1,-1.055E1)); +#2320=LINE('',#2319,#971); +#2321=CARTESIAN_POINT('',(-9.5E-1,-9.5E-1,-1.055E1)); +#2322=LINE('',#2321,#1161); +#2323=CARTESIAN_POINT('',(-9.5E-1,-1.59E0,-1.055E1)); +#2324=LINE('',#2323,#1164); +#2325=CARTESIAN_POINT('',(-1.59E0,-1.59E0,-1.055E1)); +#2326=LINE('',#2325,#1167); +#2327=CARTESIAN_POINT('',(-1.59E0,-9.5E-1,-1.055E1)); +#2328=LINE('',#2327,#971); +#2329=CARTESIAN_POINT('',(1.59E0,-9.5E-1,-1.055E1)); +#2330=LINE('',#2329,#1161); +#2331=CARTESIAN_POINT('',(1.59E0,-1.59E0,-1.055E1)); +#2332=LINE('',#2331,#1164); +#2333=CARTESIAN_POINT('',(9.5E-1,-1.59E0,-1.055E1)); +#2334=LINE('',#2333,#1167); +#2335=CARTESIAN_POINT('',(9.5E-1,-9.5E-1,-1.055E1)); +#2336=LINE('',#2335,#971); +#2337=CARTESIAN_POINT('',(4.13E0,-9.5E-1,-1.055E1)); +#2338=LINE('',#2337,#1161); +#2339=CARTESIAN_POINT('',(4.13E0,-1.59E0,-1.055E1)); +#2340=LINE('',#2339,#1164); +#2341=CARTESIAN_POINT('',(3.49E0,-1.59E0,-1.055E1)); +#2342=LINE('',#2341,#1167); +#2343=CARTESIAN_POINT('',(3.49E0,-9.5E-1,-1.055E1)); +#2344=LINE('',#2343,#971); +#2345=CARTESIAN_POINT('',(6.67E0,-9.5E-1,-1.055E1)); +#2346=LINE('',#2345,#1161); +#2347=CARTESIAN_POINT('',(6.67E0,-1.59E0,-1.055E1)); +#2348=LINE('',#2347,#1164); +#2349=CARTESIAN_POINT('',(6.03E0,-1.59E0,-1.055E1)); +#2350=LINE('',#2349,#1167); +#2351=CARTESIAN_POINT('',(6.03E0,-9.5E-1,-1.055E1)); +#2352=LINE('',#2351,#971); +#2353=CARTESIAN_POINT('',(9.21E0,-9.5E-1,-1.055E1)); +#2354=LINE('',#2353,#1161); +#2355=CARTESIAN_POINT('',(9.21E0,-1.59E0,-1.055E1)); +#2356=LINE('',#2355,#1164); +#2357=CARTESIAN_POINT('',(8.57E0,-1.59E0,-1.055E1)); +#2358=LINE('',#2357,#1167); +#2359=CARTESIAN_POINT('',(8.57E0,-9.5E-1,-1.055E1)); +#2360=LINE('',#2359,#971); +#2361=CARTESIAN_POINT('',(1.175E1,-9.5E-1,-1.055E1)); +#2362=LINE('',#2361,#1161); +#2363=CARTESIAN_POINT('',(1.175E1,-1.59E0,-1.055E1)); +#2364=LINE('',#2363,#1164); +#2365=CARTESIAN_POINT('',(1.111E1,-1.59E0,-1.055E1)); +#2366=LINE('',#2365,#1167); +#2367=CARTESIAN_POINT('',(1.111E1,-9.5E-1,-1.055E1)); +#2368=LINE('',#2367,#971); +#2369=CARTESIAN_POINT('',(1.429E1,-9.5E-1,-1.055E1)); +#2370=LINE('',#2369,#1161); +#2371=CARTESIAN_POINT('',(1.429E1,-1.59E0,-1.055E1)); +#2372=LINE('',#2371,#1164); +#2373=CARTESIAN_POINT('',(1.365E1,-1.59E0,-1.055E1)); +#2374=LINE('',#2373,#1167); +#2375=CARTESIAN_POINT('',(1.365E1,-9.5E-1,-1.055E1)); +#2376=LINE('',#2375,#971); +#2377=CARTESIAN_POINT('',(1.683E1,-9.5E-1,-1.055E1)); +#2378=LINE('',#2377,#1161); +#2379=CARTESIAN_POINT('',(1.683E1,-1.59E0,-1.055E1)); +#2380=LINE('',#2379,#1164); +#2381=CARTESIAN_POINT('',(1.619E1,-1.59E0,-1.055E1)); +#2382=LINE('',#2381,#1167); +#2383=CARTESIAN_POINT('',(1.619E1,-9.5E-1,-1.055E1)); +#2384=LINE('',#2383,#971); +#2385=CARTESIAN_POINT('',(1.937E1,-9.5E-1,-1.055E1)); +#2386=LINE('',#2385,#1161); +#2387=CARTESIAN_POINT('',(1.937E1,-1.59E0,-1.055E1)); +#2388=LINE('',#2387,#1164); +#2389=CARTESIAN_POINT('',(1.873E1,-1.59E0,-1.055E1)); +#2390=LINE('',#2389,#1167); +#2391=CARTESIAN_POINT('',(1.873E1,-9.5E-1,-1.055E1)); +#2392=LINE('',#2391,#971); +#2393=CARTESIAN_POINT('',(2.191E1,-9.5E-1,-1.055E1)); +#2394=LINE('',#2393,#1161); +#2395=CARTESIAN_POINT('',(2.191E1,-1.59E0,-1.055E1)); +#2396=LINE('',#2395,#1164); +#2397=CARTESIAN_POINT('',(2.127E1,-1.59E0,-1.055E1)); +#2398=LINE('',#2397,#1167); +#2399=CARTESIAN_POINT('',(2.127E1,-9.5E-1,-1.055E1)); +#2400=LINE('',#2399,#971); +#2401=CARTESIAN_POINT('',(2.445E1,-9.5E-1,-1.055E1)); +#2402=LINE('',#2401,#1161); +#2403=CARTESIAN_POINT('',(2.445E1,-1.59E0,-1.055E1)); +#2404=LINE('',#2403,#1164); +#2405=CARTESIAN_POINT('',(2.381E1,-1.59E0,-1.055E1)); +#2406=LINE('',#2405,#1167); +#2407=CARTESIAN_POINT('',(2.381E1,-9.5E-1,-1.055E1)); +#2408=LINE('',#2407,#971); +#2409=CARTESIAN_POINT('',(2.699E1,-9.5E-1,-1.055E1)); +#2410=LINE('',#2409,#1161); +#2411=CARTESIAN_POINT('',(2.699E1,-1.59E0,-1.055E1)); +#2412=LINE('',#2411,#1164); +#2413=CARTESIAN_POINT('',(2.635E1,-1.59E0,-1.055E1)); +#2414=LINE('',#2413,#1167); +#2415=CARTESIAN_POINT('',(2.635E1,-9.5E-1,-1.055E1)); +#2416=LINE('',#2415,#971); +#2417=VECTOR('',#80,6.05E-1); +#2418=LINE('',#2119,#2417); +#2419=VECTOR('',#76,5.111E1); +#2420=LINE('',#2104,#2419); +#2421=LINE('',#2256,#2417); +#2422=CARTESIAN_POINT('',(-1.937E1,-3.49E0,-1.055E1)); +#2423=LINE('',#2422,#971); +#2424=LINE('',#2252,#2417); +#2425=LINE('',#2249,#2417); +#2426=CARTESIAN_POINT('',(-1.683E1,-3.49E0,-1.055E1)); +#2427=LINE('',#2426,#971); +#2428=LINE('',#2245,#2417); +#2429=LINE('',#2242,#2417); +#2430=CARTESIAN_POINT('',(-1.429E1,-3.49E0,-1.055E1)); +#2431=LINE('',#2430,#971); +#2432=LINE('',#2238,#2417); +#2433=DIRECTION('',(1.174450802909E-14,1.E0,0.E0)); +#2434=VECTOR('',#2433,6.05E-1); +#2435=LINE('',#2235,#2434); +#2436=CARTESIAN_POINT('',(-1.175E1,-3.49E0,-1.055E1)); +#2437=LINE('',#2436,#971); +#2438=LINE('',#2231,#2417); +#2439=LINE('',#2228,#2417); +#2440=CARTESIAN_POINT('',(-9.21E0,-3.49E0,-1.055E1)); +#2441=LINE('',#2440,#971); +#2442=LINE('',#2224,#2417); +#2443=LINE('',#2221,#2417); +#2444=CARTESIAN_POINT('',(-6.67E0,-3.49E0,-1.055E1)); +#2445=LINE('',#2444,#971); +#2446=LINE('',#2217,#2417); +#2447=LINE('',#2214,#2417); +#2448=CARTESIAN_POINT('',(-4.13E0,-3.49E0,-1.055E1)); +#2449=LINE('',#2448,#971); +#2450=LINE('',#2210,#2417); +#2451=LINE('',#2207,#2417); +#2452=CARTESIAN_POINT('',(-1.59E0,-3.49E0,-1.055E1)); +#2453=LINE('',#2452,#971); +#2454=LINE('',#2203,#2417); +#2455=LINE('',#2200,#2417); +#2456=CARTESIAN_POINT('',(9.5E-1,-3.49E0,-1.055E1)); +#2457=LINE('',#2456,#971); +#2458=LINE('',#2196,#2417); +#2459=LINE('',#2193,#2417); +#2460=CARTESIAN_POINT('',(3.49E0,-3.49E0,-1.055E1)); +#2461=LINE('',#2460,#971); +#2462=LINE('',#2189,#2417); +#2463=LINE('',#2186,#2417); +#2464=CARTESIAN_POINT('',(6.03E0,-3.49E0,-1.055E1)); +#2465=LINE('',#2464,#971); +#2466=LINE('',#2182,#2417); +#2467=LINE('',#2179,#2417); +#2468=CARTESIAN_POINT('',(8.57E0,-3.49E0,-1.055E1)); +#2469=LINE('',#2468,#971); +#2470=LINE('',#2175,#2417); +#2471=LINE('',#2172,#2417); +#2472=CARTESIAN_POINT('',(1.111E1,-3.49E0,-1.055E1)); +#2473=LINE('',#2472,#971); +#2474=LINE('',#2168,#2417); +#2475=LINE('',#2165,#2417); +#2476=CARTESIAN_POINT('',(1.365E1,-3.49E0,-1.055E1)); +#2477=LINE('',#2476,#971); +#2478=LINE('',#2161,#2417); +#2479=LINE('',#2158,#2417); +#2480=CARTESIAN_POINT('',(1.619E1,-3.49E0,-1.055E1)); +#2481=LINE('',#2480,#971); +#2482=LINE('',#2154,#2417); +#2483=LINE('',#2151,#2417); +#2484=CARTESIAN_POINT('',(1.873E1,-3.49E0,-1.055E1)); +#2485=LINE('',#2484,#971); +#2486=LINE('',#2147,#2417); +#2487=LINE('',#2144,#2417); +#2488=CARTESIAN_POINT('',(2.127E1,-3.49E0,-1.055E1)); +#2489=LINE('',#2488,#971); +#2490=LINE('',#2140,#2417); +#2491=LINE('',#2137,#2417); +#2492=CARTESIAN_POINT('',(2.381E1,-3.49E0,-1.055E1)); +#2493=LINE('',#2492,#971); +#2494=LINE('',#2133,#2417); +#2495=LINE('',#2130,#2417); +#2496=CARTESIAN_POINT('',(2.635E1,-3.49E0,-1.055E1)); +#2497=LINE('',#2496,#971); +#2498=LINE('',#2126,#2417); +#2499=LINE('',#2123,#2417); +#2500=CARTESIAN_POINT('',(2.889E1,-3.49E0,-1.055E1)); +#2501=LINE('',#2500,#971); +#2502=LINE('',#2263,#1581); +#2503=LINE('',#2269,#1581); +#2504=LINE('',#2267,#1581); +#2505=LINE('',#2265,#1581); +#2506=CARTESIAN_POINT('',(-1.873E1,-9.5E-1,-2.332E1)); +#2507=LINE('',#2506,#1161); +#2508=CARTESIAN_POINT('',(-1.937E1,-9.5E-1,-2.332E1)); +#2509=LINE('',#2508,#971); +#2510=CARTESIAN_POINT('',(-1.937E1,-1.59E0,-2.332E1)); +#2511=LINE('',#2510,#1167); +#2512=CARTESIAN_POINT('',(-1.873E1,-1.59E0,-2.332E1)); +#2513=LINE('',#2512,#1164); +#2514=LINE('',#2271,#1581); +#2515=LINE('',#2277,#1581); +#2516=LINE('',#2275,#1581); +#2517=LINE('',#2273,#1581); +#2518=CARTESIAN_POINT('',(-1.619E1,-9.5E-1,-2.332E1)); +#2519=LINE('',#2518,#1161); +#2520=CARTESIAN_POINT('',(-1.683E1,-9.5E-1,-2.332E1)); +#2521=LINE('',#2520,#971); +#2522=CARTESIAN_POINT('',(-1.683E1,-1.59E0,-2.332E1)); +#2523=LINE('',#2522,#1167); +#2524=CARTESIAN_POINT('',(-1.619E1,-1.59E0,-2.332E1)); +#2525=LINE('',#2524,#1164); +#2526=LINE('',#2279,#1581); +#2527=LINE('',#2285,#1581); +#2528=LINE('',#2283,#1581); +#2529=LINE('',#2281,#1581); +#2530=CARTESIAN_POINT('',(-1.365E1,-9.5E-1,-2.332E1)); +#2531=LINE('',#2530,#1161); +#2532=CARTESIAN_POINT('',(-1.429E1,-9.5E-1,-2.332E1)); +#2533=LINE('',#2532,#971); +#2534=CARTESIAN_POINT('',(-1.429E1,-1.59E0,-2.332E1)); +#2535=LINE('',#2534,#1167); +#2536=CARTESIAN_POINT('',(-1.365E1,-1.59E0,-2.332E1)); +#2537=LINE('',#2536,#1164); +#2538=LINE('',#2287,#1581); +#2539=LINE('',#2295,#1581); +#2540=LINE('',#2293,#1581); +#2541=LINE('',#2289,#1581); +#2542=CARTESIAN_POINT('',(-1.111E1,-9.5E-1,-2.332E1)); +#2543=LINE('',#2542,#1161); +#2544=CARTESIAN_POINT('',(-1.175E1,-9.5E-1,-2.332E1)); +#2545=LINE('',#2544,#971); +#2546=CARTESIAN_POINT('',(-1.175E1,-1.59E0,-2.332E1)); +#2547=LINE('',#2546,#2292); +#2548=CARTESIAN_POINT('',(-1.111E1,-1.59E0,-2.332E1)); +#2549=LINE('',#2548,#1164); +#2550=LINE('',#2297,#1581); +#2551=LINE('',#2303,#1581); +#2552=LINE('',#2301,#1581); +#2553=LINE('',#2299,#1581); +#2554=CARTESIAN_POINT('',(-8.57E0,-9.5E-1,-2.332E1)); +#2555=LINE('',#2554,#1161); +#2556=CARTESIAN_POINT('',(-9.21E0,-9.5E-1,-2.332E1)); +#2557=LINE('',#2556,#971); +#2558=CARTESIAN_POINT('',(-9.21E0,-1.59E0,-2.332E1)); +#2559=LINE('',#2558,#1167); +#2560=CARTESIAN_POINT('',(-8.57E0,-1.59E0,-2.332E1)); +#2561=LINE('',#2560,#1164); +#2562=LINE('',#2305,#1581); +#2563=LINE('',#2311,#1581); +#2564=LINE('',#2309,#1581); +#2565=LINE('',#2307,#1581); +#2566=CARTESIAN_POINT('',(-6.03E0,-9.5E-1,-2.332E1)); +#2567=LINE('',#2566,#1161); +#2568=CARTESIAN_POINT('',(-6.67E0,-9.5E-1,-2.332E1)); +#2569=LINE('',#2568,#971); +#2570=CARTESIAN_POINT('',(-6.67E0,-1.59E0,-2.332E1)); +#2571=LINE('',#2570,#1167); +#2572=CARTESIAN_POINT('',(-6.03E0,-1.59E0,-2.332E1)); +#2573=LINE('',#2572,#1164); +#2574=LINE('',#2313,#1581); +#2575=LINE('',#2319,#1581); +#2576=LINE('',#2317,#1581); +#2577=LINE('',#2315,#1581); +#2578=CARTESIAN_POINT('',(-3.49E0,-9.5E-1,-2.332E1)); +#2579=LINE('',#2578,#1161); +#2580=CARTESIAN_POINT('',(-4.13E0,-9.5E-1,-2.332E1)); +#2581=LINE('',#2580,#971); +#2582=CARTESIAN_POINT('',(-4.13E0,-1.59E0,-2.332E1)); +#2583=LINE('',#2582,#1167); +#2584=CARTESIAN_POINT('',(-3.49E0,-1.59E0,-2.332E1)); +#2585=LINE('',#2584,#1164); +#2586=LINE('',#2321,#1581); +#2587=LINE('',#2327,#1581); +#2588=LINE('',#2325,#1581); +#2589=LINE('',#2323,#1581); +#2590=CARTESIAN_POINT('',(-9.5E-1,-9.5E-1,-2.332E1)); +#2591=LINE('',#2590,#1161); +#2592=CARTESIAN_POINT('',(-1.59E0,-9.5E-1,-2.332E1)); +#2593=LINE('',#2592,#971); +#2594=CARTESIAN_POINT('',(-1.59E0,-1.59E0,-2.332E1)); +#2595=LINE('',#2594,#1167); +#2596=CARTESIAN_POINT('',(-9.5E-1,-1.59E0,-2.332E1)); +#2597=LINE('',#2596,#1164); +#2598=LINE('',#2329,#1581); +#2599=LINE('',#2335,#1581); +#2600=LINE('',#2333,#1581); +#2601=LINE('',#2331,#1581); +#2602=CARTESIAN_POINT('',(1.59E0,-9.5E-1,-2.332E1)); +#2603=LINE('',#2602,#1161); +#2604=CARTESIAN_POINT('',(9.5E-1,-9.5E-1,-2.332E1)); +#2605=LINE('',#2604,#971); +#2606=CARTESIAN_POINT('',(9.5E-1,-1.59E0,-2.332E1)); +#2607=LINE('',#2606,#1167); +#2608=CARTESIAN_POINT('',(1.59E0,-1.59E0,-2.332E1)); +#2609=LINE('',#2608,#1164); +#2610=LINE('',#2337,#1581); +#2611=LINE('',#2343,#1581); +#2612=LINE('',#2341,#1581); +#2613=LINE('',#2339,#1581); +#2614=CARTESIAN_POINT('',(4.13E0,-9.5E-1,-2.332E1)); +#2615=LINE('',#2614,#1161); +#2616=CARTESIAN_POINT('',(3.49E0,-9.5E-1,-2.332E1)); +#2617=LINE('',#2616,#971); +#2618=CARTESIAN_POINT('',(3.49E0,-1.59E0,-2.332E1)); +#2619=LINE('',#2618,#1167); +#2620=CARTESIAN_POINT('',(4.13E0,-1.59E0,-2.332E1)); +#2621=LINE('',#2620,#1164); +#2622=LINE('',#2345,#1581); +#2623=LINE('',#2351,#1581); +#2624=LINE('',#2349,#1581); +#2625=LINE('',#2347,#1581); +#2626=CARTESIAN_POINT('',(6.67E0,-9.5E-1,-2.332E1)); +#2627=LINE('',#2626,#1161); +#2628=CARTESIAN_POINT('',(6.03E0,-9.5E-1,-2.332E1)); +#2629=LINE('',#2628,#971); +#2630=CARTESIAN_POINT('',(6.03E0,-1.59E0,-2.332E1)); +#2631=LINE('',#2630,#1167); +#2632=CARTESIAN_POINT('',(6.67E0,-1.59E0,-2.332E1)); +#2633=LINE('',#2632,#1164); +#2634=LINE('',#2353,#1581); +#2635=LINE('',#2359,#1581); +#2636=LINE('',#2357,#1581); +#2637=LINE('',#2355,#1581); +#2638=CARTESIAN_POINT('',(9.21E0,-9.5E-1,-2.332E1)); +#2639=LINE('',#2638,#1161); +#2640=CARTESIAN_POINT('',(8.57E0,-9.5E-1,-2.332E1)); +#2641=LINE('',#2640,#971); +#2642=CARTESIAN_POINT('',(8.57E0,-1.59E0,-2.332E1)); +#2643=LINE('',#2642,#1167); +#2644=CARTESIAN_POINT('',(9.21E0,-1.59E0,-2.332E1)); +#2645=LINE('',#2644,#1164); +#2646=LINE('',#2361,#1581); +#2647=LINE('',#2367,#1581); +#2648=LINE('',#2365,#1581); +#2649=LINE('',#2363,#1581); +#2650=CARTESIAN_POINT('',(1.175E1,-9.5E-1,-2.332E1)); +#2651=LINE('',#2650,#1161); +#2652=CARTESIAN_POINT('',(1.111E1,-9.5E-1,-2.332E1)); +#2653=LINE('',#2652,#971); +#2654=CARTESIAN_POINT('',(1.111E1,-1.59E0,-2.332E1)); +#2655=LINE('',#2654,#1167); +#2656=CARTESIAN_POINT('',(1.175E1,-1.59E0,-2.332E1)); +#2657=LINE('',#2656,#1164); +#2658=LINE('',#2369,#1581); +#2659=LINE('',#2375,#1581); +#2660=LINE('',#2373,#1581); +#2661=LINE('',#2371,#1581); +#2662=CARTESIAN_POINT('',(1.429E1,-9.5E-1,-2.332E1)); +#2663=LINE('',#2662,#1161); +#2664=CARTESIAN_POINT('',(1.365E1,-9.5E-1,-2.332E1)); +#2665=LINE('',#2664,#971); +#2666=CARTESIAN_POINT('',(1.365E1,-1.59E0,-2.332E1)); +#2667=LINE('',#2666,#1167); +#2668=CARTESIAN_POINT('',(1.429E1,-1.59E0,-2.332E1)); +#2669=LINE('',#2668,#1164); +#2670=LINE('',#2377,#1581); +#2671=LINE('',#2383,#1581); +#2672=LINE('',#2381,#1581); +#2673=LINE('',#2379,#1581); +#2674=CARTESIAN_POINT('',(1.683E1,-9.5E-1,-2.332E1)); +#2675=LINE('',#2674,#1161); +#2676=CARTESIAN_POINT('',(1.619E1,-9.5E-1,-2.332E1)); +#2677=LINE('',#2676,#971); +#2678=CARTESIAN_POINT('',(1.619E1,-1.59E0,-2.332E1)); +#2679=LINE('',#2678,#1167); +#2680=CARTESIAN_POINT('',(1.683E1,-1.59E0,-2.332E1)); +#2681=LINE('',#2680,#1164); +#2682=LINE('',#2385,#1581); +#2683=LINE('',#2391,#1581); +#2684=LINE('',#2389,#1581); +#2685=LINE('',#2387,#1581); +#2686=CARTESIAN_POINT('',(1.937E1,-9.5E-1,-2.332E1)); +#2687=LINE('',#2686,#1161); +#2688=CARTESIAN_POINT('',(1.873E1,-9.5E-1,-2.332E1)); +#2689=LINE('',#2688,#971); +#2690=CARTESIAN_POINT('',(1.873E1,-1.59E0,-2.332E1)); +#2691=LINE('',#2690,#1167); +#2692=CARTESIAN_POINT('',(1.937E1,-1.59E0,-2.332E1)); +#2693=LINE('',#2692,#1164); +#2694=LINE('',#2393,#1581); +#2695=LINE('',#2399,#1581); +#2696=LINE('',#2397,#1581); +#2697=LINE('',#2395,#1581); +#2698=CARTESIAN_POINT('',(2.191E1,-9.5E-1,-2.332E1)); +#2699=LINE('',#2698,#1161); +#2700=CARTESIAN_POINT('',(2.127E1,-9.5E-1,-2.332E1)); +#2701=LINE('',#2700,#971); +#2702=CARTESIAN_POINT('',(2.127E1,-1.59E0,-2.332E1)); +#2703=LINE('',#2702,#1167); +#2704=CARTESIAN_POINT('',(2.191E1,-1.59E0,-2.332E1)); +#2705=LINE('',#2704,#1164); +#2706=LINE('',#2401,#1581); +#2707=LINE('',#2407,#1581); +#2708=LINE('',#2405,#1581); +#2709=LINE('',#2403,#1581); +#2710=CARTESIAN_POINT('',(2.445E1,-9.5E-1,-2.332E1)); +#2711=LINE('',#2710,#1161); +#2712=CARTESIAN_POINT('',(2.381E1,-9.5E-1,-2.332E1)); +#2713=LINE('',#2712,#971); +#2714=CARTESIAN_POINT('',(2.381E1,-1.59E0,-2.332E1)); +#2715=LINE('',#2714,#1167); +#2716=CARTESIAN_POINT('',(2.445E1,-1.59E0,-2.332E1)); +#2717=LINE('',#2716,#1164); +#2718=LINE('',#2409,#1581); +#2719=LINE('',#2415,#1581); +#2720=LINE('',#2413,#1581); +#2721=LINE('',#2411,#1581); +#2722=CARTESIAN_POINT('',(2.699E1,-9.5E-1,-2.332E1)); +#2723=LINE('',#2722,#1161); +#2724=CARTESIAN_POINT('',(2.635E1,-9.5E-1,-2.332E1)); +#2725=LINE('',#2724,#971); +#2726=CARTESIAN_POINT('',(2.635E1,-1.59E0,-2.332E1)); +#2727=LINE('',#2726,#1167); +#2728=CARTESIAN_POINT('',(2.699E1,-1.59E0,-2.332E1)); +#2729=LINE('',#2728,#1164); +#2730=CARTESIAN_POINT('',(-1.937E1,-4.13E0,-1.0585E1)); +#2731=LINE('',#2730,#1966); +#2732=LINE('',#2254,#1966); +#2733=CARTESIAN_POINT('',(-1.873E1,-3.49E0,-1.055E1)); +#2734=LINE('',#2733,#1581); +#2735=LINE('',#2422,#1581); +#2736=CARTESIAN_POINT('',(-1.873E1,-3.49E0,-2.332E1)); +#2737=LINE('',#2736,#1161); +#2738=CARTESIAN_POINT('',(-1.937E1,-3.49E0,-2.332E1)); +#2739=LINE('',#2738,#971); +#2740=CARTESIAN_POINT('',(-1.937E1,-4.13E0,-2.332E1)); +#2741=LINE('',#2740,#1167); +#2742=CARTESIAN_POINT('',(-1.873E1,-4.13E0,-2.332E1)); +#2743=LINE('',#2742,#1164); +#2744=CARTESIAN_POINT('',(-1.683E1,-4.13E0,-1.0585E1)); +#2745=LINE('',#2744,#1966); +#2746=LINE('',#2247,#1966); +#2747=CARTESIAN_POINT('',(-1.619E1,-3.49E0,-1.055E1)); +#2748=LINE('',#2747,#1581); +#2749=LINE('',#2426,#1581); +#2750=CARTESIAN_POINT('',(-1.619E1,-3.49E0,-2.332E1)); +#2751=LINE('',#2750,#1161); +#2752=CARTESIAN_POINT('',(-1.683E1,-3.49E0,-2.332E1)); +#2753=LINE('',#2752,#971); +#2754=CARTESIAN_POINT('',(-1.683E1,-4.13E0,-2.332E1)); +#2755=LINE('',#2754,#1167); +#2756=CARTESIAN_POINT('',(-1.619E1,-4.13E0,-2.332E1)); +#2757=LINE('',#2756,#1164); +#2758=CARTESIAN_POINT('',(-1.429E1,-4.13E0,-1.0585E1)); +#2759=LINE('',#2758,#1966); +#2760=LINE('',#2240,#1966); +#2761=CARTESIAN_POINT('',(-1.365E1,-3.49E0,-1.055E1)); +#2762=LINE('',#2761,#1581); +#2763=LINE('',#2430,#1581); +#2764=CARTESIAN_POINT('',(-1.365E1,-3.49E0,-2.332E1)); +#2765=LINE('',#2764,#1161); +#2766=CARTESIAN_POINT('',(-1.429E1,-3.49E0,-2.332E1)); +#2767=LINE('',#2766,#971); +#2768=CARTESIAN_POINT('',(-1.429E1,-4.13E0,-2.332E1)); +#2769=LINE('',#2768,#1167); +#2770=CARTESIAN_POINT('',(-1.365E1,-4.13E0,-2.332E1)); +#2771=LINE('',#2770,#1164); +#2772=CARTESIAN_POINT('',(-1.175E1,-4.13E0,-1.0585E1)); +#2773=LINE('',#2772,#1966); +#2774=LINE('',#2233,#1966); +#2775=CARTESIAN_POINT('',(-1.111E1,-3.49E0,-1.055E1)); +#2776=LINE('',#2775,#1581); +#2777=LINE('',#2436,#1581); +#2778=CARTESIAN_POINT('',(-1.111E1,-3.49E0,-2.332E1)); +#2779=LINE('',#2778,#1161); +#2780=CARTESIAN_POINT('',(-1.175E1,-3.49E0,-2.332E1)); +#2781=LINE('',#2780,#971); +#2782=CARTESIAN_POINT('',(-1.175E1,-4.13E0,-2.332E1)); +#2783=LINE('',#2782,#2292); +#2784=CARTESIAN_POINT('',(-1.111E1,-4.13E0,-2.332E1)); +#2785=LINE('',#2784,#1164); +#2786=CARTESIAN_POINT('',(-9.21E0,-4.13E0,-1.0585E1)); +#2787=LINE('',#2786,#1966); +#2788=LINE('',#2226,#1966); +#2789=CARTESIAN_POINT('',(-8.57E0,-3.49E0,-1.055E1)); +#2790=LINE('',#2789,#1581); +#2791=LINE('',#2440,#1581); +#2792=CARTESIAN_POINT('',(-8.57E0,-3.49E0,-2.332E1)); +#2793=LINE('',#2792,#1161); +#2794=CARTESIAN_POINT('',(-9.21E0,-3.49E0,-2.332E1)); +#2795=LINE('',#2794,#971); +#2796=CARTESIAN_POINT('',(-9.21E0,-4.13E0,-2.332E1)); +#2797=LINE('',#2796,#1167); +#2798=CARTESIAN_POINT('',(-8.57E0,-4.13E0,-2.332E1)); +#2799=LINE('',#2798,#1164); +#2800=CARTESIAN_POINT('',(-6.67E0,-4.13E0,-1.0585E1)); +#2801=LINE('',#2800,#1966); +#2802=LINE('',#2219,#1966); +#2803=CARTESIAN_POINT('',(-6.03E0,-3.49E0,-1.055E1)); +#2804=LINE('',#2803,#1581); +#2805=LINE('',#2444,#1581); +#2806=CARTESIAN_POINT('',(-6.03E0,-3.49E0,-2.332E1)); +#2807=LINE('',#2806,#1161); +#2808=CARTESIAN_POINT('',(-6.67E0,-3.49E0,-2.332E1)); +#2809=LINE('',#2808,#971); +#2810=CARTESIAN_POINT('',(-6.67E0,-4.13E0,-2.332E1)); +#2811=LINE('',#2810,#1167); +#2812=CARTESIAN_POINT('',(-6.03E0,-4.13E0,-2.332E1)); +#2813=LINE('',#2812,#1164); +#2814=CARTESIAN_POINT('',(-4.13E0,-4.13E0,-1.0585E1)); +#2815=LINE('',#2814,#1966); +#2816=LINE('',#2212,#1966); +#2817=CARTESIAN_POINT('',(-3.49E0,-3.49E0,-1.055E1)); +#2818=LINE('',#2817,#1581); +#2819=LINE('',#2448,#1581); +#2820=CARTESIAN_POINT('',(-3.49E0,-3.49E0,-2.332E1)); +#2821=LINE('',#2820,#1161); +#2822=CARTESIAN_POINT('',(-4.13E0,-3.49E0,-2.332E1)); +#2823=LINE('',#2822,#971); +#2824=CARTESIAN_POINT('',(-4.13E0,-4.13E0,-2.332E1)); +#2825=LINE('',#2824,#1167); +#2826=CARTESIAN_POINT('',(-3.49E0,-4.13E0,-2.332E1)); +#2827=LINE('',#2826,#1164); +#2828=CARTESIAN_POINT('',(-1.59E0,-4.13E0,-1.0585E1)); +#2829=LINE('',#2828,#1966); +#2830=LINE('',#2205,#1966); +#2831=CARTESIAN_POINT('',(-9.5E-1,-3.49E0,-1.055E1)); +#2832=LINE('',#2831,#1581); +#2833=LINE('',#2452,#1581); +#2834=CARTESIAN_POINT('',(-9.5E-1,-3.49E0,-2.332E1)); +#2835=LINE('',#2834,#1161); +#2836=CARTESIAN_POINT('',(-1.59E0,-3.49E0,-2.332E1)); +#2837=LINE('',#2836,#971); +#2838=CARTESIAN_POINT('',(-1.59E0,-4.13E0,-2.332E1)); +#2839=LINE('',#2838,#1167); +#2840=CARTESIAN_POINT('',(-9.5E-1,-4.13E0,-2.332E1)); +#2841=LINE('',#2840,#1164); +#2842=CARTESIAN_POINT('',(9.5E-1,-4.13E0,-1.0585E1)); +#2843=LINE('',#2842,#1966); +#2844=LINE('',#2198,#1966); +#2845=CARTESIAN_POINT('',(1.59E0,-3.49E0,-1.055E1)); +#2846=LINE('',#2845,#1581); +#2847=LINE('',#2456,#1581); +#2848=CARTESIAN_POINT('',(1.59E0,-3.49E0,-2.332E1)); +#2849=LINE('',#2848,#1161); +#2850=CARTESIAN_POINT('',(9.5E-1,-3.49E0,-2.332E1)); +#2851=LINE('',#2850,#971); +#2852=CARTESIAN_POINT('',(9.5E-1,-4.13E0,-2.332E1)); +#2853=LINE('',#2852,#1167); +#2854=CARTESIAN_POINT('',(1.59E0,-4.13E0,-2.332E1)); +#2855=LINE('',#2854,#1164); +#2856=CARTESIAN_POINT('',(3.49E0,-4.13E0,-1.0585E1)); +#2857=LINE('',#2856,#1966); +#2858=LINE('',#2191,#1966); +#2859=CARTESIAN_POINT('',(4.13E0,-3.49E0,-1.055E1)); +#2860=LINE('',#2859,#1581); +#2861=LINE('',#2460,#1581); +#2862=CARTESIAN_POINT('',(4.13E0,-3.49E0,-2.332E1)); +#2863=LINE('',#2862,#1161); +#2864=CARTESIAN_POINT('',(3.49E0,-3.49E0,-2.332E1)); +#2865=LINE('',#2864,#971); +#2866=CARTESIAN_POINT('',(3.49E0,-4.13E0,-2.332E1)); +#2867=LINE('',#2866,#1167); +#2868=CARTESIAN_POINT('',(4.13E0,-4.13E0,-2.332E1)); +#2869=LINE('',#2868,#1164); +#2870=CARTESIAN_POINT('',(6.03E0,-4.13E0,-1.0585E1)); +#2871=LINE('',#2870,#1966); +#2872=LINE('',#2184,#1966); +#2873=CARTESIAN_POINT('',(6.67E0,-3.49E0,-1.055E1)); +#2874=LINE('',#2873,#1581); +#2875=LINE('',#2464,#1581); +#2876=CARTESIAN_POINT('',(6.67E0,-3.49E0,-2.332E1)); +#2877=LINE('',#2876,#1161); +#2878=CARTESIAN_POINT('',(6.03E0,-3.49E0,-2.332E1)); +#2879=LINE('',#2878,#971); +#2880=CARTESIAN_POINT('',(6.03E0,-4.13E0,-2.332E1)); +#2881=LINE('',#2880,#1167); +#2882=CARTESIAN_POINT('',(6.67E0,-4.13E0,-2.332E1)); +#2883=LINE('',#2882,#1164); +#2884=CARTESIAN_POINT('',(8.57E0,-4.13E0,-1.0585E1)); +#2885=LINE('',#2884,#1966); +#2886=LINE('',#2177,#1966); +#2887=CARTESIAN_POINT('',(9.21E0,-3.49E0,-1.055E1)); +#2888=LINE('',#2887,#1581); +#2889=LINE('',#2468,#1581); +#2890=CARTESIAN_POINT('',(9.21E0,-3.49E0,-2.332E1)); +#2891=LINE('',#2890,#1161); +#2892=CARTESIAN_POINT('',(8.57E0,-3.49E0,-2.332E1)); +#2893=LINE('',#2892,#971); +#2894=CARTESIAN_POINT('',(8.57E0,-4.13E0,-2.332E1)); +#2895=LINE('',#2894,#1167); +#2896=CARTESIAN_POINT('',(9.21E0,-4.13E0,-2.332E1)); +#2897=LINE('',#2896,#1164); +#2898=CARTESIAN_POINT('',(1.111E1,-4.13E0,-1.0585E1)); +#2899=LINE('',#2898,#1966); +#2900=LINE('',#2170,#1966); +#2901=CARTESIAN_POINT('',(1.175E1,-3.49E0,-1.055E1)); +#2902=LINE('',#2901,#1581); +#2903=LINE('',#2472,#1581); +#2904=CARTESIAN_POINT('',(1.175E1,-3.49E0,-2.332E1)); +#2905=LINE('',#2904,#1161); +#2906=CARTESIAN_POINT('',(1.111E1,-3.49E0,-2.332E1)); +#2907=LINE('',#2906,#971); +#2908=CARTESIAN_POINT('',(1.111E1,-4.13E0,-2.332E1)); +#2909=LINE('',#2908,#1167); +#2910=CARTESIAN_POINT('',(1.175E1,-4.13E0,-2.332E1)); +#2911=LINE('',#2910,#1164); +#2912=CARTESIAN_POINT('',(1.365E1,-4.13E0,-1.0585E1)); +#2913=LINE('',#2912,#1966); +#2914=LINE('',#2163,#1966); +#2915=CARTESIAN_POINT('',(1.429E1,-3.49E0,-1.055E1)); +#2916=LINE('',#2915,#1581); +#2917=LINE('',#2476,#1581); +#2918=CARTESIAN_POINT('',(1.429E1,-3.49E0,-2.332E1)); +#2919=LINE('',#2918,#1161); +#2920=CARTESIAN_POINT('',(1.365E1,-3.49E0,-2.332E1)); +#2921=LINE('',#2920,#971); +#2922=CARTESIAN_POINT('',(1.365E1,-4.13E0,-2.332E1)); +#2923=LINE('',#2922,#1167); +#2924=CARTESIAN_POINT('',(1.429E1,-4.13E0,-2.332E1)); +#2925=LINE('',#2924,#1164); +#2926=CARTESIAN_POINT('',(1.619E1,-4.13E0,-1.0585E1)); +#2927=LINE('',#2926,#1966); +#2928=LINE('',#2156,#1966); +#2929=CARTESIAN_POINT('',(1.683E1,-3.49E0,-1.055E1)); +#2930=LINE('',#2929,#1581); +#2931=LINE('',#2480,#1581); +#2932=CARTESIAN_POINT('',(1.683E1,-3.49E0,-2.332E1)); +#2933=LINE('',#2932,#1161); +#2934=CARTESIAN_POINT('',(1.619E1,-3.49E0,-2.332E1)); +#2935=LINE('',#2934,#971); +#2936=CARTESIAN_POINT('',(1.619E1,-4.13E0,-2.332E1)); +#2937=LINE('',#2936,#1167); +#2938=CARTESIAN_POINT('',(1.683E1,-4.13E0,-2.332E1)); +#2939=LINE('',#2938,#1164); +#2940=CARTESIAN_POINT('',(1.873E1,-4.13E0,-1.0585E1)); +#2941=LINE('',#2940,#1966); +#2942=LINE('',#2149,#1966); +#2943=CARTESIAN_POINT('',(1.937E1,-3.49E0,-1.055E1)); +#2944=LINE('',#2943,#1581); +#2945=LINE('',#2484,#1581); +#2946=CARTESIAN_POINT('',(1.937E1,-3.49E0,-2.332E1)); +#2947=LINE('',#2946,#1161); +#2948=CARTESIAN_POINT('',(1.873E1,-3.49E0,-2.332E1)); +#2949=LINE('',#2948,#971); +#2950=CARTESIAN_POINT('',(1.873E1,-4.13E0,-2.332E1)); +#2951=LINE('',#2950,#1167); +#2952=CARTESIAN_POINT('',(1.937E1,-4.13E0,-2.332E1)); +#2953=LINE('',#2952,#1164); +#2954=CARTESIAN_POINT('',(2.127E1,-4.13E0,-1.0585E1)); +#2955=LINE('',#2954,#1966); +#2956=LINE('',#2142,#1966); +#2957=CARTESIAN_POINT('',(2.191E1,-3.49E0,-1.055E1)); +#2958=LINE('',#2957,#1581); +#2959=LINE('',#2488,#1581); +#2960=CARTESIAN_POINT('',(2.191E1,-3.49E0,-2.332E1)); +#2961=LINE('',#2960,#1161); +#2962=CARTESIAN_POINT('',(2.127E1,-3.49E0,-2.332E1)); +#2963=LINE('',#2962,#971); +#2964=CARTESIAN_POINT('',(2.127E1,-4.13E0,-2.332E1)); +#2965=LINE('',#2964,#1167); +#2966=CARTESIAN_POINT('',(2.191E1,-4.13E0,-2.332E1)); +#2967=LINE('',#2966,#1164); +#2968=CARTESIAN_POINT('',(2.381E1,-4.13E0,-1.0585E1)); +#2969=LINE('',#2968,#1966); +#2970=LINE('',#2135,#1966); +#2971=CARTESIAN_POINT('',(2.445E1,-3.49E0,-1.055E1)); +#2972=LINE('',#2971,#1581); +#2973=LINE('',#2492,#1581); +#2974=CARTESIAN_POINT('',(2.445E1,-3.49E0,-2.332E1)); +#2975=LINE('',#2974,#1161); +#2976=CARTESIAN_POINT('',(2.381E1,-3.49E0,-2.332E1)); +#2977=LINE('',#2976,#971); +#2978=CARTESIAN_POINT('',(2.381E1,-4.13E0,-2.332E1)); +#2979=LINE('',#2978,#1167); +#2980=CARTESIAN_POINT('',(2.445E1,-4.13E0,-2.332E1)); +#2981=LINE('',#2980,#1164); +#2982=CARTESIAN_POINT('',(2.635E1,-4.13E0,-1.0585E1)); +#2983=LINE('',#2982,#1966); +#2984=LINE('',#2128,#1966); +#2985=CARTESIAN_POINT('',(2.699E1,-3.49E0,-1.055E1)); +#2986=LINE('',#2985,#1581); +#2987=LINE('',#2496,#1581); +#2988=CARTESIAN_POINT('',(2.699E1,-3.49E0,-2.332E1)); +#2989=LINE('',#2988,#1161); +#2990=CARTESIAN_POINT('',(2.635E1,-3.49E0,-2.332E1)); +#2991=LINE('',#2990,#971); +#2992=CARTESIAN_POINT('',(2.635E1,-4.13E0,-2.332E1)); +#2993=LINE('',#2992,#1167); +#2994=CARTESIAN_POINT('',(2.699E1,-4.13E0,-2.332E1)); +#2995=LINE('',#2994,#1164); +#2996=CARTESIAN_POINT('',(2.889E1,-4.13E0,-1.0585E1)); +#2997=LINE('',#2996,#1966); +#2998=LINE('',#2121,#1966); +#2999=CARTESIAN_POINT('',(2.953E1,-3.49E0,-2.332E1)); +#3000=LINE('',#2999,#1161); +#3001=CARTESIAN_POINT('',(2.889E1,-3.49E0,-2.332E1)); +#3002=LINE('',#3001,#971); +#3003=CARTESIAN_POINT('',(2.889E1,-4.13E0,-2.332E1)); +#3004=LINE('',#3003,#1167); +#3005=CARTESIAN_POINT('',(2.953E1,-4.13E0,-2.332E1)); +#3006=LINE('',#3005,#1164); +#3007=LINE('',#2500,#1581); +#3008=LINE('',#78,#919); +#3009=CARTESIAN_POINT('',(3.969E1,4.13E0,-1.0585E1)); +#3010=LINE('',#3009,#1966); +#3011=LINE('',#1104,#1966); +#3012=CARTESIAN_POINT('',(3.905E1,3.49E0,-1.055E1)); +#3013=LINE('',#3012,#1581); +#3014=LINE('',#1473,#1581); +#3015=CARTESIAN_POINT('',(3.969E1,4.13E0,-2.332E1)); +#3016=LINE('',#3015,#1161); +#3017=CARTESIAN_POINT('',(3.905E1,4.13E0,-2.332E1)); +#3018=LINE('',#3017,#971); +#3019=CARTESIAN_POINT('',(3.905E1,3.49E0,-2.332E1)); +#3020=LINE('',#3019,#1167); +#3021=CARTESIAN_POINT('',(3.969E1,3.49E0,-2.332E1)); +#3022=LINE('',#3021,#1164); +#3023=CARTESIAN_POINT('',(3.715E1,4.13E0,-1.0585E1)); +#3024=LINE('',#3023,#1966); +#3025=LINE('',#1098,#1966); +#3026=CARTESIAN_POINT('',(3.651E1,3.49E0,-1.055E1)); +#3027=LINE('',#3026,#1581); +#3028=LINE('',#1478,#1581); +#3029=CARTESIAN_POINT('',(3.715E1,4.13E0,-2.332E1)); +#3030=LINE('',#3029,#1161); +#3031=CARTESIAN_POINT('',(3.651E1,4.13E0,-2.332E1)); +#3032=LINE('',#3031,#971); +#3033=CARTESIAN_POINT('',(3.651E1,3.49E0,-2.332E1)); +#3034=LINE('',#3033,#1167); +#3035=CARTESIAN_POINT('',(3.715E1,3.49E0,-2.332E1)); +#3036=LINE('',#3035,#1164); +#3037=CARTESIAN_POINT('',(3.461E1,4.13E0,-1.0585E1)); +#3038=LINE('',#3037,#1966); +#3039=LINE('',#1092,#1966); +#3040=CARTESIAN_POINT('',(3.397E1,3.49E0,-1.055E1)); +#3041=LINE('',#3040,#1581); +#3042=LINE('',#1483,#1581); +#3043=CARTESIAN_POINT('',(3.461E1,4.13E0,-2.332E1)); +#3044=LINE('',#3043,#1161); +#3045=CARTESIAN_POINT('',(3.397E1,4.13E0,-2.332E1)); +#3046=LINE('',#3045,#971); +#3047=CARTESIAN_POINT('',(3.397E1,3.49E0,-2.332E1)); +#3048=LINE('',#3047,#1167); +#3049=CARTESIAN_POINT('',(3.461E1,3.49E0,-2.332E1)); +#3050=LINE('',#3049,#1164); +#3051=CARTESIAN_POINT('',(3.207E1,4.13E0,-1.0585E1)); +#3052=LINE('',#3051,#1966); +#3053=LINE('',#1086,#1966); +#3054=CARTESIAN_POINT('',(3.143E1,3.49E0,-1.055E1)); +#3055=LINE('',#3054,#1581); +#3056=LINE('',#1488,#1581); +#3057=CARTESIAN_POINT('',(3.207E1,4.13E0,-2.332E1)); +#3058=LINE('',#3057,#1161); +#3059=CARTESIAN_POINT('',(3.143E1,4.13E0,-2.332E1)); +#3060=LINE('',#3059,#971); +#3061=CARTESIAN_POINT('',(3.143E1,3.49E0,-2.332E1)); +#3062=LINE('',#3061,#1167); +#3063=CARTESIAN_POINT('',(3.207E1,3.49E0,-2.332E1)); +#3064=LINE('',#3063,#1164); +#3065=CARTESIAN_POINT('',(2.953E1,4.13E0,-1.0585E1)); +#3066=LINE('',#3065,#1966); +#3067=LINE('',#1080,#1966); +#3068=CARTESIAN_POINT('',(2.889E1,3.49E0,-1.055E1)); +#3069=LINE('',#3068,#1581); +#3070=LINE('',#1493,#1581); +#3071=CARTESIAN_POINT('',(2.953E1,4.13E0,-2.332E1)); +#3072=LINE('',#3071,#1161); +#3073=CARTESIAN_POINT('',(2.889E1,4.13E0,-2.332E1)); +#3074=LINE('',#3073,#971); +#3075=CARTESIAN_POINT('',(2.889E1,3.49E0,-2.332E1)); +#3076=LINE('',#3075,#1167); +#3077=CARTESIAN_POINT('',(2.953E1,3.49E0,-2.332E1)); +#3078=LINE('',#3077,#1164); +#3079=CARTESIAN_POINT('',(2.699E1,4.13E0,-1.0585E1)); +#3080=LINE('',#3079,#1966); +#3081=LINE('',#1074,#1966); +#3082=CARTESIAN_POINT('',(2.635E1,3.49E0,-1.055E1)); +#3083=LINE('',#3082,#1581); +#3084=LINE('',#1498,#1581); +#3085=CARTESIAN_POINT('',(2.699E1,4.13E0,-2.332E1)); +#3086=LINE('',#3085,#1161); +#3087=CARTESIAN_POINT('',(2.635E1,4.13E0,-2.332E1)); +#3088=LINE('',#3087,#971); +#3089=CARTESIAN_POINT('',(2.635E1,3.49E0,-2.332E1)); +#3090=LINE('',#3089,#1167); +#3091=CARTESIAN_POINT('',(2.699E1,3.49E0,-2.332E1)); +#3092=LINE('',#3091,#1164); +#3093=CARTESIAN_POINT('',(2.445E1,4.13E0,-1.0585E1)); +#3094=LINE('',#3093,#1966); +#3095=LINE('',#1068,#1966); +#3096=CARTESIAN_POINT('',(2.381E1,3.49E0,-1.055E1)); +#3097=LINE('',#3096,#1581); +#3098=LINE('',#1503,#1581); +#3099=CARTESIAN_POINT('',(2.445E1,4.13E0,-2.332E1)); +#3100=LINE('',#3099,#1161); +#3101=CARTESIAN_POINT('',(2.381E1,4.13E0,-2.332E1)); +#3102=LINE('',#3101,#971); +#3103=CARTESIAN_POINT('',(2.381E1,3.49E0,-2.332E1)); +#3104=LINE('',#3103,#1167); +#3105=CARTESIAN_POINT('',(2.445E1,3.49E0,-2.332E1)); +#3106=LINE('',#3105,#1164); +#3107=CARTESIAN_POINT('',(2.191E1,4.13E0,-1.0585E1)); +#3108=LINE('',#3107,#1966); +#3109=LINE('',#1062,#1966); +#3110=CARTESIAN_POINT('',(2.127E1,3.49E0,-1.055E1)); +#3111=LINE('',#3110,#1581); +#3112=LINE('',#1508,#1581); +#3113=CARTESIAN_POINT('',(2.191E1,4.13E0,-2.332E1)); +#3114=LINE('',#3113,#1161); +#3115=CARTESIAN_POINT('',(2.127E1,4.13E0,-2.332E1)); +#3116=LINE('',#3115,#971); +#3117=CARTESIAN_POINT('',(2.127E1,3.49E0,-2.332E1)); +#3118=LINE('',#3117,#1167); +#3119=CARTESIAN_POINT('',(2.191E1,3.49E0,-2.332E1)); +#3120=LINE('',#3119,#1164); +#3121=CARTESIAN_POINT('',(1.937E1,4.13E0,-1.0585E1)); +#3122=LINE('',#3121,#1966); +#3123=LINE('',#1056,#1966); +#3124=CARTESIAN_POINT('',(1.873E1,3.49E0,-1.055E1)); +#3125=LINE('',#3124,#1581); +#3126=LINE('',#1513,#1581); +#3127=CARTESIAN_POINT('',(1.937E1,4.13E0,-2.332E1)); +#3128=LINE('',#3127,#1161); +#3129=CARTESIAN_POINT('',(1.873E1,4.13E0,-2.332E1)); +#3130=LINE('',#3129,#971); +#3131=CARTESIAN_POINT('',(1.873E1,3.49E0,-2.332E1)); +#3132=LINE('',#3131,#1167); +#3133=CARTESIAN_POINT('',(1.937E1,3.49E0,-2.332E1)); +#3134=LINE('',#3133,#1164); +#3135=CARTESIAN_POINT('',(1.683E1,4.13E0,-1.0585E1)); +#3136=LINE('',#3135,#1966); +#3137=LINE('',#1050,#1966); +#3138=CARTESIAN_POINT('',(1.619E1,3.49E0,-1.055E1)); +#3139=LINE('',#3138,#1581); +#3140=LINE('',#1518,#1581); +#3141=CARTESIAN_POINT('',(1.683E1,4.13E0,-2.332E1)); +#3142=LINE('',#3141,#1161); +#3143=CARTESIAN_POINT('',(1.619E1,4.13E0,-2.332E1)); +#3144=LINE('',#3143,#971); +#3145=CARTESIAN_POINT('',(1.619E1,3.49E0,-2.332E1)); +#3146=LINE('',#3145,#1167); +#3147=CARTESIAN_POINT('',(1.683E1,3.49E0,-2.332E1)); +#3148=LINE('',#3147,#1164); +#3149=CARTESIAN_POINT('',(1.429E1,4.13E0,-1.0585E1)); +#3150=LINE('',#3149,#1966); +#3151=LINE('',#1044,#1966); +#3152=CARTESIAN_POINT('',(1.365E1,3.49E0,-1.055E1)); +#3153=LINE('',#3152,#1581); +#3154=LINE('',#1523,#1581); +#3155=CARTESIAN_POINT('',(1.429E1,4.13E0,-2.332E1)); +#3156=LINE('',#3155,#1161); +#3157=CARTESIAN_POINT('',(1.365E1,4.13E0,-2.332E1)); +#3158=LINE('',#3157,#971); +#3159=CARTESIAN_POINT('',(1.365E1,3.49E0,-2.332E1)); +#3160=LINE('',#3159,#1167); +#3161=CARTESIAN_POINT('',(1.429E1,3.49E0,-2.332E1)); +#3162=LINE('',#3161,#1164); +#3163=CARTESIAN_POINT('',(1.175E1,4.13E0,-1.0585E1)); +#3164=LINE('',#3163,#1966); +#3165=LINE('',#1038,#1966); +#3166=CARTESIAN_POINT('',(1.111E1,3.49E0,-1.055E1)); +#3167=LINE('',#3166,#1581); +#3168=LINE('',#1528,#1581); +#3169=CARTESIAN_POINT('',(1.175E1,4.13E0,-2.332E1)); +#3170=LINE('',#3169,#1161); +#3171=CARTESIAN_POINT('',(1.111E1,4.13E0,-2.332E1)); +#3172=LINE('',#3171,#971); +#3173=CARTESIAN_POINT('',(1.111E1,3.49E0,-2.332E1)); +#3174=LINE('',#3173,#1167); +#3175=CARTESIAN_POINT('',(1.175E1,3.49E0,-2.332E1)); +#3176=LINE('',#3175,#1164); +#3177=CARTESIAN_POINT('',(9.21E0,4.13E0,-1.0585E1)); +#3178=LINE('',#3177,#1966); +#3179=LINE('',#1032,#1966); +#3180=CARTESIAN_POINT('',(8.57E0,3.49E0,-1.055E1)); +#3181=LINE('',#3180,#1581); +#3182=LINE('',#1533,#1581); +#3183=CARTESIAN_POINT('',(9.21E0,4.13E0,-2.332E1)); +#3184=LINE('',#3183,#1161); +#3185=CARTESIAN_POINT('',(8.57E0,4.13E0,-2.332E1)); +#3186=LINE('',#3185,#971); +#3187=CARTESIAN_POINT('',(8.57E0,3.49E0,-2.332E1)); +#3188=LINE('',#3187,#1167); +#3189=CARTESIAN_POINT('',(9.21E0,3.49E0,-2.332E1)); +#3190=LINE('',#3189,#1164); +#3191=CARTESIAN_POINT('',(6.67E0,4.13E0,-1.0585E1)); +#3192=LINE('',#3191,#1966); +#3193=LINE('',#1026,#1966); +#3194=CARTESIAN_POINT('',(6.03E0,3.49E0,-1.055E1)); +#3195=LINE('',#3194,#1581); +#3196=LINE('',#1538,#1581); +#3197=CARTESIAN_POINT('',(6.67E0,4.13E0,-2.332E1)); +#3198=LINE('',#3197,#1161); +#3199=CARTESIAN_POINT('',(6.03E0,4.13E0,-2.332E1)); +#3200=LINE('',#3199,#971); +#3201=CARTESIAN_POINT('',(6.03E0,3.49E0,-2.332E1)); +#3202=LINE('',#3201,#1167); +#3203=CARTESIAN_POINT('',(6.67E0,3.49E0,-2.332E1)); +#3204=LINE('',#3203,#1164); +#3205=CARTESIAN_POINT('',(4.13E0,4.13E0,-1.0585E1)); +#3206=LINE('',#3205,#1966); +#3207=LINE('',#1020,#1966); +#3208=CARTESIAN_POINT('',(3.49E0,3.49E0,-1.055E1)); +#3209=LINE('',#3208,#1581); +#3210=LINE('',#1543,#1581); +#3211=CARTESIAN_POINT('',(4.13E0,4.13E0,-2.332E1)); +#3212=LINE('',#3211,#1161); +#3213=CARTESIAN_POINT('',(3.49E0,4.13E0,-2.332E1)); +#3214=LINE('',#3213,#971); +#3215=CARTESIAN_POINT('',(3.49E0,3.49E0,-2.332E1)); +#3216=LINE('',#3215,#1167); +#3217=CARTESIAN_POINT('',(4.13E0,3.49E0,-2.332E1)); +#3218=LINE('',#3217,#1164); +#3219=CARTESIAN_POINT('',(1.59E0,4.13E0,-1.0585E1)); +#3220=LINE('',#3219,#1966); +#3221=LINE('',#1014,#1966); +#3222=CARTESIAN_POINT('',(9.5E-1,3.49E0,-1.055E1)); +#3223=LINE('',#3222,#1581); +#3224=LINE('',#1548,#1581); +#3225=CARTESIAN_POINT('',(1.59E0,4.13E0,-2.332E1)); +#3226=LINE('',#3225,#1161); +#3227=CARTESIAN_POINT('',(9.5E-1,4.13E0,-2.332E1)); +#3228=LINE('',#3227,#971); +#3229=CARTESIAN_POINT('',(9.5E-1,3.49E0,-2.332E1)); +#3230=LINE('',#3229,#1167); +#3231=CARTESIAN_POINT('',(1.59E0,3.49E0,-2.332E1)); +#3232=LINE('',#3231,#1164); +#3233=CARTESIAN_POINT('',(-9.5E-1,4.13E0,-1.0585E1)); +#3234=LINE('',#3233,#1966); +#3235=LINE('',#1008,#1966); +#3236=CARTESIAN_POINT('',(-1.59E0,3.49E0,-1.055E1)); +#3237=LINE('',#3236,#1581); +#3238=LINE('',#1553,#1581); +#3239=CARTESIAN_POINT('',(-9.5E-1,4.13E0,-2.332E1)); +#3240=LINE('',#3239,#1161); +#3241=CARTESIAN_POINT('',(-1.59E0,4.13E0,-2.332E1)); +#3242=LINE('',#3241,#971); +#3243=CARTESIAN_POINT('',(-1.59E0,3.49E0,-2.332E1)); +#3244=LINE('',#3243,#1167); +#3245=CARTESIAN_POINT('',(-9.5E-1,3.49E0,-2.332E1)); +#3246=LINE('',#3245,#1164); +#3247=CARTESIAN_POINT('',(-3.49E0,4.13E0,-1.0585E1)); +#3248=LINE('',#3247,#1966); +#3249=LINE('',#1002,#1966); +#3250=CARTESIAN_POINT('',(-4.13E0,3.49E0,-1.055E1)); +#3251=LINE('',#3250,#1581); +#3252=LINE('',#1558,#1581); +#3253=CARTESIAN_POINT('',(-3.49E0,4.13E0,-2.332E1)); +#3254=LINE('',#3253,#1161); +#3255=CARTESIAN_POINT('',(-4.13E0,4.13E0,-2.332E1)); +#3256=LINE('',#3255,#971); +#3257=CARTESIAN_POINT('',(-4.13E0,3.49E0,-2.332E1)); +#3258=LINE('',#3257,#1167); +#3259=CARTESIAN_POINT('',(-3.49E0,3.49E0,-2.332E1)); +#3260=LINE('',#3259,#1164); +#3261=CARTESIAN_POINT('',(-6.03E0,4.13E0,-1.0585E1)); +#3262=LINE('',#3261,#1966); +#3263=LINE('',#996,#1966); +#3264=CARTESIAN_POINT('',(-6.67E0,3.49E0,-1.055E1)); +#3265=LINE('',#3264,#1581); +#3266=LINE('',#1563,#1581); +#3267=CARTESIAN_POINT('',(-6.03E0,4.13E0,-2.332E1)); +#3268=LINE('',#3267,#1161); +#3269=CARTESIAN_POINT('',(-6.67E0,4.13E0,-2.332E1)); +#3270=LINE('',#3269,#971); +#3271=CARTESIAN_POINT('',(-6.67E0,3.49E0,-2.332E1)); +#3272=LINE('',#3271,#1167); +#3273=CARTESIAN_POINT('',(-6.03E0,3.49E0,-2.332E1)); +#3274=LINE('',#3273,#1164); +#3275=CARTESIAN_POINT('',(-8.57E0,4.13E0,-1.0585E1)); +#3276=LINE('',#3275,#1966); +#3277=LINE('',#990,#1966); +#3278=CARTESIAN_POINT('',(-9.21E0,3.49E0,-1.055E1)); +#3279=LINE('',#3278,#1581); +#3280=LINE('',#1568,#1581); +#3281=CARTESIAN_POINT('',(-8.57E0,4.13E0,-2.332E1)); +#3282=LINE('',#3281,#1161); +#3283=CARTESIAN_POINT('',(-9.21E0,4.13E0,-2.332E1)); +#3284=LINE('',#3283,#971); +#3285=CARTESIAN_POINT('',(-9.21E0,3.49E0,-2.332E1)); +#3286=LINE('',#3285,#1167); +#3287=CARTESIAN_POINT('',(-8.57E0,3.49E0,-2.332E1)); +#3288=LINE('',#3287,#1164); +#3289=CARTESIAN_POINT('',(-1.111E1,4.13E0,-1.0585E1)); +#3290=LINE('',#3289,#1966); +#3291=LINE('',#980,#1966); +#3292=CARTESIAN_POINT('',(-1.175E1,3.49E0,-1.055E1)); +#3293=LINE('',#3292,#1581); +#3294=LINE('',#1573,#1581); +#3295=CARTESIAN_POINT('',(-1.111E1,4.13E0,-2.332E1)); +#3296=LINE('',#3295,#1161); +#3297=CARTESIAN_POINT('',(-1.175E1,4.13E0,-2.332E1)); +#3298=LINE('',#3297,#971); +#3299=CARTESIAN_POINT('',(-1.175E1,3.49E0,-2.332E1)); +#3300=LINE('',#3299,#1167); +#3301=CARTESIAN_POINT('',(-1.111E1,3.49E0,-2.332E1)); +#3302=LINE('',#3301,#1164); +#3303=CARTESIAN_POINT('',(-1.365E1,4.13E0,-1.0585E1)); +#3304=LINE('',#3303,#1966); +#3305=LINE('',#972,#1966); +#3306=CARTESIAN_POINT('',(-1.429E1,3.49E0,-1.055E1)); +#3307=LINE('',#3306,#1581); +#3308=LINE('',#1578,#1581); +#3309=CARTESIAN_POINT('',(-1.365E1,4.13E0,-2.332E1)); +#3310=LINE('',#3309,#1161); +#3311=CARTESIAN_POINT('',(-1.429E1,4.13E0,-2.332E1)); +#3312=LINE('',#3311,#971); +#3313=CARTESIAN_POINT('',(-1.429E1,3.49E0,-2.332E1)); +#3314=LINE('',#3313,#1167); +#3315=CARTESIAN_POINT('',(-1.365E1,3.49E0,-2.332E1)); +#3316=LINE('',#3315,#1164); +#3317=DIRECTION('',(-5.748181278443E-1,5.823815242633E-1,5.748181278443E-1)); +#3318=VECTOR('',#3317,6.610786640030E-1); +#3319=CARTESIAN_POINT('',(-3.9735E1,4.17E0,-3.8E-1)); +#3320=LINE('',#3319,#3318); +#3321=DIRECTION('',(5.748181278443E-1,5.823815242633E-1,5.748181278443E-1)); +#3322=VECTOR('',#3321,6.610786640030E-1); +#3323=CARTESIAN_POINT('',(-3.9005E1,4.17E0,-3.8E-1)); +#3324=LINE('',#3323,#3322); +#3325=DIRECTION('',(5.748181278443E-1,-5.823815242633E-1,5.748181278443E-1)); +#3326=VECTOR('',#3325,6.610786640030E-1); +#3327=CARTESIAN_POINT('',(-3.9005E1,3.45E0,-3.8E-1)); +#3328=LINE('',#3327,#3326); +#3329=DIRECTION('',(-5.748181278443E-1,-5.823815242633E-1,5.748181278443E-1)); +#3330=VECTOR('',#3329,6.610786640030E-1); +#3331=CARTESIAN_POINT('',(-3.9735E1,3.45E0,-3.8E-1)); +#3332=LINE('',#3331,#3330); +#3333=VECTOR('',#72,7.2E-1); +#3334=LINE('',#3319,#3333); +#3335=VECTOR('',#76,7.3E-1); +#3336=LINE('',#3323,#3335); +#3337=VECTOR('',#80,7.2E-1); +#3338=LINE('',#3327,#3337); +#3339=VECTOR('',#84,7.3E-1); +#3340=LINE('',#3331,#3339); +#3341=CARTESIAN_POINT('',(-3.7195E1,4.17E0,-3.8E-1)); +#3342=LINE('',#3341,#3318); +#3343=CARTESIAN_POINT('',(-3.6465E1,4.17E0,-3.8E-1)); +#3344=LINE('',#3343,#3322); +#3345=CARTESIAN_POINT('',(-3.6465E1,3.45E0,-3.8E-1)); +#3346=LINE('',#3345,#3326); +#3347=CARTESIAN_POINT('',(-3.7195E1,3.45E0,-3.8E-1)); +#3348=LINE('',#3347,#3330); +#3349=LINE('',#3341,#3333); +#3350=LINE('',#3343,#3335); +#3351=LINE('',#3345,#3337); +#3352=LINE('',#3347,#3339); +#3353=CARTESIAN_POINT('',(-3.4655E1,4.17E0,-3.8E-1)); +#3354=LINE('',#3353,#3318); +#3355=CARTESIAN_POINT('',(-3.3925E1,4.17E0,-3.8E-1)); +#3356=LINE('',#3355,#3322); +#3357=CARTESIAN_POINT('',(-3.3925E1,3.45E0,-3.8E-1)); +#3358=LINE('',#3357,#3326); +#3359=CARTESIAN_POINT('',(-3.4655E1,3.45E0,-3.8E-1)); +#3360=LINE('',#3359,#3330); +#3361=LINE('',#3353,#3333); +#3362=LINE('',#3355,#3335); +#3363=LINE('',#3357,#3337); +#3364=LINE('',#3359,#3339); +#3365=CARTESIAN_POINT('',(-3.2115E1,4.17E0,-3.8E-1)); +#3366=LINE('',#3365,#3318); +#3367=CARTESIAN_POINT('',(-3.1385E1,4.17E0,-3.8E-1)); +#3368=LINE('',#3367,#3322); +#3369=CARTESIAN_POINT('',(-3.1385E1,3.45E0,-3.8E-1)); +#3370=LINE('',#3369,#3326); +#3371=CARTESIAN_POINT('',(-3.2115E1,3.45E0,-3.8E-1)); +#3372=LINE('',#3371,#3330); +#3373=LINE('',#3365,#3333); +#3374=LINE('',#3367,#3335); +#3375=LINE('',#3369,#3337); +#3376=LINE('',#3371,#3339); +#3377=CARTESIAN_POINT('',(-2.9575E1,4.17E0,-3.8E-1)); +#3378=LINE('',#3377,#3318); +#3379=CARTESIAN_POINT('',(-2.8845E1,4.17E0,-3.8E-1)); +#3380=LINE('',#3379,#3322); +#3381=CARTESIAN_POINT('',(-2.8845E1,3.45E0,-3.8E-1)); +#3382=LINE('',#3381,#3326); +#3383=CARTESIAN_POINT('',(-2.9575E1,3.45E0,-3.8E-1)); +#3384=LINE('',#3383,#3330); +#3385=LINE('',#3377,#3333); +#3386=LINE('',#3379,#3335); +#3387=LINE('',#3381,#3337); +#3388=LINE('',#3383,#3339); +#3389=CARTESIAN_POINT('',(-2.7035E1,4.17E0,-3.8E-1)); +#3390=LINE('',#3389,#3318); +#3391=CARTESIAN_POINT('',(-2.6305E1,4.17E0,-3.8E-1)); +#3392=LINE('',#3391,#3322); +#3393=CARTESIAN_POINT('',(-2.6305E1,3.45E0,-3.8E-1)); +#3394=LINE('',#3393,#3326); +#3395=CARTESIAN_POINT('',(-2.7035E1,3.45E0,-3.8E-1)); +#3396=LINE('',#3395,#3330); +#3397=LINE('',#3389,#3333); +#3398=LINE('',#3391,#3335); +#3399=LINE('',#3393,#3337); +#3400=LINE('',#3395,#3339); +#3401=CARTESIAN_POINT('',(-2.4495E1,4.17E0,-3.8E-1)); +#3402=LINE('',#3401,#3318); +#3403=CARTESIAN_POINT('',(-2.3765E1,4.17E0,-3.8E-1)); +#3404=LINE('',#3403,#3322); +#3405=CARTESIAN_POINT('',(-2.3765E1,3.45E0,-3.8E-1)); +#3406=LINE('',#3405,#3326); +#3407=CARTESIAN_POINT('',(-2.4495E1,3.45E0,-3.8E-1)); +#3408=LINE('',#3407,#3330); +#3409=LINE('',#3401,#3333); +#3410=LINE('',#3403,#3335); +#3411=LINE('',#3405,#3337); +#3412=LINE('',#3407,#3339); +#3413=CARTESIAN_POINT('',(-2.1955E1,4.17E0,-3.8E-1)); +#3414=LINE('',#3413,#3318); +#3415=CARTESIAN_POINT('',(-2.1225E1,4.17E0,-3.8E-1)); +#3416=LINE('',#3415,#3322); +#3417=CARTESIAN_POINT('',(-2.1225E1,3.45E0,-3.8E-1)); +#3418=LINE('',#3417,#3326); +#3419=CARTESIAN_POINT('',(-2.1955E1,3.45E0,-3.8E-1)); +#3420=LINE('',#3419,#3330); +#3421=LINE('',#3413,#3333); +#3422=LINE('',#3415,#3335); +#3423=LINE('',#3417,#3337); +#3424=LINE('',#3419,#3339); +#3425=CARTESIAN_POINT('',(-1.9415E1,4.17E0,-3.8E-1)); +#3426=LINE('',#3425,#3318); +#3427=CARTESIAN_POINT('',(-1.8685E1,4.17E0,-3.8E-1)); +#3428=LINE('',#3427,#3322); +#3429=CARTESIAN_POINT('',(-1.8685E1,3.45E0,-3.8E-1)); +#3430=LINE('',#3429,#3326); +#3431=CARTESIAN_POINT('',(-1.9415E1,3.45E0,-3.8E-1)); +#3432=LINE('',#3431,#3330); +#3433=LINE('',#3425,#3333); +#3434=LINE('',#3427,#3335); +#3435=LINE('',#3429,#3337); +#3436=LINE('',#3431,#3339); +#3437=CARTESIAN_POINT('',(-1.4335E1,4.17E0,-3.8E-1)); +#3438=LINE('',#3437,#3318); +#3439=CARTESIAN_POINT('',(-1.3605E1,4.17E0,-3.8E-1)); +#3440=LINE('',#3439,#3322); +#3441=CARTESIAN_POINT('',(-1.3605E1,3.45E0,-3.8E-1)); +#3442=LINE('',#3441,#3326); +#3443=CARTESIAN_POINT('',(-1.4335E1,3.45E0,-3.8E-1)); +#3444=LINE('',#3443,#3330); +#3445=LINE('',#3437,#3333); +#3446=LINE('',#3439,#3335); +#3447=LINE('',#3441,#3337); +#3448=LINE('',#3443,#3339); +#3449=CARTESIAN_POINT('',(-1.1795E1,4.17E0,-3.8E-1)); +#3450=LINE('',#3449,#3318); +#3451=CARTESIAN_POINT('',(-1.1065E1,4.17E0,-3.8E-1)); +#3452=LINE('',#3451,#3322); +#3453=CARTESIAN_POINT('',(-1.1065E1,3.45E0,-3.8E-1)); +#3454=LINE('',#3453,#3326); +#3455=CARTESIAN_POINT('',(-1.1795E1,3.45E0,-3.8E-1)); +#3456=LINE('',#3455,#3330); +#3457=LINE('',#3449,#3333); +#3458=LINE('',#3451,#3335); +#3459=LINE('',#3453,#3337); +#3460=LINE('',#3455,#3339); +#3461=CARTESIAN_POINT('',(-9.255E0,4.17E0,-3.8E-1)); +#3462=LINE('',#3461,#3318); +#3463=CARTESIAN_POINT('',(-8.525E0,4.17E0,-3.8E-1)); +#3464=LINE('',#3463,#3322); +#3465=CARTESIAN_POINT('',(-8.525E0,3.45E0,-3.8E-1)); +#3466=LINE('',#3465,#3326); +#3467=CARTESIAN_POINT('',(-9.255E0,3.45E0,-3.8E-1)); +#3468=LINE('',#3467,#3330); +#3469=LINE('',#3461,#3333); +#3470=LINE('',#3463,#3335); +#3471=LINE('',#3465,#3337); +#3472=LINE('',#3467,#3339); +#3473=CARTESIAN_POINT('',(-6.715E0,4.17E0,-3.8E-1)); +#3474=LINE('',#3473,#3318); +#3475=CARTESIAN_POINT('',(-5.985E0,4.17E0,-3.8E-1)); +#3476=LINE('',#3475,#3322); +#3477=CARTESIAN_POINT('',(-5.985E0,3.45E0,-3.8E-1)); +#3478=LINE('',#3477,#3326); +#3479=CARTESIAN_POINT('',(-6.715E0,3.45E0,-3.8E-1)); +#3480=LINE('',#3479,#3330); +#3481=LINE('',#3473,#3333); +#3482=LINE('',#3475,#3335); +#3483=LINE('',#3477,#3337); +#3484=LINE('',#3479,#3339); +#3485=CARTESIAN_POINT('',(-4.175E0,4.17E0,-3.8E-1)); +#3486=LINE('',#3485,#3318); +#3487=CARTESIAN_POINT('',(-3.445E0,4.17E0,-3.8E-1)); +#3488=LINE('',#3487,#3322); +#3489=CARTESIAN_POINT('',(-3.445E0,3.45E0,-3.8E-1)); +#3490=LINE('',#3489,#3326); +#3491=CARTESIAN_POINT('',(-4.175E0,3.45E0,-3.8E-1)); +#3492=LINE('',#3491,#3330); +#3493=LINE('',#3485,#3333); +#3494=LINE('',#3487,#3335); +#3495=LINE('',#3489,#3337); +#3496=LINE('',#3491,#3339); +#3497=CARTESIAN_POINT('',(-1.635E0,4.17E0,-3.8E-1)); +#3498=LINE('',#3497,#3318); +#3499=CARTESIAN_POINT('',(-9.05E-1,4.17E0,-3.8E-1)); +#3500=LINE('',#3499,#3322); +#3501=CARTESIAN_POINT('',(-9.05E-1,3.45E0,-3.8E-1)); +#3502=LINE('',#3501,#3326); +#3503=CARTESIAN_POINT('',(-1.635E0,3.45E0,-3.8E-1)); +#3504=LINE('',#3503,#3330); +#3505=LINE('',#3497,#3333); +#3506=LINE('',#3499,#3335); +#3507=LINE('',#3501,#3337); +#3508=LINE('',#3503,#3339); +#3509=CARTESIAN_POINT('',(9.05E-1,4.17E0,-3.8E-1)); +#3510=LINE('',#3509,#3318); +#3511=CARTESIAN_POINT('',(1.635E0,4.17E0,-3.8E-1)); +#3512=LINE('',#3511,#3322); +#3513=CARTESIAN_POINT('',(1.635E0,3.45E0,-3.8E-1)); +#3514=LINE('',#3513,#3326); +#3515=CARTESIAN_POINT('',(9.05E-1,3.45E0,-3.8E-1)); +#3516=LINE('',#3515,#3330); +#3517=LINE('',#3509,#3333); +#3518=LINE('',#3511,#3335); +#3519=LINE('',#3513,#3337); +#3520=LINE('',#3515,#3339); +#3521=CARTESIAN_POINT('',(3.445E0,4.17E0,-3.8E-1)); +#3522=LINE('',#3521,#3318); +#3523=CARTESIAN_POINT('',(4.175E0,4.17E0,-3.8E-1)); +#3524=LINE('',#3523,#3322); +#3525=CARTESIAN_POINT('',(4.175E0,3.45E0,-3.8E-1)); +#3526=LINE('',#3525,#3326); +#3527=CARTESIAN_POINT('',(3.445E0,3.45E0,-3.8E-1)); +#3528=LINE('',#3527,#3330); +#3529=LINE('',#3521,#3333); +#3530=LINE('',#3523,#3335); +#3531=LINE('',#3525,#3337); +#3532=LINE('',#3527,#3339); +#3533=CARTESIAN_POINT('',(5.985E0,4.17E0,-3.8E-1)); +#3534=LINE('',#3533,#3318); +#3535=CARTESIAN_POINT('',(6.715E0,4.17E0,-3.8E-1)); +#3536=LINE('',#3535,#3322); +#3537=CARTESIAN_POINT('',(6.715E0,3.45E0,-3.8E-1)); +#3538=LINE('',#3537,#3326); +#3539=CARTESIAN_POINT('',(5.985E0,3.45E0,-3.8E-1)); +#3540=LINE('',#3539,#3330); +#3541=LINE('',#3533,#3333); +#3542=LINE('',#3535,#3335); +#3543=LINE('',#3537,#3337); +#3544=LINE('',#3539,#3339); +#3545=CARTESIAN_POINT('',(8.525E0,4.17E0,-3.8E-1)); +#3546=LINE('',#3545,#3318); +#3547=CARTESIAN_POINT('',(9.255E0,4.17E0,-3.8E-1)); +#3548=LINE('',#3547,#3322); +#3549=CARTESIAN_POINT('',(9.255E0,3.45E0,-3.8E-1)); +#3550=LINE('',#3549,#3326); +#3551=CARTESIAN_POINT('',(8.525E0,3.45E0,-3.8E-1)); +#3552=LINE('',#3551,#3330); +#3553=LINE('',#3545,#3333); +#3554=LINE('',#3547,#3335); +#3555=LINE('',#3549,#3337); +#3556=LINE('',#3551,#3339); +#3557=CARTESIAN_POINT('',(1.1065E1,4.17E0,-3.8E-1)); +#3558=LINE('',#3557,#3318); +#3559=CARTESIAN_POINT('',(1.1795E1,4.17E0,-3.8E-1)); +#3560=LINE('',#3559,#3322); +#3561=CARTESIAN_POINT('',(1.1795E1,3.45E0,-3.8E-1)); +#3562=LINE('',#3561,#3326); +#3563=CARTESIAN_POINT('',(1.1065E1,3.45E0,-3.8E-1)); +#3564=LINE('',#3563,#3330); +#3565=LINE('',#3557,#3333); +#3566=LINE('',#3559,#3335); +#3567=LINE('',#3561,#3337); +#3568=LINE('',#3563,#3339); +#3569=CARTESIAN_POINT('',(1.3605E1,4.17E0,-3.8E-1)); +#3570=LINE('',#3569,#3318); +#3571=CARTESIAN_POINT('',(1.4335E1,4.17E0,-3.8E-1)); +#3572=LINE('',#3571,#3322); +#3573=CARTESIAN_POINT('',(1.4335E1,3.45E0,-3.8E-1)); +#3574=LINE('',#3573,#3326); +#3575=CARTESIAN_POINT('',(1.3605E1,3.45E0,-3.8E-1)); +#3576=LINE('',#3575,#3330); +#3577=LINE('',#3569,#3333); +#3578=LINE('',#3571,#3335); +#3579=LINE('',#3573,#3337); +#3580=LINE('',#3575,#3339); +#3581=CARTESIAN_POINT('',(1.6145E1,4.17E0,-3.8E-1)); +#3582=LINE('',#3581,#3318); +#3583=CARTESIAN_POINT('',(1.6875E1,4.17E0,-3.8E-1)); +#3584=LINE('',#3583,#3322); +#3585=CARTESIAN_POINT('',(1.6875E1,3.45E0,-3.8E-1)); +#3586=LINE('',#3585,#3326); +#3587=CARTESIAN_POINT('',(1.6145E1,3.45E0,-3.8E-1)); +#3588=LINE('',#3587,#3330); +#3589=LINE('',#3581,#3333); +#3590=LINE('',#3583,#3335); +#3591=LINE('',#3585,#3337); +#3592=LINE('',#3587,#3339); +#3593=CARTESIAN_POINT('',(1.8685E1,4.17E0,-3.8E-1)); +#3594=LINE('',#3593,#3318); +#3595=CARTESIAN_POINT('',(1.9415E1,4.17E0,-3.8E-1)); +#3596=LINE('',#3595,#3322); +#3597=CARTESIAN_POINT('',(1.9415E1,3.45E0,-3.8E-1)); +#3598=LINE('',#3597,#3326); +#3599=CARTESIAN_POINT('',(1.8685E1,3.45E0,-3.8E-1)); +#3600=LINE('',#3599,#3330); +#3601=LINE('',#3593,#3333); +#3602=LINE('',#3595,#3335); +#3603=LINE('',#3597,#3337); +#3604=LINE('',#3599,#3339); +#3605=CARTESIAN_POINT('',(2.1225E1,4.17E0,-3.8E-1)); +#3606=LINE('',#3605,#3318); +#3607=CARTESIAN_POINT('',(2.1955E1,4.17E0,-3.8E-1)); +#3608=LINE('',#3607,#3322); +#3609=CARTESIAN_POINT('',(2.1955E1,3.45E0,-3.8E-1)); +#3610=LINE('',#3609,#3326); +#3611=CARTESIAN_POINT('',(2.1225E1,3.45E0,-3.8E-1)); +#3612=LINE('',#3611,#3330); +#3613=LINE('',#3605,#3333); +#3614=LINE('',#3607,#3335); +#3615=LINE('',#3609,#3337); +#3616=LINE('',#3611,#3339); +#3617=CARTESIAN_POINT('',(2.3765E1,4.17E0,-3.8E-1)); +#3618=LINE('',#3617,#3318); +#3619=CARTESIAN_POINT('',(2.4495E1,4.17E0,-3.8E-1)); +#3620=LINE('',#3619,#3322); +#3621=CARTESIAN_POINT('',(2.4495E1,3.45E0,-3.8E-1)); +#3622=LINE('',#3621,#3326); +#3623=CARTESIAN_POINT('',(2.3765E1,3.45E0,-3.8E-1)); +#3624=LINE('',#3623,#3330); +#3625=LINE('',#3617,#3333); +#3626=LINE('',#3619,#3335); +#3627=LINE('',#3621,#3337); +#3628=LINE('',#3623,#3339); +#3629=CARTESIAN_POINT('',(2.6305E1,4.17E0,-3.8E-1)); +#3630=LINE('',#3629,#3318); +#3631=CARTESIAN_POINT('',(2.7035E1,4.17E0,-3.8E-1)); +#3632=LINE('',#3631,#3322); +#3633=CARTESIAN_POINT('',(2.7035E1,3.45E0,-3.8E-1)); +#3634=LINE('',#3633,#3326); +#3635=CARTESIAN_POINT('',(2.6305E1,3.45E0,-3.8E-1)); +#3636=LINE('',#3635,#3330); +#3637=LINE('',#3629,#3333); +#3638=LINE('',#3631,#3335); +#3639=LINE('',#3633,#3337); +#3640=LINE('',#3635,#3339); +#3641=CARTESIAN_POINT('',(2.8845E1,4.17E0,-3.8E-1)); +#3642=LINE('',#3641,#3318); +#3643=CARTESIAN_POINT('',(2.9575E1,4.17E0,-3.8E-1)); +#3644=LINE('',#3643,#3322); +#3645=CARTESIAN_POINT('',(2.9575E1,3.45E0,-3.8E-1)); +#3646=LINE('',#3645,#3326); +#3647=CARTESIAN_POINT('',(2.8845E1,3.45E0,-3.8E-1)); +#3648=LINE('',#3647,#3330); +#3649=LINE('',#3641,#3333); +#3650=LINE('',#3643,#3335); +#3651=LINE('',#3645,#3337); +#3652=LINE('',#3647,#3339); +#3653=CARTESIAN_POINT('',(3.1385E1,4.17E0,-3.8E-1)); +#3654=LINE('',#3653,#3318); +#3655=CARTESIAN_POINT('',(3.2115E1,4.17E0,-3.8E-1)); +#3656=LINE('',#3655,#3322); +#3657=CARTESIAN_POINT('',(3.2115E1,3.45E0,-3.8E-1)); +#3658=LINE('',#3657,#3326); +#3659=CARTESIAN_POINT('',(3.1385E1,3.45E0,-3.8E-1)); +#3660=LINE('',#3659,#3330); +#3661=LINE('',#3653,#3333); +#3662=LINE('',#3655,#3335); +#3663=LINE('',#3657,#3337); +#3664=LINE('',#3659,#3339); +#3665=CARTESIAN_POINT('',(3.3925E1,4.17E0,-3.8E-1)); +#3666=LINE('',#3665,#3318); +#3667=CARTESIAN_POINT('',(3.4655E1,4.17E0,-3.8E-1)); +#3668=LINE('',#3667,#3322); +#3669=CARTESIAN_POINT('',(3.4655E1,3.45E0,-3.8E-1)); +#3670=LINE('',#3669,#3326); +#3671=CARTESIAN_POINT('',(3.3925E1,3.45E0,-3.8E-1)); +#3672=LINE('',#3671,#3330); +#3673=LINE('',#3665,#3333); +#3674=LINE('',#3667,#3335); +#3675=LINE('',#3669,#3337); +#3676=LINE('',#3671,#3339); +#3677=CARTESIAN_POINT('',(3.6465E1,4.17E0,-3.8E-1)); +#3678=LINE('',#3677,#3318); +#3679=CARTESIAN_POINT('',(3.7195E1,4.17E0,-3.8E-1)); +#3680=LINE('',#3679,#3322); +#3681=CARTESIAN_POINT('',(3.7195E1,3.45E0,-3.8E-1)); +#3682=LINE('',#3681,#3326); +#3683=CARTESIAN_POINT('',(3.6465E1,3.45E0,-3.8E-1)); +#3684=LINE('',#3683,#3330); +#3685=LINE('',#3677,#3333); +#3686=LINE('',#3679,#3335); +#3687=LINE('',#3681,#3337); +#3688=LINE('',#3683,#3339); +#3689=CARTESIAN_POINT('',(3.9005E1,4.17E0,-3.8E-1)); +#3690=LINE('',#3689,#3318); +#3691=CARTESIAN_POINT('',(3.9735E1,4.17E0,-3.8E-1)); +#3692=LINE('',#3691,#3322); +#3693=CARTESIAN_POINT('',(3.9735E1,3.45E0,-3.8E-1)); +#3694=LINE('',#3693,#3326); +#3695=CARTESIAN_POINT('',(3.9005E1,3.45E0,-3.8E-1)); +#3696=LINE('',#3695,#3330); +#3697=LINE('',#3689,#3333); +#3698=LINE('',#3691,#3335); +#3699=LINE('',#3693,#3337); +#3700=LINE('',#3695,#3339); +#3701=CARTESIAN_POINT('',(-3.9735E1,1.63E0,-3.8E-1)); +#3702=LINE('',#3701,#3318); +#3703=CARTESIAN_POINT('',(-3.9005E1,1.63E0,-3.8E-1)); +#3704=LINE('',#3703,#3322); +#3705=CARTESIAN_POINT('',(-3.9005E1,9.1E-1,-3.8E-1)); +#3706=LINE('',#3705,#3326); +#3707=CARTESIAN_POINT('',(-3.9735E1,9.1E-1,-3.8E-1)); +#3708=LINE('',#3707,#3330); +#3709=LINE('',#3701,#3333); +#3710=LINE('',#3703,#3335); +#3711=LINE('',#3705,#3337); +#3712=LINE('',#3707,#3339); +#3713=CARTESIAN_POINT('',(-3.7195E1,1.63E0,-3.8E-1)); +#3714=LINE('',#3713,#3318); +#3715=CARTESIAN_POINT('',(-3.6465E1,1.63E0,-3.8E-1)); +#3716=LINE('',#3715,#3322); +#3717=CARTESIAN_POINT('',(-3.6465E1,9.1E-1,-3.8E-1)); +#3718=LINE('',#3717,#3326); +#3719=CARTESIAN_POINT('',(-3.7195E1,9.1E-1,-3.8E-1)); +#3720=LINE('',#3719,#3330); +#3721=LINE('',#3713,#3333); +#3722=LINE('',#3715,#3335); +#3723=LINE('',#3717,#3337); +#3724=LINE('',#3719,#3339); +#3725=CARTESIAN_POINT('',(-3.4655E1,1.63E0,-3.8E-1)); +#3726=LINE('',#3725,#3318); +#3727=CARTESIAN_POINT('',(-3.3925E1,1.63E0,-3.8E-1)); +#3728=LINE('',#3727,#3322); +#3729=CARTESIAN_POINT('',(-3.3925E1,9.1E-1,-3.8E-1)); +#3730=LINE('',#3729,#3326); +#3731=CARTESIAN_POINT('',(-3.4655E1,9.1E-1,-3.8E-1)); +#3732=LINE('',#3731,#3330); +#3733=LINE('',#3725,#3333); +#3734=LINE('',#3727,#3335); +#3735=LINE('',#3729,#3337); +#3736=LINE('',#3731,#3339); +#3737=CARTESIAN_POINT('',(-3.2115E1,1.63E0,-3.8E-1)); +#3738=LINE('',#3737,#3318); +#3739=CARTESIAN_POINT('',(-3.1385E1,1.63E0,-3.8E-1)); +#3740=LINE('',#3739,#3322); +#3741=CARTESIAN_POINT('',(-3.1385E1,9.1E-1,-3.8E-1)); +#3742=LINE('',#3741,#3326); +#3743=CARTESIAN_POINT('',(-3.2115E1,9.1E-1,-3.8E-1)); +#3744=LINE('',#3743,#3330); +#3745=LINE('',#3737,#3333); +#3746=LINE('',#3739,#3335); +#3747=LINE('',#3741,#3337); +#3748=LINE('',#3743,#3339); +#3749=CARTESIAN_POINT('',(-2.9575E1,1.63E0,-3.8E-1)); +#3750=LINE('',#3749,#3318); +#3751=CARTESIAN_POINT('',(-2.8845E1,1.63E0,-3.8E-1)); +#3752=LINE('',#3751,#3322); +#3753=CARTESIAN_POINT('',(-2.8845E1,9.1E-1,-3.8E-1)); +#3754=LINE('',#3753,#3326); +#3755=CARTESIAN_POINT('',(-2.9575E1,9.1E-1,-3.8E-1)); +#3756=LINE('',#3755,#3330); +#3757=LINE('',#3749,#3333); +#3758=LINE('',#3751,#3335); +#3759=LINE('',#3753,#3337); +#3760=LINE('',#3755,#3339); +#3761=CARTESIAN_POINT('',(-2.7035E1,1.63E0,-3.8E-1)); +#3762=LINE('',#3761,#3318); +#3763=CARTESIAN_POINT('',(-2.6305E1,1.63E0,-3.8E-1)); +#3764=LINE('',#3763,#3322); +#3765=CARTESIAN_POINT('',(-2.6305E1,9.1E-1,-3.8E-1)); +#3766=LINE('',#3765,#3326); +#3767=CARTESIAN_POINT('',(-2.7035E1,9.1E-1,-3.8E-1)); +#3768=LINE('',#3767,#3330); +#3769=LINE('',#3761,#3333); +#3770=LINE('',#3763,#3335); +#3771=LINE('',#3765,#3337); +#3772=LINE('',#3767,#3339); +#3773=CARTESIAN_POINT('',(-2.4495E1,1.63E0,-3.8E-1)); +#3774=LINE('',#3773,#3318); +#3775=CARTESIAN_POINT('',(-2.3765E1,1.63E0,-3.8E-1)); +#3776=LINE('',#3775,#3322); +#3777=CARTESIAN_POINT('',(-2.3765E1,9.1E-1,-3.8E-1)); +#3778=LINE('',#3777,#3326); +#3779=CARTESIAN_POINT('',(-2.4495E1,9.1E-1,-3.8E-1)); +#3780=LINE('',#3779,#3330); +#3781=LINE('',#3773,#3333); +#3782=LINE('',#3775,#3335); +#3783=LINE('',#3777,#3337); +#3784=LINE('',#3779,#3339); +#3785=CARTESIAN_POINT('',(-2.1955E1,1.63E0,-3.8E-1)); +#3786=LINE('',#3785,#3318); +#3787=CARTESIAN_POINT('',(-2.1225E1,1.63E0,-3.8E-1)); +#3788=LINE('',#3787,#3322); +#3789=CARTESIAN_POINT('',(-2.1225E1,9.1E-1,-3.8E-1)); +#3790=LINE('',#3789,#3326); +#3791=CARTESIAN_POINT('',(-2.1955E1,9.1E-1,-3.8E-1)); +#3792=LINE('',#3791,#3330); +#3793=LINE('',#3785,#3333); +#3794=LINE('',#3787,#3335); +#3795=LINE('',#3789,#3337); +#3796=LINE('',#3791,#3339); +#3797=CARTESIAN_POINT('',(-1.9415E1,1.63E0,-3.8E-1)); +#3798=LINE('',#3797,#3318); +#3799=CARTESIAN_POINT('',(-1.8685E1,1.63E0,-3.8E-1)); +#3800=LINE('',#3799,#3322); +#3801=CARTESIAN_POINT('',(-1.8685E1,9.1E-1,-3.8E-1)); +#3802=LINE('',#3801,#3326); +#3803=CARTESIAN_POINT('',(-1.9415E1,9.1E-1,-3.8E-1)); +#3804=LINE('',#3803,#3330); +#3805=LINE('',#3797,#3333); +#3806=LINE('',#3799,#3335); +#3807=LINE('',#3801,#3337); +#3808=LINE('',#3803,#3339); +#3809=CARTESIAN_POINT('',(-1.6875E1,1.63E0,-3.8E-1)); +#3810=LINE('',#3809,#3318); +#3811=CARTESIAN_POINT('',(-1.6145E1,1.63E0,-3.8E-1)); +#3812=LINE('',#3811,#3322); +#3813=CARTESIAN_POINT('',(-1.6145E1,9.1E-1,-3.8E-1)); +#3814=LINE('',#3813,#3326); +#3815=CARTESIAN_POINT('',(-1.6875E1,9.1E-1,-3.8E-1)); +#3816=LINE('',#3815,#3330); +#3817=LINE('',#3809,#3333); +#3818=LINE('',#3811,#3335); +#3819=LINE('',#3813,#3337); +#3820=LINE('',#3815,#3339); +#3821=CARTESIAN_POINT('',(-1.4335E1,1.63E0,-3.8E-1)); +#3822=LINE('',#3821,#3318); +#3823=CARTESIAN_POINT('',(-1.3605E1,1.63E0,-3.8E-1)); +#3824=LINE('',#3823,#3322); +#3825=CARTESIAN_POINT('',(-1.3605E1,9.1E-1,-3.8E-1)); +#3826=LINE('',#3825,#3326); +#3827=CARTESIAN_POINT('',(-1.4335E1,9.1E-1,-3.8E-1)); +#3828=LINE('',#3827,#3330); +#3829=LINE('',#3821,#3333); +#3830=LINE('',#3823,#3335); +#3831=LINE('',#3825,#3337); +#3832=LINE('',#3827,#3339); +#3833=CARTESIAN_POINT('',(-1.1795E1,1.63E0,-3.8E-1)); +#3834=LINE('',#3833,#3318); +#3835=CARTESIAN_POINT('',(-1.1065E1,1.63E0,-3.8E-1)); +#3836=LINE('',#3835,#3322); +#3837=CARTESIAN_POINT('',(-1.1065E1,9.1E-1,-3.8E-1)); +#3838=LINE('',#3837,#3326); +#3839=CARTESIAN_POINT('',(-1.1795E1,9.1E-1,-3.8E-1)); +#3840=LINE('',#3839,#3330); +#3841=LINE('',#3833,#3333); +#3842=LINE('',#3835,#3335); +#3843=LINE('',#3837,#3337); +#3844=LINE('',#3839,#3339); +#3845=CARTESIAN_POINT('',(-9.255E0,1.63E0,-3.8E-1)); +#3846=LINE('',#3845,#3318); +#3847=CARTESIAN_POINT('',(-8.525E0,1.63E0,-3.8E-1)); +#3848=LINE('',#3847,#3322); +#3849=CARTESIAN_POINT('',(-8.525E0,9.1E-1,-3.8E-1)); +#3850=LINE('',#3849,#3326); +#3851=CARTESIAN_POINT('',(-9.255E0,9.1E-1,-3.8E-1)); +#3852=LINE('',#3851,#3330); +#3853=LINE('',#3845,#3333); +#3854=LINE('',#3847,#3335); +#3855=LINE('',#3849,#3337); +#3856=LINE('',#3851,#3339); +#3857=CARTESIAN_POINT('',(-6.715E0,1.63E0,-3.8E-1)); +#3858=LINE('',#3857,#3318); +#3859=CARTESIAN_POINT('',(-5.985E0,1.63E0,-3.8E-1)); +#3860=LINE('',#3859,#3322); +#3861=CARTESIAN_POINT('',(-5.985E0,9.1E-1,-3.8E-1)); +#3862=LINE('',#3861,#3326); +#3863=CARTESIAN_POINT('',(-6.715E0,9.1E-1,-3.8E-1)); +#3864=LINE('',#3863,#3330); +#3865=LINE('',#3857,#3333); +#3866=LINE('',#3859,#3335); +#3867=LINE('',#3861,#3337); +#3868=LINE('',#3863,#3339); +#3869=CARTESIAN_POINT('',(-4.175E0,1.63E0,-3.8E-1)); +#3870=LINE('',#3869,#3318); +#3871=CARTESIAN_POINT('',(-3.445E0,1.63E0,-3.8E-1)); +#3872=LINE('',#3871,#3322); +#3873=CARTESIAN_POINT('',(-3.445E0,9.1E-1,-3.8E-1)); +#3874=LINE('',#3873,#3326); +#3875=CARTESIAN_POINT('',(-4.175E0,9.1E-1,-3.8E-1)); +#3876=LINE('',#3875,#3330); +#3877=LINE('',#3869,#3333); +#3878=LINE('',#3871,#3335); +#3879=LINE('',#3873,#3337); +#3880=LINE('',#3875,#3339); +#3881=CARTESIAN_POINT('',(-1.635E0,1.63E0,-3.8E-1)); +#3882=LINE('',#3881,#3318); +#3883=CARTESIAN_POINT('',(-9.05E-1,1.63E0,-3.8E-1)); +#3884=LINE('',#3883,#3322); +#3885=CARTESIAN_POINT('',(-9.05E-1,9.1E-1,-3.8E-1)); +#3886=LINE('',#3885,#3326); +#3887=CARTESIAN_POINT('',(-1.635E0,9.1E-1,-3.8E-1)); +#3888=LINE('',#3887,#3330); +#3889=LINE('',#3881,#3333); +#3890=LINE('',#3883,#3335); +#3891=LINE('',#3885,#3337); +#3892=LINE('',#3887,#3339); +#3893=CARTESIAN_POINT('',(9.05E-1,1.63E0,-3.8E-1)); +#3894=LINE('',#3893,#3318); +#3895=CARTESIAN_POINT('',(1.635E0,1.63E0,-3.8E-1)); +#3896=LINE('',#3895,#3322); +#3897=CARTESIAN_POINT('',(1.635E0,9.1E-1,-3.8E-1)); +#3898=LINE('',#3897,#3326); +#3899=CARTESIAN_POINT('',(9.05E-1,9.1E-1,-3.8E-1)); +#3900=LINE('',#3899,#3330); +#3901=LINE('',#3893,#3333); +#3902=LINE('',#3895,#3335); +#3903=LINE('',#3897,#3337); +#3904=LINE('',#3899,#3339); +#3905=CARTESIAN_POINT('',(3.445E0,1.63E0,-3.8E-1)); +#3906=LINE('',#3905,#3318); +#3907=CARTESIAN_POINT('',(4.175E0,1.63E0,-3.8E-1)); +#3908=LINE('',#3907,#3322); +#3909=CARTESIAN_POINT('',(4.175E0,9.1E-1,-3.8E-1)); +#3910=LINE('',#3909,#3326); +#3911=CARTESIAN_POINT('',(3.445E0,9.1E-1,-3.8E-1)); +#3912=LINE('',#3911,#3330); +#3913=LINE('',#3905,#3333); +#3914=LINE('',#3907,#3335); +#3915=LINE('',#3909,#3337); +#3916=LINE('',#3911,#3339); +#3917=CARTESIAN_POINT('',(5.985E0,1.63E0,-3.8E-1)); +#3918=LINE('',#3917,#3318); +#3919=CARTESIAN_POINT('',(6.715E0,1.63E0,-3.8E-1)); +#3920=LINE('',#3919,#3322); +#3921=CARTESIAN_POINT('',(6.715E0,9.1E-1,-3.8E-1)); +#3922=LINE('',#3921,#3326); +#3923=CARTESIAN_POINT('',(5.985E0,9.1E-1,-3.8E-1)); +#3924=LINE('',#3923,#3330); +#3925=LINE('',#3917,#3333); +#3926=LINE('',#3919,#3335); +#3927=LINE('',#3921,#3337); +#3928=LINE('',#3923,#3339); +#3929=CARTESIAN_POINT('',(8.525E0,1.63E0,-3.8E-1)); +#3930=LINE('',#3929,#3318); +#3931=CARTESIAN_POINT('',(9.255E0,1.63E0,-3.8E-1)); +#3932=LINE('',#3931,#3322); +#3933=CARTESIAN_POINT('',(9.255E0,9.1E-1,-3.8E-1)); +#3934=LINE('',#3933,#3326); +#3935=CARTESIAN_POINT('',(8.525E0,9.1E-1,-3.8E-1)); +#3936=LINE('',#3935,#3330); +#3937=LINE('',#3929,#3333); +#3938=LINE('',#3931,#3335); +#3939=LINE('',#3933,#3337); +#3940=LINE('',#3935,#3339); +#3941=CARTESIAN_POINT('',(1.1065E1,1.63E0,-3.8E-1)); +#3942=LINE('',#3941,#3318); +#3943=CARTESIAN_POINT('',(1.1795E1,1.63E0,-3.8E-1)); +#3944=LINE('',#3943,#3322); +#3945=CARTESIAN_POINT('',(1.1795E1,9.1E-1,-3.8E-1)); +#3946=LINE('',#3945,#3326); +#3947=CARTESIAN_POINT('',(1.1065E1,9.1E-1,-3.8E-1)); +#3948=LINE('',#3947,#3330); +#3949=LINE('',#3941,#3333); +#3950=LINE('',#3943,#3335); +#3951=LINE('',#3945,#3337); +#3952=LINE('',#3947,#3339); +#3953=CARTESIAN_POINT('',(1.3605E1,1.63E0,-3.8E-1)); +#3954=LINE('',#3953,#3318); +#3955=CARTESIAN_POINT('',(1.4335E1,1.63E0,-3.8E-1)); +#3956=LINE('',#3955,#3322); +#3957=CARTESIAN_POINT('',(1.4335E1,9.1E-1,-3.8E-1)); +#3958=LINE('',#3957,#3326); +#3959=CARTESIAN_POINT('',(1.3605E1,9.1E-1,-3.8E-1)); +#3960=LINE('',#3959,#3330); +#3961=LINE('',#3953,#3333); +#3962=LINE('',#3955,#3335); +#3963=LINE('',#3957,#3337); +#3964=LINE('',#3959,#3339); +#3965=CARTESIAN_POINT('',(1.6145E1,1.63E0,-3.8E-1)); +#3966=LINE('',#3965,#3318); +#3967=CARTESIAN_POINT('',(1.6875E1,1.63E0,-3.8E-1)); +#3968=LINE('',#3967,#3322); +#3969=CARTESIAN_POINT('',(1.6875E1,9.1E-1,-3.8E-1)); +#3970=LINE('',#3969,#3326); +#3971=CARTESIAN_POINT('',(1.6145E1,9.1E-1,-3.8E-1)); +#3972=LINE('',#3971,#3330); +#3973=LINE('',#3965,#3333); +#3974=LINE('',#3967,#3335); +#3975=LINE('',#3969,#3337); +#3976=LINE('',#3971,#3339); +#3977=CARTESIAN_POINT('',(1.8685E1,1.63E0,-3.8E-1)); +#3978=LINE('',#3977,#3318); +#3979=CARTESIAN_POINT('',(1.9415E1,1.63E0,-3.8E-1)); +#3980=LINE('',#3979,#3322); +#3981=CARTESIAN_POINT('',(1.9415E1,9.1E-1,-3.8E-1)); +#3982=LINE('',#3981,#3326); +#3983=CARTESIAN_POINT('',(1.8685E1,9.1E-1,-3.8E-1)); +#3984=LINE('',#3983,#3330); +#3985=LINE('',#3977,#3333); +#3986=LINE('',#3979,#3335); +#3987=LINE('',#3981,#3337); +#3988=LINE('',#3983,#3339); +#3989=CARTESIAN_POINT('',(2.1225E1,1.63E0,-3.8E-1)); +#3990=LINE('',#3989,#3318); +#3991=CARTESIAN_POINT('',(2.1955E1,1.63E0,-3.8E-1)); +#3992=LINE('',#3991,#3322); +#3993=CARTESIAN_POINT('',(2.1955E1,9.1E-1,-3.8E-1)); +#3994=LINE('',#3993,#3326); +#3995=CARTESIAN_POINT('',(2.1225E1,9.1E-1,-3.8E-1)); +#3996=LINE('',#3995,#3330); +#3997=LINE('',#3989,#3333); +#3998=LINE('',#3991,#3335); +#3999=LINE('',#3993,#3337); +#4000=LINE('',#3995,#3339); +#4001=CARTESIAN_POINT('',(2.3765E1,1.63E0,-3.8E-1)); +#4002=LINE('',#4001,#3318); +#4003=CARTESIAN_POINT('',(2.4495E1,1.63E0,-3.8E-1)); +#4004=LINE('',#4003,#3322); +#4005=CARTESIAN_POINT('',(2.4495E1,9.1E-1,-3.8E-1)); +#4006=LINE('',#4005,#3326); +#4007=CARTESIAN_POINT('',(2.3765E1,9.1E-1,-3.8E-1)); +#4008=LINE('',#4007,#3330); +#4009=LINE('',#4001,#3333); +#4010=LINE('',#4003,#3335); +#4011=LINE('',#4005,#3337); +#4012=LINE('',#4007,#3339); +#4013=CARTESIAN_POINT('',(2.6305E1,1.63E0,-3.8E-1)); +#4014=LINE('',#4013,#3318); +#4015=CARTESIAN_POINT('',(2.7035E1,1.63E0,-3.8E-1)); +#4016=LINE('',#4015,#3322); +#4017=CARTESIAN_POINT('',(2.7035E1,9.1E-1,-3.8E-1)); +#4018=LINE('',#4017,#3326); +#4019=CARTESIAN_POINT('',(2.6305E1,9.1E-1,-3.8E-1)); +#4020=LINE('',#4019,#3330); +#4021=LINE('',#4013,#3333); +#4022=LINE('',#4015,#3335); +#4023=LINE('',#4017,#3337); +#4024=LINE('',#4019,#3339); +#4025=CARTESIAN_POINT('',(2.8845E1,1.63E0,-3.8E-1)); +#4026=LINE('',#4025,#3318); +#4027=CARTESIAN_POINT('',(2.9575E1,1.63E0,-3.8E-1)); +#4028=LINE('',#4027,#3322); +#4029=CARTESIAN_POINT('',(2.9575E1,9.1E-1,-3.8E-1)); +#4030=LINE('',#4029,#3326); +#4031=CARTESIAN_POINT('',(2.8845E1,9.1E-1,-3.8E-1)); +#4032=LINE('',#4031,#3330); +#4033=LINE('',#4025,#3333); +#4034=LINE('',#4027,#3335); +#4035=LINE('',#4029,#3337); +#4036=LINE('',#4031,#3339); +#4037=CARTESIAN_POINT('',(3.1385E1,1.63E0,-3.8E-1)); +#4038=LINE('',#4037,#3318); +#4039=CARTESIAN_POINT('',(3.2115E1,1.63E0,-3.8E-1)); +#4040=LINE('',#4039,#3322); +#4041=CARTESIAN_POINT('',(3.2115E1,9.1E-1,-3.8E-1)); +#4042=LINE('',#4041,#3326); +#4043=CARTESIAN_POINT('',(3.1385E1,9.1E-1,-3.8E-1)); +#4044=LINE('',#4043,#3330); +#4045=LINE('',#4037,#3333); +#4046=LINE('',#4039,#3335); +#4047=LINE('',#4041,#3337); +#4048=LINE('',#4043,#3339); +#4049=CARTESIAN_POINT('',(3.3925E1,1.63E0,-3.8E-1)); +#4050=LINE('',#4049,#3318); +#4051=CARTESIAN_POINT('',(3.4655E1,1.63E0,-3.8E-1)); +#4052=LINE('',#4051,#3322); +#4053=CARTESIAN_POINT('',(3.4655E1,9.1E-1,-3.8E-1)); +#4054=LINE('',#4053,#3326); +#4055=CARTESIAN_POINT('',(3.3925E1,9.1E-1,-3.8E-1)); +#4056=LINE('',#4055,#3330); +#4057=LINE('',#4049,#3333); +#4058=LINE('',#4051,#3335); +#4059=LINE('',#4053,#3337); +#4060=LINE('',#4055,#3339); +#4061=CARTESIAN_POINT('',(3.6465E1,1.63E0,-3.8E-1)); +#4062=LINE('',#4061,#3318); +#4063=CARTESIAN_POINT('',(3.7195E1,1.63E0,-3.8E-1)); +#4064=LINE('',#4063,#3322); +#4065=CARTESIAN_POINT('',(3.7195E1,9.1E-1,-3.8E-1)); +#4066=LINE('',#4065,#3326); +#4067=CARTESIAN_POINT('',(3.6465E1,9.1E-1,-3.8E-1)); +#4068=LINE('',#4067,#3330); +#4069=LINE('',#4061,#3333); +#4070=LINE('',#4063,#3335); +#4071=LINE('',#4065,#3337); +#4072=LINE('',#4067,#3339); +#4073=CARTESIAN_POINT('',(3.9005E1,1.63E0,-3.8E-1)); +#4074=LINE('',#4073,#3318); +#4075=CARTESIAN_POINT('',(3.9735E1,1.63E0,-3.8E-1)); +#4076=LINE('',#4075,#3322); +#4077=CARTESIAN_POINT('',(3.9735E1,9.1E-1,-3.8E-1)); +#4078=LINE('',#4077,#3326); +#4079=CARTESIAN_POINT('',(3.9005E1,9.1E-1,-3.8E-1)); +#4080=LINE('',#4079,#3330); +#4081=LINE('',#4073,#3333); +#4082=LINE('',#4075,#3335); +#4083=LINE('',#4077,#3337); +#4084=LINE('',#4079,#3339); +#4085=CARTESIAN_POINT('',(-1.9415E1,-9.1E-1,-3.8E-1)); +#4086=LINE('',#4085,#3318); +#4087=CARTESIAN_POINT('',(-1.8685E1,-9.1E-1,-3.8E-1)); +#4088=LINE('',#4087,#3322); +#4089=CARTESIAN_POINT('',(-1.8685E1,-1.63E0,-3.8E-1)); +#4090=LINE('',#4089,#3326); +#4091=CARTESIAN_POINT('',(-1.9415E1,-1.63E0,-3.8E-1)); +#4092=LINE('',#4091,#3330); +#4093=LINE('',#4085,#3333); +#4094=LINE('',#4087,#3335); +#4095=LINE('',#4089,#3337); +#4096=LINE('',#4091,#3339); +#4097=CARTESIAN_POINT('',(-1.6875E1,-9.1E-1,-3.8E-1)); +#4098=LINE('',#4097,#3318); +#4099=CARTESIAN_POINT('',(-1.6145E1,-9.1E-1,-3.8E-1)); +#4100=LINE('',#4099,#3322); +#4101=CARTESIAN_POINT('',(-1.6145E1,-1.63E0,-3.8E-1)); +#4102=LINE('',#4101,#3326); +#4103=CARTESIAN_POINT('',(-1.6875E1,-1.63E0,-3.8E-1)); +#4104=LINE('',#4103,#3330); +#4105=LINE('',#4097,#3333); +#4106=LINE('',#4099,#3335); +#4107=LINE('',#4101,#3337); +#4108=LINE('',#4103,#3339); +#4109=CARTESIAN_POINT('',(-1.4335E1,-9.1E-1,-3.8E-1)); +#4110=LINE('',#4109,#3318); +#4111=CARTESIAN_POINT('',(-1.3605E1,-9.1E-1,-3.8E-1)); +#4112=LINE('',#4111,#3322); +#4113=CARTESIAN_POINT('',(-1.3605E1,-1.63E0,-3.8E-1)); +#4114=LINE('',#4113,#3326); +#4115=CARTESIAN_POINT('',(-1.4335E1,-1.63E0,-3.8E-1)); +#4116=LINE('',#4115,#3330); +#4117=LINE('',#4109,#3333); +#4118=LINE('',#4111,#3335); +#4119=LINE('',#4113,#3337); +#4120=LINE('',#4115,#3339); +#4121=CARTESIAN_POINT('',(-1.1795E1,-9.1E-1,-3.8E-1)); +#4122=LINE('',#4121,#3318); +#4123=CARTESIAN_POINT('',(-1.1065E1,-9.1E-1,-3.8E-1)); +#4124=LINE('',#4123,#3322); +#4125=CARTESIAN_POINT('',(-1.1065E1,-1.63E0,-3.8E-1)); +#4126=LINE('',#4125,#3326); +#4127=CARTESIAN_POINT('',(-1.1795E1,-1.63E0,-3.8E-1)); +#4128=LINE('',#4127,#3330); +#4129=LINE('',#4121,#3333); +#4130=LINE('',#4123,#3335); +#4131=LINE('',#4125,#3337); +#4132=LINE('',#4127,#3339); +#4133=CARTESIAN_POINT('',(-9.255E0,-9.1E-1,-3.8E-1)); +#4134=LINE('',#4133,#3318); +#4135=CARTESIAN_POINT('',(-8.525E0,-9.1E-1,-3.8E-1)); +#4136=LINE('',#4135,#3322); +#4137=CARTESIAN_POINT('',(-8.525E0,-1.63E0,-3.8E-1)); +#4138=LINE('',#4137,#3326); +#4139=CARTESIAN_POINT('',(-9.255E0,-1.63E0,-3.8E-1)); +#4140=LINE('',#4139,#3330); +#4141=LINE('',#4133,#3333); +#4142=LINE('',#4135,#3335); +#4143=LINE('',#4137,#3337); +#4144=LINE('',#4139,#3339); +#4145=CARTESIAN_POINT('',(-6.715E0,-9.1E-1,-3.8E-1)); +#4146=LINE('',#4145,#3318); +#4147=CARTESIAN_POINT('',(-5.985E0,-9.1E-1,-3.8E-1)); +#4148=LINE('',#4147,#3322); +#4149=CARTESIAN_POINT('',(-5.985E0,-1.63E0,-3.8E-1)); +#4150=LINE('',#4149,#3326); +#4151=CARTESIAN_POINT('',(-6.715E0,-1.63E0,-3.8E-1)); +#4152=LINE('',#4151,#3330); +#4153=LINE('',#4145,#3333); +#4154=LINE('',#4147,#3335); +#4155=LINE('',#4149,#3337); +#4156=LINE('',#4151,#3339); +#4157=CARTESIAN_POINT('',(-4.175E0,-9.1E-1,-3.8E-1)); +#4158=LINE('',#4157,#3318); +#4159=CARTESIAN_POINT('',(-3.445E0,-9.1E-1,-3.8E-1)); +#4160=LINE('',#4159,#3322); +#4161=CARTESIAN_POINT('',(-3.445E0,-1.63E0,-3.8E-1)); +#4162=LINE('',#4161,#3326); +#4163=CARTESIAN_POINT('',(-4.175E0,-1.63E0,-3.8E-1)); +#4164=LINE('',#4163,#3330); +#4165=LINE('',#4157,#3333); +#4166=LINE('',#4159,#3335); +#4167=LINE('',#4161,#3337); +#4168=LINE('',#4163,#3339); +#4169=CARTESIAN_POINT('',(-1.635E0,-9.1E-1,-3.8E-1)); +#4170=LINE('',#4169,#3318); +#4171=CARTESIAN_POINT('',(-9.05E-1,-9.1E-1,-3.8E-1)); +#4172=LINE('',#4171,#3322); +#4173=CARTESIAN_POINT('',(-9.05E-1,-1.63E0,-3.8E-1)); +#4174=LINE('',#4173,#3326); +#4175=CARTESIAN_POINT('',(-1.635E0,-1.63E0,-3.8E-1)); +#4176=LINE('',#4175,#3330); +#4177=LINE('',#4169,#3333); +#4178=LINE('',#4171,#3335); +#4179=LINE('',#4173,#3337); +#4180=LINE('',#4175,#3339); +#4181=CARTESIAN_POINT('',(9.05E-1,-9.1E-1,-3.8E-1)); +#4182=LINE('',#4181,#3318); +#4183=CARTESIAN_POINT('',(1.635E0,-9.1E-1,-3.8E-1)); +#4184=LINE('',#4183,#3322); +#4185=CARTESIAN_POINT('',(1.635E0,-1.63E0,-3.8E-1)); +#4186=LINE('',#4185,#3326); +#4187=CARTESIAN_POINT('',(9.05E-1,-1.63E0,-3.8E-1)); +#4188=LINE('',#4187,#3330); +#4189=LINE('',#4181,#3333); +#4190=LINE('',#4183,#3335); +#4191=LINE('',#4185,#3337); +#4192=LINE('',#4187,#3339); +#4193=CARTESIAN_POINT('',(3.445E0,-9.1E-1,-3.8E-1)); +#4194=LINE('',#4193,#3318); +#4195=CARTESIAN_POINT('',(4.175E0,-9.1E-1,-3.8E-1)); +#4196=LINE('',#4195,#3322); +#4197=CARTESIAN_POINT('',(4.175E0,-1.63E0,-3.8E-1)); +#4198=LINE('',#4197,#3326); +#4199=CARTESIAN_POINT('',(3.445E0,-1.63E0,-3.8E-1)); +#4200=LINE('',#4199,#3330); +#4201=LINE('',#4193,#3333); +#4202=LINE('',#4195,#3335); +#4203=LINE('',#4197,#3337); +#4204=LINE('',#4199,#3339); +#4205=CARTESIAN_POINT('',(5.985E0,-9.1E-1,-3.8E-1)); +#4206=LINE('',#4205,#3318); +#4207=CARTESIAN_POINT('',(6.715E0,-9.1E-1,-3.8E-1)); +#4208=LINE('',#4207,#3322); +#4209=CARTESIAN_POINT('',(6.715E0,-1.63E0,-3.8E-1)); +#4210=LINE('',#4209,#3326); +#4211=CARTESIAN_POINT('',(5.985E0,-1.63E0,-3.8E-1)); +#4212=LINE('',#4211,#3330); +#4213=LINE('',#4205,#3333); +#4214=LINE('',#4207,#3335); +#4215=LINE('',#4209,#3337); +#4216=LINE('',#4211,#3339); +#4217=CARTESIAN_POINT('',(8.525E0,-9.1E-1,-3.8E-1)); +#4218=LINE('',#4217,#3318); +#4219=CARTESIAN_POINT('',(9.255E0,-9.1E-1,-3.8E-1)); +#4220=LINE('',#4219,#3322); +#4221=CARTESIAN_POINT('',(9.255E0,-1.63E0,-3.8E-1)); +#4222=LINE('',#4221,#3326); +#4223=CARTESIAN_POINT('',(8.525E0,-1.63E0,-3.8E-1)); +#4224=LINE('',#4223,#3330); +#4225=LINE('',#4217,#3333); +#4226=LINE('',#4219,#3335); +#4227=LINE('',#4221,#3337); +#4228=LINE('',#4223,#3339); +#4229=CARTESIAN_POINT('',(1.1065E1,-9.1E-1,-3.8E-1)); +#4230=LINE('',#4229,#3318); +#4231=CARTESIAN_POINT('',(1.1795E1,-9.1E-1,-3.8E-1)); +#4232=LINE('',#4231,#3322); +#4233=CARTESIAN_POINT('',(1.1795E1,-1.63E0,-3.8E-1)); +#4234=LINE('',#4233,#3326); +#4235=CARTESIAN_POINT('',(1.1065E1,-1.63E0,-3.8E-1)); +#4236=LINE('',#4235,#3330); +#4237=LINE('',#4229,#3333); +#4238=LINE('',#4231,#3335); +#4239=LINE('',#4233,#3337); +#4240=LINE('',#4235,#3339); +#4241=CARTESIAN_POINT('',(1.3605E1,-9.1E-1,-3.8E-1)); +#4242=LINE('',#4241,#3318); +#4243=CARTESIAN_POINT('',(1.4335E1,-9.1E-1,-3.8E-1)); +#4244=LINE('',#4243,#3322); +#4245=CARTESIAN_POINT('',(1.4335E1,-1.63E0,-3.8E-1)); +#4246=LINE('',#4245,#3326); +#4247=CARTESIAN_POINT('',(1.3605E1,-1.63E0,-3.8E-1)); +#4248=LINE('',#4247,#3330); +#4249=LINE('',#4241,#3333); +#4250=LINE('',#4243,#3335); +#4251=LINE('',#4245,#3337); +#4252=LINE('',#4247,#3339); +#4253=CARTESIAN_POINT('',(1.6145E1,-9.1E-1,-3.8E-1)); +#4254=LINE('',#4253,#3318); +#4255=CARTESIAN_POINT('',(1.6875E1,-9.1E-1,-3.8E-1)); +#4256=LINE('',#4255,#3322); +#4257=CARTESIAN_POINT('',(1.6875E1,-1.63E0,-3.8E-1)); +#4258=LINE('',#4257,#3326); +#4259=CARTESIAN_POINT('',(1.6145E1,-1.63E0,-3.8E-1)); +#4260=LINE('',#4259,#3330); +#4261=LINE('',#4253,#3333); +#4262=LINE('',#4255,#3335); +#4263=LINE('',#4257,#3337); +#4264=LINE('',#4259,#3339); +#4265=CARTESIAN_POINT('',(1.8685E1,-9.1E-1,-3.8E-1)); +#4266=LINE('',#4265,#3318); +#4267=CARTESIAN_POINT('',(1.9415E1,-9.1E-1,-3.8E-1)); +#4268=LINE('',#4267,#3322); +#4269=CARTESIAN_POINT('',(1.9415E1,-1.63E0,-3.8E-1)); +#4270=LINE('',#4269,#3326); +#4271=CARTESIAN_POINT('',(1.8685E1,-1.63E0,-3.8E-1)); +#4272=LINE('',#4271,#3330); +#4273=LINE('',#4265,#3333); +#4274=LINE('',#4267,#3335); +#4275=LINE('',#4269,#3337); +#4276=LINE('',#4271,#3339); +#4277=CARTESIAN_POINT('',(2.1225E1,-9.1E-1,-3.8E-1)); +#4278=LINE('',#4277,#3318); +#4279=CARTESIAN_POINT('',(2.1955E1,-9.1E-1,-3.8E-1)); +#4280=LINE('',#4279,#3322); +#4281=CARTESIAN_POINT('',(2.1955E1,-1.63E0,-3.8E-1)); +#4282=LINE('',#4281,#3326); +#4283=CARTESIAN_POINT('',(2.1225E1,-1.63E0,-3.8E-1)); +#4284=LINE('',#4283,#3330); +#4285=LINE('',#4277,#3333); +#4286=LINE('',#4279,#3335); +#4287=LINE('',#4281,#3337); +#4288=LINE('',#4283,#3339); +#4289=CARTESIAN_POINT('',(2.3765E1,-9.1E-1,-3.8E-1)); +#4290=LINE('',#4289,#3318); +#4291=CARTESIAN_POINT('',(2.4495E1,-9.1E-1,-3.8E-1)); +#4292=LINE('',#4291,#3322); +#4293=CARTESIAN_POINT('',(2.4495E1,-1.63E0,-3.8E-1)); +#4294=LINE('',#4293,#3326); +#4295=CARTESIAN_POINT('',(2.3765E1,-1.63E0,-3.8E-1)); +#4296=LINE('',#4295,#3330); +#4297=LINE('',#4289,#3333); +#4298=LINE('',#4291,#3335); +#4299=LINE('',#4293,#3337); +#4300=LINE('',#4295,#3339); +#4301=CARTESIAN_POINT('',(2.6305E1,-9.1E-1,-3.8E-1)); +#4302=LINE('',#4301,#3318); +#4303=CARTESIAN_POINT('',(2.7035E1,-9.1E-1,-3.8E-1)); +#4304=LINE('',#4303,#3322); +#4305=CARTESIAN_POINT('',(2.7035E1,-1.63E0,-3.8E-1)); +#4306=LINE('',#4305,#3326); +#4307=CARTESIAN_POINT('',(2.6305E1,-1.63E0,-3.8E-1)); +#4308=LINE('',#4307,#3330); +#4309=LINE('',#4301,#3333); +#4310=LINE('',#4303,#3335); +#4311=LINE('',#4305,#3337); +#4312=LINE('',#4307,#3339); +#4313=CARTESIAN_POINT('',(-1.9415E1,-3.45E0,-3.8E-1)); +#4314=LINE('',#4313,#3318); +#4315=CARTESIAN_POINT('',(-1.8685E1,-3.45E0,-3.8E-1)); +#4316=LINE('',#4315,#3322); +#4317=CARTESIAN_POINT('',(-1.8685E1,-4.17E0,-3.8E-1)); +#4318=LINE('',#4317,#3326); +#4319=CARTESIAN_POINT('',(-1.9415E1,-4.17E0,-3.8E-1)); +#4320=LINE('',#4319,#3330); +#4321=LINE('',#4313,#3333); +#4322=LINE('',#4315,#3335); +#4323=LINE('',#4317,#3337); +#4324=LINE('',#4319,#3339); +#4325=CARTESIAN_POINT('',(-1.6875E1,-3.45E0,-3.8E-1)); +#4326=LINE('',#4325,#3318); +#4327=CARTESIAN_POINT('',(-1.6145E1,-3.45E0,-3.8E-1)); +#4328=LINE('',#4327,#3322); +#4329=CARTESIAN_POINT('',(-1.6145E1,-4.17E0,-3.8E-1)); +#4330=LINE('',#4329,#3326); +#4331=CARTESIAN_POINT('',(-1.6875E1,-4.17E0,-3.8E-1)); +#4332=LINE('',#4331,#3330); +#4333=LINE('',#4325,#3333); +#4334=LINE('',#4327,#3335); +#4335=LINE('',#4329,#3337); +#4336=LINE('',#4331,#3339); +#4337=CARTESIAN_POINT('',(-1.4335E1,-3.45E0,-3.8E-1)); +#4338=LINE('',#4337,#3318); +#4339=CARTESIAN_POINT('',(-1.3605E1,-3.45E0,-3.8E-1)); +#4340=LINE('',#4339,#3322); +#4341=CARTESIAN_POINT('',(-1.3605E1,-4.17E0,-3.8E-1)); +#4342=LINE('',#4341,#3326); +#4343=CARTESIAN_POINT('',(-1.4335E1,-4.17E0,-3.8E-1)); +#4344=LINE('',#4343,#3330); +#4345=LINE('',#4337,#3333); +#4346=LINE('',#4339,#3335); +#4347=LINE('',#4341,#3337); +#4348=LINE('',#4343,#3339); +#4349=CARTESIAN_POINT('',(-1.1795E1,-3.45E0,-3.8E-1)); +#4350=LINE('',#4349,#3318); +#4351=CARTESIAN_POINT('',(-1.1065E1,-3.45E0,-3.8E-1)); +#4352=LINE('',#4351,#3322); +#4353=CARTESIAN_POINT('',(-1.1065E1,-4.17E0,-3.8E-1)); +#4354=LINE('',#4353,#3326); +#4355=CARTESIAN_POINT('',(-1.1795E1,-4.17E0,-3.8E-1)); +#4356=LINE('',#4355,#3330); +#4357=LINE('',#4349,#3333); +#4358=LINE('',#4351,#3335); +#4359=LINE('',#4353,#3337); +#4360=LINE('',#4355,#3339); +#4361=CARTESIAN_POINT('',(-9.255E0,-3.45E0,-3.8E-1)); +#4362=LINE('',#4361,#3318); +#4363=CARTESIAN_POINT('',(-8.525E0,-3.45E0,-3.8E-1)); +#4364=LINE('',#4363,#3322); +#4365=CARTESIAN_POINT('',(-8.525E0,-4.17E0,-3.8E-1)); +#4366=LINE('',#4365,#3326); +#4367=CARTESIAN_POINT('',(-9.255E0,-4.17E0,-3.8E-1)); +#4368=LINE('',#4367,#3330); +#4369=LINE('',#4361,#3333); +#4370=LINE('',#4363,#3335); +#4371=LINE('',#4365,#3337); +#4372=LINE('',#4367,#3339); +#4373=CARTESIAN_POINT('',(-6.715E0,-3.45E0,-3.8E-1)); +#4374=LINE('',#4373,#3318); +#4375=CARTESIAN_POINT('',(-5.985E0,-3.45E0,-3.8E-1)); +#4376=LINE('',#4375,#3322); +#4377=CARTESIAN_POINT('',(-5.985E0,-4.17E0,-3.8E-1)); +#4378=LINE('',#4377,#3326); +#4379=CARTESIAN_POINT('',(-6.715E0,-4.17E0,-3.8E-1)); +#4380=LINE('',#4379,#3330); +#4381=LINE('',#4373,#3333); +#4382=LINE('',#4375,#3335); +#4383=LINE('',#4377,#3337); +#4384=LINE('',#4379,#3339); +#4385=CARTESIAN_POINT('',(-4.175E0,-3.45E0,-3.8E-1)); +#4386=LINE('',#4385,#3318); +#4387=CARTESIAN_POINT('',(-3.445E0,-3.45E0,-3.8E-1)); +#4388=LINE('',#4387,#3322); +#4389=CARTESIAN_POINT('',(-3.445E0,-4.17E0,-3.8E-1)); +#4390=LINE('',#4389,#3326); +#4391=CARTESIAN_POINT('',(-4.175E0,-4.17E0,-3.8E-1)); +#4392=LINE('',#4391,#3330); +#4393=LINE('',#4385,#3333); +#4394=LINE('',#4387,#3335); +#4395=LINE('',#4389,#3337); +#4396=LINE('',#4391,#3339); +#4397=CARTESIAN_POINT('',(-1.635E0,-3.45E0,-3.8E-1)); +#4398=LINE('',#4397,#3318); +#4399=CARTESIAN_POINT('',(-9.05E-1,-3.45E0,-3.8E-1)); +#4400=LINE('',#4399,#3322); +#4401=CARTESIAN_POINT('',(-9.05E-1,-4.17E0,-3.8E-1)); +#4402=LINE('',#4401,#3326); +#4403=CARTESIAN_POINT('',(-1.635E0,-4.17E0,-3.8E-1)); +#4404=LINE('',#4403,#3330); +#4405=LINE('',#4397,#3333); +#4406=LINE('',#4399,#3335); +#4407=LINE('',#4401,#3337); +#4408=LINE('',#4403,#3339); +#4409=CARTESIAN_POINT('',(9.05E-1,-3.45E0,-3.8E-1)); +#4410=LINE('',#4409,#3318); +#4411=CARTESIAN_POINT('',(1.635E0,-3.45E0,-3.8E-1)); +#4412=LINE('',#4411,#3322); +#4413=CARTESIAN_POINT('',(1.635E0,-4.17E0,-3.8E-1)); +#4414=LINE('',#4413,#3326); +#4415=CARTESIAN_POINT('',(9.05E-1,-4.17E0,-3.8E-1)); +#4416=LINE('',#4415,#3330); +#4417=LINE('',#4409,#3333); +#4418=LINE('',#4411,#3335); +#4419=LINE('',#4413,#3337); +#4420=LINE('',#4415,#3339); +#4421=CARTESIAN_POINT('',(3.445E0,-3.45E0,-3.8E-1)); +#4422=LINE('',#4421,#3318); +#4423=CARTESIAN_POINT('',(4.175E0,-3.45E0,-3.8E-1)); +#4424=LINE('',#4423,#3322); +#4425=CARTESIAN_POINT('',(4.175E0,-4.17E0,-3.8E-1)); +#4426=LINE('',#4425,#3326); +#4427=CARTESIAN_POINT('',(3.445E0,-4.17E0,-3.8E-1)); +#4428=LINE('',#4427,#3330); +#4429=LINE('',#4421,#3333); +#4430=LINE('',#4423,#3335); +#4431=LINE('',#4425,#3337); +#4432=LINE('',#4427,#3339); +#4433=CARTESIAN_POINT('',(5.985E0,-3.45E0,-3.8E-1)); +#4434=LINE('',#4433,#3318); +#4435=CARTESIAN_POINT('',(6.715E0,-3.45E0,-3.8E-1)); +#4436=LINE('',#4435,#3322); +#4437=CARTESIAN_POINT('',(6.715E0,-4.17E0,-3.8E-1)); +#4438=LINE('',#4437,#3326); +#4439=CARTESIAN_POINT('',(5.985E0,-4.17E0,-3.8E-1)); +#4440=LINE('',#4439,#3330); +#4441=LINE('',#4433,#3333); +#4442=LINE('',#4435,#3335); +#4443=LINE('',#4437,#3337); +#4444=LINE('',#4439,#3339); +#4445=CARTESIAN_POINT('',(8.525E0,-3.45E0,-3.8E-1)); +#4446=LINE('',#4445,#3318); +#4447=CARTESIAN_POINT('',(9.255E0,-3.45E0,-3.8E-1)); +#4448=LINE('',#4447,#3322); +#4449=CARTESIAN_POINT('',(9.255E0,-4.17E0,-3.8E-1)); +#4450=LINE('',#4449,#3326); +#4451=CARTESIAN_POINT('',(8.525E0,-4.17E0,-3.8E-1)); +#4452=LINE('',#4451,#3330); +#4453=LINE('',#4445,#3333); +#4454=LINE('',#4447,#3335); +#4455=LINE('',#4449,#3337); +#4456=LINE('',#4451,#3339); +#4457=CARTESIAN_POINT('',(1.1065E1,-3.45E0,-3.8E-1)); +#4458=LINE('',#4457,#3318); +#4459=CARTESIAN_POINT('',(1.1795E1,-3.45E0,-3.8E-1)); +#4460=LINE('',#4459,#3322); +#4461=CARTESIAN_POINT('',(1.1795E1,-4.17E0,-3.8E-1)); +#4462=LINE('',#4461,#3326); +#4463=CARTESIAN_POINT('',(1.1065E1,-4.17E0,-3.8E-1)); +#4464=LINE('',#4463,#3330); +#4465=LINE('',#4457,#3333); +#4466=LINE('',#4459,#3335); +#4467=LINE('',#4461,#3337); +#4468=LINE('',#4463,#3339); +#4469=CARTESIAN_POINT('',(1.3605E1,-3.45E0,-3.8E-1)); +#4470=LINE('',#4469,#3318); +#4471=CARTESIAN_POINT('',(1.4335E1,-3.45E0,-3.8E-1)); +#4472=LINE('',#4471,#3322); +#4473=CARTESIAN_POINT('',(1.4335E1,-4.17E0,-3.8E-1)); +#4474=LINE('',#4473,#3326); +#4475=CARTESIAN_POINT('',(1.3605E1,-4.17E0,-3.8E-1)); +#4476=LINE('',#4475,#3330); +#4477=LINE('',#4469,#3333); +#4478=LINE('',#4471,#3335); +#4479=LINE('',#4473,#3337); +#4480=LINE('',#4475,#3339); +#4481=CARTESIAN_POINT('',(1.6145E1,-3.45E0,-3.8E-1)); +#4482=LINE('',#4481,#3318); +#4483=CARTESIAN_POINT('',(1.6875E1,-3.45E0,-3.8E-1)); +#4484=LINE('',#4483,#3322); +#4485=CARTESIAN_POINT('',(1.6875E1,-4.17E0,-3.8E-1)); +#4486=LINE('',#4485,#3326); +#4487=CARTESIAN_POINT('',(1.6145E1,-4.17E0,-3.8E-1)); +#4488=LINE('',#4487,#3330); +#4489=LINE('',#4481,#3333); +#4490=LINE('',#4483,#3335); +#4491=LINE('',#4485,#3337); +#4492=LINE('',#4487,#3339); +#4493=CARTESIAN_POINT('',(1.8685E1,-3.45E0,-3.8E-1)); +#4494=LINE('',#4493,#3318); +#4495=CARTESIAN_POINT('',(1.9415E1,-3.45E0,-3.8E-1)); +#4496=LINE('',#4495,#3322); +#4497=CARTESIAN_POINT('',(1.9415E1,-4.17E0,-3.8E-1)); +#4498=LINE('',#4497,#3326); +#4499=CARTESIAN_POINT('',(1.8685E1,-4.17E0,-3.8E-1)); +#4500=LINE('',#4499,#3330); +#4501=LINE('',#4493,#3333); +#4502=LINE('',#4495,#3335); +#4503=LINE('',#4497,#3337); +#4504=LINE('',#4499,#3339); +#4505=CARTESIAN_POINT('',(2.1225E1,-3.45E0,-3.8E-1)); +#4506=LINE('',#4505,#3318); +#4507=CARTESIAN_POINT('',(2.1955E1,-3.45E0,-3.8E-1)); +#4508=LINE('',#4507,#3322); +#4509=CARTESIAN_POINT('',(2.1955E1,-4.17E0,-3.8E-1)); +#4510=LINE('',#4509,#3326); +#4511=CARTESIAN_POINT('',(2.1225E1,-4.17E0,-3.8E-1)); +#4512=LINE('',#4511,#3330); +#4513=LINE('',#4505,#3333); +#4514=LINE('',#4507,#3335); +#4515=LINE('',#4509,#3337); +#4516=LINE('',#4511,#3339); +#4517=CARTESIAN_POINT('',(2.3765E1,-3.45E0,-3.8E-1)); +#4518=LINE('',#4517,#3318); +#4519=CARTESIAN_POINT('',(2.4495E1,-3.45E0,-3.8E-1)); +#4520=LINE('',#4519,#3322); +#4521=CARTESIAN_POINT('',(2.4495E1,-4.17E0,-3.8E-1)); +#4522=LINE('',#4521,#3326); +#4523=CARTESIAN_POINT('',(2.3765E1,-4.17E0,-3.8E-1)); +#4524=LINE('',#4523,#3330); +#4525=LINE('',#4517,#3333); +#4526=LINE('',#4519,#3335); +#4527=LINE('',#4521,#3337); +#4528=LINE('',#4523,#3339); +#4529=CARTESIAN_POINT('',(2.6305E1,-3.45E0,-3.8E-1)); +#4530=LINE('',#4529,#3318); +#4531=CARTESIAN_POINT('',(2.7035E1,-3.45E0,-3.8E-1)); +#4532=LINE('',#4531,#3322); +#4533=CARTESIAN_POINT('',(2.7035E1,-4.17E0,-3.8E-1)); +#4534=LINE('',#4533,#3326); +#4535=CARTESIAN_POINT('',(2.6305E1,-4.17E0,-3.8E-1)); +#4536=LINE('',#4535,#3330); +#4537=LINE('',#4529,#3333); +#4538=LINE('',#4531,#3335); +#4539=LINE('',#4533,#3337); +#4540=LINE('',#4535,#3339); +#4541=CARTESIAN_POINT('',(2.8845E1,-3.45E0,-3.8E-1)); +#4542=LINE('',#4541,#3318); +#4543=CARTESIAN_POINT('',(2.9575E1,-3.45E0,-3.8E-1)); +#4544=LINE('',#4543,#3322); +#4545=CARTESIAN_POINT('',(2.9575E1,-4.17E0,-3.8E-1)); +#4546=LINE('',#4545,#3326); +#4547=CARTESIAN_POINT('',(2.8845E1,-4.17E0,-3.8E-1)); +#4548=LINE('',#4547,#3330); +#4549=LINE('',#4541,#3333); +#4550=LINE('',#4543,#3335); +#4551=LINE('',#4545,#3337); +#4552=LINE('',#4547,#3339); +#4553=VERTEX_POINT('',#74); +#4554=VERTEX_POINT('',#96); +#4555=VERTEX_POINT('',#93); +#4556=VERTEX_POINT('',#91); +#4557=VERTEX_POINT('',#88); +#4558=VERTEX_POINT('',#86); +#4559=VERTEX_POINT('',#82); +#4560=VERTEX_POINT('',#78); +#4561=VERTEX_POINT('',#944); +#4562=VERTEX_POINT('',#946); +#4563=VERTEX_POINT('',#959); +#4564=VERTEX_POINT('',#961); +#4565=VERTEX_POINT('',#952); +#4566=VERTEX_POINT('',#954); +#4567=VERTEX_POINT('',#940); +#4568=VERTEX_POINT('',#933); +#4569=VERTEX_POINT('',#930); +#4570=VERTEX_POINT('',#942); +#4571=CARTESIAN_POINT('',(4.08E1,3.55E-1,-1.105E1)); +#4572=VERTEX_POINT('',#4571); +#4573=VERTEX_POINT('',#938); +#4574=VERTEX_POINT('',#948); +#4575=VERTEX_POINT('',#950); +#4576=VERTEX_POINT('',#2113); +#4577=VERTEX_POINT('',#2111); +#4578=VERTEX_POINT('',#2104); +#4579=VERTEX_POINT('',#2106); +#4580=VERTEX_POINT('',#957); +#4581=CARTESIAN_POINT('',(3.064E1,-4.595E0,-1.105E1)); +#4582=VERTEX_POINT('',#4581); +#4583=VERTEX_POINT('',#926); +#4584=VERTEX_POINT('',#923); +#4585=VERTEX_POINT('',#1470); +#4586=VERTEX_POINT('',#2093); +#4587=VERTEX_POINT('',#2085); +#4588=VERTEX_POINT('',#2091); +#4589=VERTEX_POINT('',#2089); +#4590=VERTEX_POINT('',#2087); +#4591=VERTEX_POINT('',#1112); +#4592=VERTEX_POINT('',#2079); +#4593=VERTEX_POINT('',#1108); +#4594=VERTEX_POINT('',#1110); +#4595=VERTEX_POINT('',#1465); +#4596=VERTEX_POINT('',#2082); +#4597=VERTEX_POINT('',#2071); +#4598=VERTEX_POINT('',#2077); +#4599=VERTEX_POINT('',#2075); +#4600=VERTEX_POINT('',#2073); +#4601=VERTEX_POINT('',#1118); +#4602=VERTEX_POINT('',#2065); +#4603=VERTEX_POINT('',#1114); +#4604=VERTEX_POINT('',#1116); +#4605=VERTEX_POINT('',#1460); +#4606=VERTEX_POINT('',#2068); +#4607=VERTEX_POINT('',#2057); +#4608=VERTEX_POINT('',#2063); +#4609=VERTEX_POINT('',#2061); +#4610=VERTEX_POINT('',#2059); +#4611=VERTEX_POINT('',#1124); +#4612=VERTEX_POINT('',#2051); +#4613=VERTEX_POINT('',#1120); +#4614=VERTEX_POINT('',#1122); +#4615=VERTEX_POINT('',#1455); +#4616=VERTEX_POINT('',#2054); +#4617=VERTEX_POINT('',#2043); +#4618=VERTEX_POINT('',#2049); +#4619=VERTEX_POINT('',#2047); +#4620=VERTEX_POINT('',#2045); +#4621=VERTEX_POINT('',#1130); +#4622=VERTEX_POINT('',#2037); +#4623=VERTEX_POINT('',#1126); +#4624=VERTEX_POINT('',#1128); +#4625=VERTEX_POINT('',#1450); +#4626=VERTEX_POINT('',#2040); +#4627=VERTEX_POINT('',#2029); +#4628=VERTEX_POINT('',#2035); +#4629=VERTEX_POINT('',#2033); +#4630=VERTEX_POINT('',#2031); +#4631=VERTEX_POINT('',#1136); +#4632=VERTEX_POINT('',#2023); +#4633=VERTEX_POINT('',#1132); +#4634=VERTEX_POINT('',#1134); +#4635=VERTEX_POINT('',#1445); +#4636=VERTEX_POINT('',#2026); +#4637=VERTEX_POINT('',#2015); +#4638=VERTEX_POINT('',#2021); +#4639=VERTEX_POINT('',#2019); +#4640=VERTEX_POINT('',#2017); +#4641=VERTEX_POINT('',#1142); +#4642=VERTEX_POINT('',#2009); +#4643=VERTEX_POINT('',#1138); +#4644=VERTEX_POINT('',#1140); +#4645=VERTEX_POINT('',#1440); +#4646=VERTEX_POINT('',#2012); +#4647=VERTEX_POINT('',#2001); +#4648=VERTEX_POINT('',#2007); +#4649=VERTEX_POINT('',#2005); +#4650=VERTEX_POINT('',#2003); +#4651=VERTEX_POINT('',#1148); +#4652=VERTEX_POINT('',#1995); +#4653=VERTEX_POINT('',#1144); +#4654=VERTEX_POINT('',#1146); +#4655=VERTEX_POINT('',#1435); +#4656=VERTEX_POINT('',#1998); +#4657=VERTEX_POINT('',#1987); +#4658=VERTEX_POINT('',#1993); +#4659=VERTEX_POINT('',#1991); +#4660=VERTEX_POINT('',#1989); +#4661=VERTEX_POINT('',#1154); +#4662=VERTEX_POINT('',#1981); +#4663=VERTEX_POINT('',#1150); +#4664=VERTEX_POINT('',#1152); +#4665=VERTEX_POINT('',#1430); +#4666=VERTEX_POINT('',#1984); +#4667=VERTEX_POINT('',#1973); +#4668=VERTEX_POINT('',#1979); +#4669=VERTEX_POINT('',#1977); +#4670=VERTEX_POINT('',#1975); +#4671=VERTEX_POINT('',#965); +#4672=VERTEX_POINT('',#1967); +#4673=VERTEX_POINT('',#1156); +#4674=VERTEX_POINT('',#1158); +#4675=VERTEX_POINT('',#1424); +#4676=VERTEX_POINT('',#1970); +#4677=VERTEX_POINT('',#3309); +#4678=VERTEX_POINT('',#3315); +#4679=VERTEX_POINT('',#3313); +#4680=VERTEX_POINT('',#3311); +#4681=VERTEX_POINT('',#974); +#4682=VERTEX_POINT('',#3303); +#4683=VERTEX_POINT('',#969); +#4684=VERTEX_POINT('',#972); +#4685=VERTEX_POINT('',#1578); +#4686=VERTEX_POINT('',#3306); +#4687=VERTEX_POINT('',#3295); +#4688=VERTEX_POINT('',#3301); +#4689=VERTEX_POINT('',#3299); +#4690=VERTEX_POINT('',#3297); +#4691=VERTEX_POINT('',#984); +#4692=VERTEX_POINT('',#3289); +#4693=VERTEX_POINT('',#978); +#4694=VERTEX_POINT('',#980); +#4695=VERTEX_POINT('',#1573); +#4696=VERTEX_POINT('',#3292); +#4697=VERTEX_POINT('',#3281); +#4698=VERTEX_POINT('',#3287); +#4699=VERTEX_POINT('',#3285); +#4700=VERTEX_POINT('',#3283); +#4701=VERTEX_POINT('',#992); +#4702=VERTEX_POINT('',#3275); +#4703=VERTEX_POINT('',#988); +#4704=VERTEX_POINT('',#990); +#4705=VERTEX_POINT('',#1568); +#4706=VERTEX_POINT('',#3278); +#4707=VERTEX_POINT('',#3267); +#4708=VERTEX_POINT('',#3273); +#4709=VERTEX_POINT('',#3271); +#4710=VERTEX_POINT('',#3269); +#4711=VERTEX_POINT('',#998); +#4712=VERTEX_POINT('',#3261); +#4713=VERTEX_POINT('',#994); +#4714=VERTEX_POINT('',#996); +#4715=VERTEX_POINT('',#1563); +#4716=VERTEX_POINT('',#3264); +#4717=VERTEX_POINT('',#3253); +#4718=VERTEX_POINT('',#3259); +#4719=VERTEX_POINT('',#3257); +#4720=VERTEX_POINT('',#3255); +#4721=VERTEX_POINT('',#1004); +#4722=VERTEX_POINT('',#3247); +#4723=VERTEX_POINT('',#1000); +#4724=VERTEX_POINT('',#1002); +#4725=VERTEX_POINT('',#1558); +#4726=VERTEX_POINT('',#3250); +#4727=VERTEX_POINT('',#3239); +#4728=VERTEX_POINT('',#3245); +#4729=VERTEX_POINT('',#3243); +#4730=VERTEX_POINT('',#3241); +#4731=VERTEX_POINT('',#1010); +#4732=VERTEX_POINT('',#3233); +#4733=VERTEX_POINT('',#1006); +#4734=VERTEX_POINT('',#1008); +#4735=VERTEX_POINT('',#1553); +#4736=VERTEX_POINT('',#3236); +#4737=VERTEX_POINT('',#3225); +#4738=VERTEX_POINT('',#3231); +#4739=VERTEX_POINT('',#3229); +#4740=VERTEX_POINT('',#3227); +#4741=VERTEX_POINT('',#1016); +#4742=VERTEX_POINT('',#3219); +#4743=VERTEX_POINT('',#1012); +#4744=VERTEX_POINT('',#1014); +#4745=VERTEX_POINT('',#1548); +#4746=VERTEX_POINT('',#3222); +#4747=VERTEX_POINT('',#3211); +#4748=VERTEX_POINT('',#3217); +#4749=VERTEX_POINT('',#3215); +#4750=VERTEX_POINT('',#3213); +#4751=VERTEX_POINT('',#1022); +#4752=VERTEX_POINT('',#3205); +#4753=VERTEX_POINT('',#1018); +#4754=VERTEX_POINT('',#1020); +#4755=VERTEX_POINT('',#1543); +#4756=VERTEX_POINT('',#3208); +#4757=VERTEX_POINT('',#3197); +#4758=VERTEX_POINT('',#3203); +#4759=VERTEX_POINT('',#3201); +#4760=VERTEX_POINT('',#3199); +#4761=VERTEX_POINT('',#1028); +#4762=VERTEX_POINT('',#3191); +#4763=VERTEX_POINT('',#1024); +#4764=VERTEX_POINT('',#1026); +#4765=VERTEX_POINT('',#1538); +#4766=VERTEX_POINT('',#3194); +#4767=VERTEX_POINT('',#3183); +#4768=VERTEX_POINT('',#3189); +#4769=VERTEX_POINT('',#3187); +#4770=VERTEX_POINT('',#3185); +#4771=VERTEX_POINT('',#1034); +#4772=VERTEX_POINT('',#3177); +#4773=VERTEX_POINT('',#1030); +#4774=VERTEX_POINT('',#1032); +#4775=VERTEX_POINT('',#1533); +#4776=VERTEX_POINT('',#3180); +#4777=VERTEX_POINT('',#3169); +#4778=VERTEX_POINT('',#3175); +#4779=VERTEX_POINT('',#3173); +#4780=VERTEX_POINT('',#3171); +#4781=VERTEX_POINT('',#1040); +#4782=VERTEX_POINT('',#3163); +#4783=VERTEX_POINT('',#1036); +#4784=VERTEX_POINT('',#1038); +#4785=VERTEX_POINT('',#1528); +#4786=VERTEX_POINT('',#3166); +#4787=VERTEX_POINT('',#3155); +#4788=VERTEX_POINT('',#3161); +#4789=VERTEX_POINT('',#3159); +#4790=VERTEX_POINT('',#3157); +#4791=VERTEX_POINT('',#1046); +#4792=VERTEX_POINT('',#3149); +#4793=VERTEX_POINT('',#1042); +#4794=VERTEX_POINT('',#1044); +#4795=VERTEX_POINT('',#1523); +#4796=VERTEX_POINT('',#3152); +#4797=VERTEX_POINT('',#3141); +#4798=VERTEX_POINT('',#3147); +#4799=VERTEX_POINT('',#3145); +#4800=VERTEX_POINT('',#3143); +#4801=VERTEX_POINT('',#1052); +#4802=VERTEX_POINT('',#3135); +#4803=VERTEX_POINT('',#1048); +#4804=VERTEX_POINT('',#1050); +#4805=VERTEX_POINT('',#1518); +#4806=VERTEX_POINT('',#3138); +#4807=VERTEX_POINT('',#3127); +#4808=VERTEX_POINT('',#3133); +#4809=VERTEX_POINT('',#3131); +#4810=VERTEX_POINT('',#3129); +#4811=VERTEX_POINT('',#1058); +#4812=VERTEX_POINT('',#3121); +#4813=VERTEX_POINT('',#1054); +#4814=VERTEX_POINT('',#1056); +#4815=VERTEX_POINT('',#1513); +#4816=VERTEX_POINT('',#3124); +#4817=VERTEX_POINT('',#3113); +#4818=VERTEX_POINT('',#3119); +#4819=VERTEX_POINT('',#3117); +#4820=VERTEX_POINT('',#3115); +#4821=VERTEX_POINT('',#1064); +#4822=VERTEX_POINT('',#3107); +#4823=VERTEX_POINT('',#1060); +#4824=VERTEX_POINT('',#1062); +#4825=VERTEX_POINT('',#1508); +#4826=VERTEX_POINT('',#3110); +#4827=VERTEX_POINT('',#3099); +#4828=VERTEX_POINT('',#3105); +#4829=VERTEX_POINT('',#3103); +#4830=VERTEX_POINT('',#3101); +#4831=VERTEX_POINT('',#1070); +#4832=VERTEX_POINT('',#3093); +#4833=VERTEX_POINT('',#1066); +#4834=VERTEX_POINT('',#1068); +#4835=VERTEX_POINT('',#1503); +#4836=VERTEX_POINT('',#3096); +#4837=VERTEX_POINT('',#3085); +#4838=VERTEX_POINT('',#3091); +#4839=VERTEX_POINT('',#3089); +#4840=VERTEX_POINT('',#3087); +#4841=VERTEX_POINT('',#1076); +#4842=VERTEX_POINT('',#3079); +#4843=VERTEX_POINT('',#1072); +#4844=VERTEX_POINT('',#1074); +#4845=VERTEX_POINT('',#1498); +#4846=VERTEX_POINT('',#3082); +#4847=VERTEX_POINT('',#3071); +#4848=VERTEX_POINT('',#3077); +#4849=VERTEX_POINT('',#3075); +#4850=VERTEX_POINT('',#3073); +#4851=VERTEX_POINT('',#1082); +#4852=VERTEX_POINT('',#3065); +#4853=VERTEX_POINT('',#1078); +#4854=VERTEX_POINT('',#1080); +#4855=VERTEX_POINT('',#1493); +#4856=VERTEX_POINT('',#3068); +#4857=VERTEX_POINT('',#3057); +#4858=VERTEX_POINT('',#3063); +#4859=VERTEX_POINT('',#3061); +#4860=VERTEX_POINT('',#3059); +#4861=VERTEX_POINT('',#1088); +#4862=VERTEX_POINT('',#3051); +#4863=VERTEX_POINT('',#1084); +#4864=VERTEX_POINT('',#1086); +#4865=VERTEX_POINT('',#1488); +#4866=VERTEX_POINT('',#3054); +#4867=VERTEX_POINT('',#3043); +#4868=VERTEX_POINT('',#3049); +#4869=VERTEX_POINT('',#3047); +#4870=VERTEX_POINT('',#3045); +#4871=VERTEX_POINT('',#1094); +#4872=VERTEX_POINT('',#3037); +#4873=VERTEX_POINT('',#1090); +#4874=VERTEX_POINT('',#1092); +#4875=VERTEX_POINT('',#1483); +#4876=VERTEX_POINT('',#3040); +#4877=VERTEX_POINT('',#3029); +#4878=VERTEX_POINT('',#3035); +#4879=VERTEX_POINT('',#3033); +#4880=VERTEX_POINT('',#3031); +#4881=VERTEX_POINT('',#1100); +#4882=VERTEX_POINT('',#3023); +#4883=VERTEX_POINT('',#1096); +#4884=VERTEX_POINT('',#1098); +#4885=VERTEX_POINT('',#1478); +#4886=VERTEX_POINT('',#3026); +#4887=VERTEX_POINT('',#3015); +#4888=VERTEX_POINT('',#3021); +#4889=VERTEX_POINT('',#3019); +#4890=VERTEX_POINT('',#3017); +#4891=VERTEX_POINT('',#1106); +#4892=VERTEX_POINT('',#3009); +#4893=VERTEX_POINT('',#1102); +#4894=VERTEX_POINT('',#1104); +#4895=VERTEX_POINT('',#1473); +#4896=VERTEX_POINT('',#3012); +#4897=VERTEX_POINT('',#1586); +#4898=VERTEX_POINT('',#1592); +#4899=VERTEX_POINT('',#1590); +#4900=VERTEX_POINT('',#1588); +#4901=VERTEX_POINT('',#1162); +#4902=VERTEX_POINT('',#1165); +#4903=VERTEX_POINT('',#1168); +#4904=VERTEX_POINT('',#1170); +#4905=VERTEX_POINT('',#1598); +#4906=VERTEX_POINT('',#1604); +#4907=VERTEX_POINT('',#1602); +#4908=VERTEX_POINT('',#1600); +#4909=VERTEX_POINT('',#1172); +#4910=VERTEX_POINT('',#1174); +#4911=VERTEX_POINT('',#1176); +#4912=VERTEX_POINT('',#1178); +#4913=VERTEX_POINT('',#1610); +#4914=VERTEX_POINT('',#1616); +#4915=VERTEX_POINT('',#1614); +#4916=VERTEX_POINT('',#1612); +#4917=VERTEX_POINT('',#1180); +#4918=VERTEX_POINT('',#1182); +#4919=VERTEX_POINT('',#1184); +#4920=VERTEX_POINT('',#1186); +#4921=VERTEX_POINT('',#1622); +#4922=VERTEX_POINT('',#1628); +#4923=VERTEX_POINT('',#1626); +#4924=VERTEX_POINT('',#1624); +#4925=VERTEX_POINT('',#1188); +#4926=VERTEX_POINT('',#1190); +#4927=VERTEX_POINT('',#1192); +#4928=VERTEX_POINT('',#1194); +#4929=VERTEX_POINT('',#1634); +#4930=VERTEX_POINT('',#1640); +#4931=VERTEX_POINT('',#1638); +#4932=VERTEX_POINT('',#1636); +#4933=VERTEX_POINT('',#1196); +#4934=VERTEX_POINT('',#1198); +#4935=VERTEX_POINT('',#1200); +#4936=VERTEX_POINT('',#1202); +#4937=VERTEX_POINT('',#1646); +#4938=VERTEX_POINT('',#1652); +#4939=VERTEX_POINT('',#1650); +#4940=VERTEX_POINT('',#1648); +#4941=VERTEX_POINT('',#1204); +#4942=VERTEX_POINT('',#1206); +#4943=VERTEX_POINT('',#1208); +#4944=VERTEX_POINT('',#1210); +#4945=VERTEX_POINT('',#1658); +#4946=VERTEX_POINT('',#1664); +#4947=VERTEX_POINT('',#1662); +#4948=VERTEX_POINT('',#1660); +#4949=VERTEX_POINT('',#1212); +#4950=VERTEX_POINT('',#1214); +#4951=VERTEX_POINT('',#1216); +#4952=VERTEX_POINT('',#1218); +#4953=VERTEX_POINT('',#1670); +#4954=VERTEX_POINT('',#1676); +#4955=VERTEX_POINT('',#1674); +#4956=VERTEX_POINT('',#1672); +#4957=VERTEX_POINT('',#1220); +#4958=VERTEX_POINT('',#1222); +#4959=VERTEX_POINT('',#1224); +#4960=VERTEX_POINT('',#1226); +#4961=VERTEX_POINT('',#1682); +#4962=VERTEX_POINT('',#1688); +#4963=VERTEX_POINT('',#1686); +#4964=VERTEX_POINT('',#1684); +#4965=VERTEX_POINT('',#1228); +#4966=VERTEX_POINT('',#1230); +#4967=VERTEX_POINT('',#1232); +#4968=VERTEX_POINT('',#1234); +#4969=VERTEX_POINT('',#1694); +#4970=VERTEX_POINT('',#1700); +#4971=VERTEX_POINT('',#1698); +#4972=VERTEX_POINT('',#1696); +#4973=VERTEX_POINT('',#1236); +#4974=VERTEX_POINT('',#1238); +#4975=VERTEX_POINT('',#1240); +#4976=VERTEX_POINT('',#1242); +#4977=VERTEX_POINT('',#1706); +#4978=VERTEX_POINT('',#1712); +#4979=VERTEX_POINT('',#1710); +#4980=VERTEX_POINT('',#1708); +#4981=VERTEX_POINT('',#1244); +#4982=VERTEX_POINT('',#1246); +#4983=VERTEX_POINT('',#1248); +#4984=VERTEX_POINT('',#1250); +#4985=VERTEX_POINT('',#1718); +#4986=VERTEX_POINT('',#1724); +#4987=VERTEX_POINT('',#1722); +#4988=VERTEX_POINT('',#1720); +#4989=VERTEX_POINT('',#1252); +#4990=VERTEX_POINT('',#1254); +#4991=VERTEX_POINT('',#1256); +#4992=VERTEX_POINT('',#1258); +#4993=VERTEX_POINT('',#1730); +#4994=VERTEX_POINT('',#1736); +#4995=VERTEX_POINT('',#1734); +#4996=VERTEX_POINT('',#1732); +#4997=VERTEX_POINT('',#1260); +#4998=VERTEX_POINT('',#1262); +#4999=VERTEX_POINT('',#1266); +#5000=VERTEX_POINT('',#1268); +#5001=VERTEX_POINT('',#1742); +#5002=VERTEX_POINT('',#1748); +#5003=VERTEX_POINT('',#1746); +#5004=VERTEX_POINT('',#1744); +#5005=VERTEX_POINT('',#1270); +#5006=VERTEX_POINT('',#1272); +#5007=VERTEX_POINT('',#1274); +#5008=VERTEX_POINT('',#1276); +#5009=VERTEX_POINT('',#1754); +#5010=VERTEX_POINT('',#1760); +#5011=VERTEX_POINT('',#1758); +#5012=VERTEX_POINT('',#1756); +#5013=VERTEX_POINT('',#1278); +#5014=VERTEX_POINT('',#1280); +#5015=VERTEX_POINT('',#1282); +#5016=VERTEX_POINT('',#1284); +#5017=VERTEX_POINT('',#1766); +#5018=VERTEX_POINT('',#1772); +#5019=VERTEX_POINT('',#1770); +#5020=VERTEX_POINT('',#1768); +#5021=VERTEX_POINT('',#1286); +#5022=VERTEX_POINT('',#1288); +#5023=VERTEX_POINT('',#1290); +#5024=VERTEX_POINT('',#1292); +#5025=VERTEX_POINT('',#1778); +#5026=VERTEX_POINT('',#1784); +#5027=VERTEX_POINT('',#1782); +#5028=VERTEX_POINT('',#1780); +#5029=VERTEX_POINT('',#1294); +#5030=VERTEX_POINT('',#1296); +#5031=VERTEX_POINT('',#1298); +#5032=VERTEX_POINT('',#1300); +#5033=VERTEX_POINT('',#1790); +#5034=VERTEX_POINT('',#1796); +#5035=VERTEX_POINT('',#1794); +#5036=VERTEX_POINT('',#1792); +#5037=VERTEX_POINT('',#1302); +#5038=VERTEX_POINT('',#1304); +#5039=VERTEX_POINT('',#1306); +#5040=VERTEX_POINT('',#1308); +#5041=VERTEX_POINT('',#1802); +#5042=VERTEX_POINT('',#1808); +#5043=VERTEX_POINT('',#1806); +#5044=VERTEX_POINT('',#1804); +#5045=VERTEX_POINT('',#1310); +#5046=VERTEX_POINT('',#1312); +#5047=VERTEX_POINT('',#1314); +#5048=VERTEX_POINT('',#1316); +#5049=VERTEX_POINT('',#1814); +#5050=VERTEX_POINT('',#1820); +#5051=VERTEX_POINT('',#1818); +#5052=VERTEX_POINT('',#1816); +#5053=VERTEX_POINT('',#1318); +#5054=VERTEX_POINT('',#1320); +#5055=VERTEX_POINT('',#1322); +#5056=VERTEX_POINT('',#1324); +#5057=VERTEX_POINT('',#1826); +#5058=VERTEX_POINT('',#1832); +#5059=VERTEX_POINT('',#1830); +#5060=VERTEX_POINT('',#1828); +#5061=VERTEX_POINT('',#1326); +#5062=VERTEX_POINT('',#1328); +#5063=VERTEX_POINT('',#1330); +#5064=VERTEX_POINT('',#1332); +#5065=VERTEX_POINT('',#1838); +#5066=VERTEX_POINT('',#1844); +#5067=VERTEX_POINT('',#1842); +#5068=VERTEX_POINT('',#1840); +#5069=VERTEX_POINT('',#1334); +#5070=VERTEX_POINT('',#1336); +#5071=VERTEX_POINT('',#1338); +#5072=VERTEX_POINT('',#1340); +#5073=VERTEX_POINT('',#1850); +#5074=VERTEX_POINT('',#1856); +#5075=VERTEX_POINT('',#1854); +#5076=VERTEX_POINT('',#1852); +#5077=VERTEX_POINT('',#1342); +#5078=VERTEX_POINT('',#1344); +#5079=VERTEX_POINT('',#1346); +#5080=VERTEX_POINT('',#1348); +#5081=VERTEX_POINT('',#1862); +#5082=VERTEX_POINT('',#1868); +#5083=VERTEX_POINT('',#1866); +#5084=VERTEX_POINT('',#1864); +#5085=VERTEX_POINT('',#1350); +#5086=VERTEX_POINT('',#1352); +#5087=VERTEX_POINT('',#1354); +#5088=VERTEX_POINT('',#1356); +#5089=VERTEX_POINT('',#1874); +#5090=VERTEX_POINT('',#1880); +#5091=VERTEX_POINT('',#1878); +#5092=VERTEX_POINT('',#1876); +#5093=VERTEX_POINT('',#1358); +#5094=VERTEX_POINT('',#1360); +#5095=VERTEX_POINT('',#1362); +#5096=VERTEX_POINT('',#1364); +#5097=VERTEX_POINT('',#1886); +#5098=VERTEX_POINT('',#1892); +#5099=VERTEX_POINT('',#1890); +#5100=VERTEX_POINT('',#1888); +#5101=VERTEX_POINT('',#1366); +#5102=VERTEX_POINT('',#1368); +#5103=VERTEX_POINT('',#1370); +#5104=VERTEX_POINT('',#1372); +#5105=VERTEX_POINT('',#1898); +#5106=VERTEX_POINT('',#1904); +#5107=VERTEX_POINT('',#1902); +#5108=VERTEX_POINT('',#1900); +#5109=VERTEX_POINT('',#1374); +#5110=VERTEX_POINT('',#1376); +#5111=VERTEX_POINT('',#1378); +#5112=VERTEX_POINT('',#1380); +#5113=VERTEX_POINT('',#1910); +#5114=VERTEX_POINT('',#1916); +#5115=VERTEX_POINT('',#1914); +#5116=VERTEX_POINT('',#1912); +#5117=VERTEX_POINT('',#1382); +#5118=VERTEX_POINT('',#1384); +#5119=VERTEX_POINT('',#1386); +#5120=VERTEX_POINT('',#1388); +#5121=VERTEX_POINT('',#1922); +#5122=VERTEX_POINT('',#1928); +#5123=VERTEX_POINT('',#1926); +#5124=VERTEX_POINT('',#1924); +#5125=VERTEX_POINT('',#1390); +#5126=VERTEX_POINT('',#1392); +#5127=VERTEX_POINT('',#1394); +#5128=VERTEX_POINT('',#1396); +#5129=VERTEX_POINT('',#1934); +#5130=VERTEX_POINT('',#1940); +#5131=VERTEX_POINT('',#1938); +#5132=VERTEX_POINT('',#1936); +#5133=VERTEX_POINT('',#1398); +#5134=VERTEX_POINT('',#1400); +#5135=VERTEX_POINT('',#1402); +#5136=VERTEX_POINT('',#1404); +#5137=VERTEX_POINT('',#1946); +#5138=VERTEX_POINT('',#1952); +#5139=VERTEX_POINT('',#1950); +#5140=VERTEX_POINT('',#1948); +#5141=VERTEX_POINT('',#1406); +#5142=VERTEX_POINT('',#1408); +#5143=VERTEX_POINT('',#1410); +#5144=VERTEX_POINT('',#1412); +#5145=VERTEX_POINT('',#1958); +#5146=VERTEX_POINT('',#1964); +#5147=VERTEX_POINT('',#1962); +#5148=VERTEX_POINT('',#1960); +#5149=VERTEX_POINT('',#1414); +#5150=VERTEX_POINT('',#1416); +#5151=VERTEX_POINT('',#1418); +#5152=VERTEX_POINT('',#1420); +#5153=VERTEX_POINT('',#2506); +#5154=VERTEX_POINT('',#2512); +#5155=VERTEX_POINT('',#2510); +#5156=VERTEX_POINT('',#2508); +#5157=VERTEX_POINT('',#2263); +#5158=VERTEX_POINT('',#2265); +#5159=VERTEX_POINT('',#2267); +#5160=VERTEX_POINT('',#2269); +#5161=VERTEX_POINT('',#2518); +#5162=VERTEX_POINT('',#2524); +#5163=VERTEX_POINT('',#2522); +#5164=VERTEX_POINT('',#2520); +#5165=VERTEX_POINT('',#2271); +#5166=VERTEX_POINT('',#2273); +#5167=VERTEX_POINT('',#2275); +#5168=VERTEX_POINT('',#2277); +#5169=VERTEX_POINT('',#2530); +#5170=VERTEX_POINT('',#2536); +#5171=VERTEX_POINT('',#2534); +#5172=VERTEX_POINT('',#2532); +#5173=VERTEX_POINT('',#2279); +#5174=VERTEX_POINT('',#2281); +#5175=VERTEX_POINT('',#2283); +#5176=VERTEX_POINT('',#2285); +#5177=VERTEX_POINT('',#2542); +#5178=VERTEX_POINT('',#2548); +#5179=VERTEX_POINT('',#2546); +#5180=VERTEX_POINT('',#2544); +#5181=VERTEX_POINT('',#2287); +#5182=VERTEX_POINT('',#2289); +#5183=VERTEX_POINT('',#2293); +#5184=VERTEX_POINT('',#2295); +#5185=VERTEX_POINT('',#2554); +#5186=VERTEX_POINT('',#2560); +#5187=VERTEX_POINT('',#2558); +#5188=VERTEX_POINT('',#2556); +#5189=VERTEX_POINT('',#2297); +#5190=VERTEX_POINT('',#2299); +#5191=VERTEX_POINT('',#2301); +#5192=VERTEX_POINT('',#2303); +#5193=VERTEX_POINT('',#2566); +#5194=VERTEX_POINT('',#2572); +#5195=VERTEX_POINT('',#2570); +#5196=VERTEX_POINT('',#2568); +#5197=VERTEX_POINT('',#2305); +#5198=VERTEX_POINT('',#2307); +#5199=VERTEX_POINT('',#2309); +#5200=VERTEX_POINT('',#2311); +#5201=VERTEX_POINT('',#2578); +#5202=VERTEX_POINT('',#2584); +#5203=VERTEX_POINT('',#2582); +#5204=VERTEX_POINT('',#2580); +#5205=VERTEX_POINT('',#2313); +#5206=VERTEX_POINT('',#2315); +#5207=VERTEX_POINT('',#2317); +#5208=VERTEX_POINT('',#2319); +#5209=VERTEX_POINT('',#2590); +#5210=VERTEX_POINT('',#2596); +#5211=VERTEX_POINT('',#2594); +#5212=VERTEX_POINT('',#2592); +#5213=VERTEX_POINT('',#2321); +#5214=VERTEX_POINT('',#2323); +#5215=VERTEX_POINT('',#2325); +#5216=VERTEX_POINT('',#2327); +#5217=VERTEX_POINT('',#2602); +#5218=VERTEX_POINT('',#2608); +#5219=VERTEX_POINT('',#2606); +#5220=VERTEX_POINT('',#2604); +#5221=VERTEX_POINT('',#2329); +#5222=VERTEX_POINT('',#2331); +#5223=VERTEX_POINT('',#2333); +#5224=VERTEX_POINT('',#2335); +#5225=VERTEX_POINT('',#2614); +#5226=VERTEX_POINT('',#2620); +#5227=VERTEX_POINT('',#2618); +#5228=VERTEX_POINT('',#2616); +#5229=VERTEX_POINT('',#2337); +#5230=VERTEX_POINT('',#2339); +#5231=VERTEX_POINT('',#2341); +#5232=VERTEX_POINT('',#2343); +#5233=VERTEX_POINT('',#2626); +#5234=VERTEX_POINT('',#2632); +#5235=VERTEX_POINT('',#2630); +#5236=VERTEX_POINT('',#2628); +#5237=VERTEX_POINT('',#2345); +#5238=VERTEX_POINT('',#2347); +#5239=VERTEX_POINT('',#2349); +#5240=VERTEX_POINT('',#2351); +#5241=VERTEX_POINT('',#2638); +#5242=VERTEX_POINT('',#2644); +#5243=VERTEX_POINT('',#2642); +#5244=VERTEX_POINT('',#2640); +#5245=VERTEX_POINT('',#2353); +#5246=VERTEX_POINT('',#2355); +#5247=VERTEX_POINT('',#2357); +#5248=VERTEX_POINT('',#2359); +#5249=VERTEX_POINT('',#2650); +#5250=VERTEX_POINT('',#2656); +#5251=VERTEX_POINT('',#2654); +#5252=VERTEX_POINT('',#2652); +#5253=VERTEX_POINT('',#2361); +#5254=VERTEX_POINT('',#2363); +#5255=VERTEX_POINT('',#2365); +#5256=VERTEX_POINT('',#2367); +#5257=VERTEX_POINT('',#2662); +#5258=VERTEX_POINT('',#2668); +#5259=VERTEX_POINT('',#2666); +#5260=VERTEX_POINT('',#2664); +#5261=VERTEX_POINT('',#2369); +#5262=VERTEX_POINT('',#2371); +#5263=VERTEX_POINT('',#2373); +#5264=VERTEX_POINT('',#2375); +#5265=VERTEX_POINT('',#2674); +#5266=VERTEX_POINT('',#2680); +#5267=VERTEX_POINT('',#2678); +#5268=VERTEX_POINT('',#2676); +#5269=VERTEX_POINT('',#2377); +#5270=VERTEX_POINT('',#2379); +#5271=VERTEX_POINT('',#2381); +#5272=VERTEX_POINT('',#2383); +#5273=VERTEX_POINT('',#2686); +#5274=VERTEX_POINT('',#2692); +#5275=VERTEX_POINT('',#2690); +#5276=VERTEX_POINT('',#2688); +#5277=VERTEX_POINT('',#2385); +#5278=VERTEX_POINT('',#2387); +#5279=VERTEX_POINT('',#2389); +#5280=VERTEX_POINT('',#2391); +#5281=VERTEX_POINT('',#2698); +#5282=VERTEX_POINT('',#2704); +#5283=VERTEX_POINT('',#2702); +#5284=VERTEX_POINT('',#2700); +#5285=VERTEX_POINT('',#2393); +#5286=VERTEX_POINT('',#2395); +#5287=VERTEX_POINT('',#2397); +#5288=VERTEX_POINT('',#2399); +#5289=VERTEX_POINT('',#2710); +#5290=VERTEX_POINT('',#2716); +#5291=VERTEX_POINT('',#2714); +#5292=VERTEX_POINT('',#2712); +#5293=VERTEX_POINT('',#2401); +#5294=VERTEX_POINT('',#2403); +#5295=VERTEX_POINT('',#2405); +#5296=VERTEX_POINT('',#2407); +#5297=VERTEX_POINT('',#2722); +#5298=VERTEX_POINT('',#2728); +#5299=VERTEX_POINT('',#2726); +#5300=VERTEX_POINT('',#2724); +#5301=VERTEX_POINT('',#2409); +#5302=VERTEX_POINT('',#2411); +#5303=VERTEX_POINT('',#2413); +#5304=VERTEX_POINT('',#2415); +#5305=VERTEX_POINT('',#2736); +#5306=VERTEX_POINT('',#2742); +#5307=VERTEX_POINT('',#2740); +#5308=VERTEX_POINT('',#2738); +#5309=VERTEX_POINT('',#2252); +#5310=VERTEX_POINT('',#2733); +#5311=VERTEX_POINT('',#2256); +#5312=VERTEX_POINT('',#2422); +#5313=VERTEX_POINT('',#2254); +#5314=VERTEX_POINT('',#2730); +#5315=VERTEX_POINT('',#2750); +#5316=VERTEX_POINT('',#2756); +#5317=VERTEX_POINT('',#2754); +#5318=VERTEX_POINT('',#2752); +#5319=VERTEX_POINT('',#2245); +#5320=VERTEX_POINT('',#2747); +#5321=VERTEX_POINT('',#2249); +#5322=VERTEX_POINT('',#2426); +#5323=VERTEX_POINT('',#2247); +#5324=VERTEX_POINT('',#2744); +#5325=VERTEX_POINT('',#2764); +#5326=VERTEX_POINT('',#2770); +#5327=VERTEX_POINT('',#2768); +#5328=VERTEX_POINT('',#2766); +#5329=VERTEX_POINT('',#2238); +#5330=VERTEX_POINT('',#2761); +#5331=VERTEX_POINT('',#2242); +#5332=VERTEX_POINT('',#2430); +#5333=VERTEX_POINT('',#2240); +#5334=VERTEX_POINT('',#2758); +#5335=VERTEX_POINT('',#2778); +#5336=VERTEX_POINT('',#2784); +#5337=VERTEX_POINT('',#2782); +#5338=VERTEX_POINT('',#2780); +#5339=VERTEX_POINT('',#2231); +#5340=VERTEX_POINT('',#2775); +#5341=VERTEX_POINT('',#2235); +#5342=VERTEX_POINT('',#2436); +#5343=VERTEX_POINT('',#2233); +#5344=VERTEX_POINT('',#2772); +#5345=VERTEX_POINT('',#2792); +#5346=VERTEX_POINT('',#2798); +#5347=VERTEX_POINT('',#2796); +#5348=VERTEX_POINT('',#2794); +#5349=VERTEX_POINT('',#2224); +#5350=VERTEX_POINT('',#2789); +#5351=VERTEX_POINT('',#2228); +#5352=VERTEX_POINT('',#2440); +#5353=VERTEX_POINT('',#2226); +#5354=VERTEX_POINT('',#2786); +#5355=VERTEX_POINT('',#2806); +#5356=VERTEX_POINT('',#2812); +#5357=VERTEX_POINT('',#2810); +#5358=VERTEX_POINT('',#2808); +#5359=VERTEX_POINT('',#2217); +#5360=VERTEX_POINT('',#2803); +#5361=VERTEX_POINT('',#2221); +#5362=VERTEX_POINT('',#2444); +#5363=VERTEX_POINT('',#2219); +#5364=VERTEX_POINT('',#2800); +#5365=VERTEX_POINT('',#2820); +#5366=VERTEX_POINT('',#2826); +#5367=VERTEX_POINT('',#2824); +#5368=VERTEX_POINT('',#2822); +#5369=VERTEX_POINT('',#2210); +#5370=VERTEX_POINT('',#2817); +#5371=VERTEX_POINT('',#2214); +#5372=VERTEX_POINT('',#2448); +#5373=VERTEX_POINT('',#2212); +#5374=VERTEX_POINT('',#2814); +#5375=VERTEX_POINT('',#2834); +#5376=VERTEX_POINT('',#2840); +#5377=VERTEX_POINT('',#2838); +#5378=VERTEX_POINT('',#2836); +#5379=VERTEX_POINT('',#2203); +#5380=VERTEX_POINT('',#2831); +#5381=VERTEX_POINT('',#2207); +#5382=VERTEX_POINT('',#2452); +#5383=VERTEX_POINT('',#2205); +#5384=VERTEX_POINT('',#2828); +#5385=VERTEX_POINT('',#2848); +#5386=VERTEX_POINT('',#2854); +#5387=VERTEX_POINT('',#2852); +#5388=VERTEX_POINT('',#2850); +#5389=VERTEX_POINT('',#2196); +#5390=VERTEX_POINT('',#2845); +#5391=VERTEX_POINT('',#2200); +#5392=VERTEX_POINT('',#2456); +#5393=VERTEX_POINT('',#2198); +#5394=VERTEX_POINT('',#2842); +#5395=VERTEX_POINT('',#2862); +#5396=VERTEX_POINT('',#2868); +#5397=VERTEX_POINT('',#2866); +#5398=VERTEX_POINT('',#2864); +#5399=VERTEX_POINT('',#2189); +#5400=VERTEX_POINT('',#2859); +#5401=VERTEX_POINT('',#2193); +#5402=VERTEX_POINT('',#2460); +#5403=VERTEX_POINT('',#2191); +#5404=VERTEX_POINT('',#2856); +#5405=VERTEX_POINT('',#2876); +#5406=VERTEX_POINT('',#2882); +#5407=VERTEX_POINT('',#2880); +#5408=VERTEX_POINT('',#2878); +#5409=VERTEX_POINT('',#2182); +#5410=VERTEX_POINT('',#2873); +#5411=VERTEX_POINT('',#2186); +#5412=VERTEX_POINT('',#2464); +#5413=VERTEX_POINT('',#2184); +#5414=VERTEX_POINT('',#2870); +#5415=VERTEX_POINT('',#2890); +#5416=VERTEX_POINT('',#2896); +#5417=VERTEX_POINT('',#2894); +#5418=VERTEX_POINT('',#2892); +#5419=VERTEX_POINT('',#2175); +#5420=VERTEX_POINT('',#2887); +#5421=VERTEX_POINT('',#2179); +#5422=VERTEX_POINT('',#2468); +#5423=VERTEX_POINT('',#2177); +#5424=VERTEX_POINT('',#2884); +#5425=VERTEX_POINT('',#2904); +#5426=VERTEX_POINT('',#2910); +#5427=VERTEX_POINT('',#2908); +#5428=VERTEX_POINT('',#2906); +#5429=VERTEX_POINT('',#2168); +#5430=VERTEX_POINT('',#2901); +#5431=VERTEX_POINT('',#2172); +#5432=VERTEX_POINT('',#2472); +#5433=VERTEX_POINT('',#2170); +#5434=VERTEX_POINT('',#2898); +#5435=VERTEX_POINT('',#2918); +#5436=VERTEX_POINT('',#2924); +#5437=VERTEX_POINT('',#2922); +#5438=VERTEX_POINT('',#2920); +#5439=VERTEX_POINT('',#2161); +#5440=VERTEX_POINT('',#2915); +#5441=VERTEX_POINT('',#2165); +#5442=VERTEX_POINT('',#2476); +#5443=VERTEX_POINT('',#2163); +#5444=VERTEX_POINT('',#2912); +#5445=VERTEX_POINT('',#2932); +#5446=VERTEX_POINT('',#2938); +#5447=VERTEX_POINT('',#2936); +#5448=VERTEX_POINT('',#2934); +#5449=VERTEX_POINT('',#2154); +#5450=VERTEX_POINT('',#2929); +#5451=VERTEX_POINT('',#2158); +#5452=VERTEX_POINT('',#2480); +#5453=VERTEX_POINT('',#2156); +#5454=VERTEX_POINT('',#2926); +#5455=VERTEX_POINT('',#2946); +#5456=VERTEX_POINT('',#2952); +#5457=VERTEX_POINT('',#2950); +#5458=VERTEX_POINT('',#2948); +#5459=VERTEX_POINT('',#2147); +#5460=VERTEX_POINT('',#2943); +#5461=VERTEX_POINT('',#2151); +#5462=VERTEX_POINT('',#2484); +#5463=VERTEX_POINT('',#2149); +#5464=VERTEX_POINT('',#2940); +#5465=VERTEX_POINT('',#2960); +#5466=VERTEX_POINT('',#2966); +#5467=VERTEX_POINT('',#2964); +#5468=VERTEX_POINT('',#2962); +#5469=VERTEX_POINT('',#2140); +#5470=VERTEX_POINT('',#2957); +#5471=VERTEX_POINT('',#2144); +#5472=VERTEX_POINT('',#2488); +#5473=VERTEX_POINT('',#2142); +#5474=VERTEX_POINT('',#2954); +#5475=VERTEX_POINT('',#2974); +#5476=VERTEX_POINT('',#2980); +#5477=VERTEX_POINT('',#2978); +#5478=VERTEX_POINT('',#2976); +#5479=VERTEX_POINT('',#2133); +#5480=VERTEX_POINT('',#2971); +#5481=VERTEX_POINT('',#2137); +#5482=VERTEX_POINT('',#2492); +#5483=VERTEX_POINT('',#2135); +#5484=VERTEX_POINT('',#2968); +#5485=VERTEX_POINT('',#2988); +#5486=VERTEX_POINT('',#2994); +#5487=VERTEX_POINT('',#2992); +#5488=VERTEX_POINT('',#2990); +#5489=VERTEX_POINT('',#2126); +#5490=VERTEX_POINT('',#2985); +#5491=VERTEX_POINT('',#2130); +#5492=VERTEX_POINT('',#2496); +#5493=VERTEX_POINT('',#2128); +#5494=VERTEX_POINT('',#2982); +#5495=VERTEX_POINT('',#2999); +#5496=VERTEX_POINT('',#3005); +#5497=VERTEX_POINT('',#3003); +#5498=VERTEX_POINT('',#3001); +#5499=VERTEX_POINT('',#2119); +#5500=VERTEX_POINT('',#2261); +#5501=VERTEX_POINT('',#2123); +#5502=VERTEX_POINT('',#2500); +#5503=VERTEX_POINT('',#2121); +#5504=VERTEX_POINT('',#2996); +#5505=VERTEX_POINT('',#3319); +#5506=VERTEX_POINT('',#3331); +#5507=VERTEX_POINT('',#3327); +#5508=VERTEX_POINT('',#3323); +#5509=VERTEX_POINT('',#99); +#5510=VERTEX_POINT('',#102); +#5511=VERTEX_POINT('',#105); +#5512=VERTEX_POINT('',#108); +#5513=VERTEX_POINT('',#3341); +#5514=VERTEX_POINT('',#3347); +#5515=VERTEX_POINT('',#3345); +#5516=VERTEX_POINT('',#3343); +#5517=VERTEX_POINT('',#110); +#5518=VERTEX_POINT('',#112); +#5519=VERTEX_POINT('',#114); +#5520=VERTEX_POINT('',#116); +#5521=VERTEX_POINT('',#3353); +#5522=VERTEX_POINT('',#3359); +#5523=VERTEX_POINT('',#3357); +#5524=VERTEX_POINT('',#3355); +#5525=VERTEX_POINT('',#118); +#5526=VERTEX_POINT('',#120); +#5527=VERTEX_POINT('',#122); +#5528=VERTEX_POINT('',#124); +#5529=VERTEX_POINT('',#3365); +#5530=VERTEX_POINT('',#3371); +#5531=VERTEX_POINT('',#3369); +#5532=VERTEX_POINT('',#3367); +#5533=VERTEX_POINT('',#126); +#5534=VERTEX_POINT('',#128); +#5535=VERTEX_POINT('',#130); +#5536=VERTEX_POINT('',#132); +#5537=VERTEX_POINT('',#3377); +#5538=VERTEX_POINT('',#3383); +#5539=VERTEX_POINT('',#3381); +#5540=VERTEX_POINT('',#3379); +#5541=VERTEX_POINT('',#134); +#5542=VERTEX_POINT('',#136); +#5543=VERTEX_POINT('',#138); +#5544=VERTEX_POINT('',#140); +#5545=VERTEX_POINT('',#3389); +#5546=VERTEX_POINT('',#3395); +#5547=VERTEX_POINT('',#3393); +#5548=VERTEX_POINT('',#3391); +#5549=VERTEX_POINT('',#142); +#5550=VERTEX_POINT('',#144); +#5551=VERTEX_POINT('',#146); +#5552=VERTEX_POINT('',#148); +#5553=VERTEX_POINT('',#3401); +#5554=VERTEX_POINT('',#3407); +#5555=VERTEX_POINT('',#3405); +#5556=VERTEX_POINT('',#3403); +#5557=VERTEX_POINT('',#150); +#5558=VERTEX_POINT('',#152); +#5559=VERTEX_POINT('',#154); +#5560=VERTEX_POINT('',#156); +#5561=VERTEX_POINT('',#3413); +#5562=VERTEX_POINT('',#3419); +#5563=VERTEX_POINT('',#3417); +#5564=VERTEX_POINT('',#3415); +#5565=VERTEX_POINT('',#158); +#5566=VERTEX_POINT('',#160); +#5567=VERTEX_POINT('',#162); +#5568=VERTEX_POINT('',#164); +#5569=VERTEX_POINT('',#3425); +#5570=VERTEX_POINT('',#3431); +#5571=VERTEX_POINT('',#3429); +#5572=VERTEX_POINT('',#3427); +#5573=VERTEX_POINT('',#166); +#5574=VERTEX_POINT('',#168); +#5575=VERTEX_POINT('',#170); +#5576=VERTEX_POINT('',#172); +#5577=VERTEX_POINT('',#3437); +#5578=VERTEX_POINT('',#3443); +#5579=VERTEX_POINT('',#3441); +#5580=VERTEX_POINT('',#3439); +#5581=VERTEX_POINT('',#174); +#5582=VERTEX_POINT('',#176); +#5583=VERTEX_POINT('',#178); +#5584=VERTEX_POINT('',#180); +#5585=VERTEX_POINT('',#3449); +#5586=VERTEX_POINT('',#3455); +#5587=VERTEX_POINT('',#3453); +#5588=VERTEX_POINT('',#3451); +#5589=VERTEX_POINT('',#182); +#5590=VERTEX_POINT('',#184); +#5591=VERTEX_POINT('',#186); +#5592=VERTEX_POINT('',#188); +#5593=VERTEX_POINT('',#3461); +#5594=VERTEX_POINT('',#3467); +#5595=VERTEX_POINT('',#3465); +#5596=VERTEX_POINT('',#3463); +#5597=VERTEX_POINT('',#190); +#5598=VERTEX_POINT('',#192); +#5599=VERTEX_POINT('',#194); +#5600=VERTEX_POINT('',#196); +#5601=VERTEX_POINT('',#3473); +#5602=VERTEX_POINT('',#3479); +#5603=VERTEX_POINT('',#3477); +#5604=VERTEX_POINT('',#3475); +#5605=VERTEX_POINT('',#198); +#5606=VERTEX_POINT('',#200); +#5607=VERTEX_POINT('',#202); +#5608=VERTEX_POINT('',#204); +#5609=VERTEX_POINT('',#3485); +#5610=VERTEX_POINT('',#3491); +#5611=VERTEX_POINT('',#3489); +#5612=VERTEX_POINT('',#3487); +#5613=VERTEX_POINT('',#206); +#5614=VERTEX_POINT('',#208); +#5615=VERTEX_POINT('',#210); +#5616=VERTEX_POINT('',#212); +#5617=VERTEX_POINT('',#3497); +#5618=VERTEX_POINT('',#3503); +#5619=VERTEX_POINT('',#3501); +#5620=VERTEX_POINT('',#3499); +#5621=VERTEX_POINT('',#214); +#5622=VERTEX_POINT('',#216); +#5623=VERTEX_POINT('',#218); +#5624=VERTEX_POINT('',#220); +#5625=VERTEX_POINT('',#3509); +#5626=VERTEX_POINT('',#3515); +#5627=VERTEX_POINT('',#3513); +#5628=VERTEX_POINT('',#3511); +#5629=VERTEX_POINT('',#222); +#5630=VERTEX_POINT('',#224); +#5631=VERTEX_POINT('',#226); +#5632=VERTEX_POINT('',#228); +#5633=VERTEX_POINT('',#3521); +#5634=VERTEX_POINT('',#3527); +#5635=VERTEX_POINT('',#3525); +#5636=VERTEX_POINT('',#3523); +#5637=VERTEX_POINT('',#230); +#5638=VERTEX_POINT('',#232); +#5639=VERTEX_POINT('',#234); +#5640=VERTEX_POINT('',#236); +#5641=VERTEX_POINT('',#3533); +#5642=VERTEX_POINT('',#3539); +#5643=VERTEX_POINT('',#3537); +#5644=VERTEX_POINT('',#3535); +#5645=VERTEX_POINT('',#238); +#5646=VERTEX_POINT('',#240); +#5647=VERTEX_POINT('',#242); +#5648=VERTEX_POINT('',#244); +#5649=VERTEX_POINT('',#3545); +#5650=VERTEX_POINT('',#3551); +#5651=VERTEX_POINT('',#3549); +#5652=VERTEX_POINT('',#3547); +#5653=VERTEX_POINT('',#246); +#5654=VERTEX_POINT('',#248); +#5655=VERTEX_POINT('',#250); +#5656=VERTEX_POINT('',#252); +#5657=VERTEX_POINT('',#3557); +#5658=VERTEX_POINT('',#3563); +#5659=VERTEX_POINT('',#3561); +#5660=VERTEX_POINT('',#3559); +#5661=VERTEX_POINT('',#254); +#5662=VERTEX_POINT('',#256); +#5663=VERTEX_POINT('',#258); +#5664=VERTEX_POINT('',#260); +#5665=VERTEX_POINT('',#3569); +#5666=VERTEX_POINT('',#3575); +#5667=VERTEX_POINT('',#3573); +#5668=VERTEX_POINT('',#3571); +#5669=VERTEX_POINT('',#262); +#5670=VERTEX_POINT('',#264); +#5671=VERTEX_POINT('',#266); +#5672=VERTEX_POINT('',#268); +#5673=VERTEX_POINT('',#3581); +#5674=VERTEX_POINT('',#3587); +#5675=VERTEX_POINT('',#3585); +#5676=VERTEX_POINT('',#3583); +#5677=VERTEX_POINT('',#270); +#5678=VERTEX_POINT('',#272); +#5679=VERTEX_POINT('',#274); +#5680=VERTEX_POINT('',#276); +#5681=VERTEX_POINT('',#3593); +#5682=VERTEX_POINT('',#3599); +#5683=VERTEX_POINT('',#3597); +#5684=VERTEX_POINT('',#3595); +#5685=VERTEX_POINT('',#278); +#5686=VERTEX_POINT('',#280); +#5687=VERTEX_POINT('',#282); +#5688=VERTEX_POINT('',#284); +#5689=VERTEX_POINT('',#3605); +#5690=VERTEX_POINT('',#3611); +#5691=VERTEX_POINT('',#3609); +#5692=VERTEX_POINT('',#3607); +#5693=VERTEX_POINT('',#286); +#5694=VERTEX_POINT('',#288); +#5695=VERTEX_POINT('',#290); +#5696=VERTEX_POINT('',#292); +#5697=VERTEX_POINT('',#3617); +#5698=VERTEX_POINT('',#3623); +#5699=VERTEX_POINT('',#3621); +#5700=VERTEX_POINT('',#3619); +#5701=VERTEX_POINT('',#294); +#5702=VERTEX_POINT('',#296); +#5703=VERTEX_POINT('',#298); +#5704=VERTEX_POINT('',#300); +#5705=VERTEX_POINT('',#3629); +#5706=VERTEX_POINT('',#3635); +#5707=VERTEX_POINT('',#3633); +#5708=VERTEX_POINT('',#3631); +#5709=VERTEX_POINT('',#302); +#5710=VERTEX_POINT('',#304); +#5711=VERTEX_POINT('',#306); +#5712=VERTEX_POINT('',#308); +#5713=VERTEX_POINT('',#3641); +#5714=VERTEX_POINT('',#3647); +#5715=VERTEX_POINT('',#3645); +#5716=VERTEX_POINT('',#3643); +#5717=VERTEX_POINT('',#310); +#5718=VERTEX_POINT('',#312); +#5719=VERTEX_POINT('',#314); +#5720=VERTEX_POINT('',#316); +#5721=VERTEX_POINT('',#3653); +#5722=VERTEX_POINT('',#3659); +#5723=VERTEX_POINT('',#3657); +#5724=VERTEX_POINT('',#3655); +#5725=VERTEX_POINT('',#318); +#5726=VERTEX_POINT('',#320); +#5727=VERTEX_POINT('',#322); +#5728=VERTEX_POINT('',#324); +#5729=VERTEX_POINT('',#3665); +#5730=VERTEX_POINT('',#3671); +#5731=VERTEX_POINT('',#3669); +#5732=VERTEX_POINT('',#3667); +#5733=VERTEX_POINT('',#326); +#5734=VERTEX_POINT('',#328); +#5735=VERTEX_POINT('',#330); +#5736=VERTEX_POINT('',#332); +#5737=VERTEX_POINT('',#3677); +#5738=VERTEX_POINT('',#3683); +#5739=VERTEX_POINT('',#3681); +#5740=VERTEX_POINT('',#3679); +#5741=VERTEX_POINT('',#334); +#5742=VERTEX_POINT('',#336); +#5743=VERTEX_POINT('',#338); +#5744=VERTEX_POINT('',#340); +#5745=VERTEX_POINT('',#3689); +#5746=VERTEX_POINT('',#3695); +#5747=VERTEX_POINT('',#3693); +#5748=VERTEX_POINT('',#3691); +#5749=VERTEX_POINT('',#342); +#5750=VERTEX_POINT('',#344); +#5751=VERTEX_POINT('',#346); +#5752=VERTEX_POINT('',#348); +#5753=VERTEX_POINT('',#3701); +#5754=VERTEX_POINT('',#3707); +#5755=VERTEX_POINT('',#3705); +#5756=VERTEX_POINT('',#3703); +#5757=VERTEX_POINT('',#350); +#5758=VERTEX_POINT('',#352); +#5759=VERTEX_POINT('',#354); +#5760=VERTEX_POINT('',#356); +#5761=VERTEX_POINT('',#3713); +#5762=VERTEX_POINT('',#3719); +#5763=VERTEX_POINT('',#3717); +#5764=VERTEX_POINT('',#3715); +#5765=VERTEX_POINT('',#358); +#5766=VERTEX_POINT('',#360); +#5767=VERTEX_POINT('',#362); +#5768=VERTEX_POINT('',#364); +#5769=VERTEX_POINT('',#3725); +#5770=VERTEX_POINT('',#3731); +#5771=VERTEX_POINT('',#3729); +#5772=VERTEX_POINT('',#3727); +#5773=VERTEX_POINT('',#366); +#5774=VERTEX_POINT('',#368); +#5775=VERTEX_POINT('',#370); +#5776=VERTEX_POINT('',#372); +#5777=VERTEX_POINT('',#3737); +#5778=VERTEX_POINT('',#3743); +#5779=VERTEX_POINT('',#3741); +#5780=VERTEX_POINT('',#3739); +#5781=VERTEX_POINT('',#374); +#5782=VERTEX_POINT('',#376); +#5783=VERTEX_POINT('',#378); +#5784=VERTEX_POINT('',#380); +#5785=VERTEX_POINT('',#3749); +#5786=VERTEX_POINT('',#3755); +#5787=VERTEX_POINT('',#3753); +#5788=VERTEX_POINT('',#3751); +#5789=VERTEX_POINT('',#382); +#5790=VERTEX_POINT('',#384); +#5791=VERTEX_POINT('',#386); +#5792=VERTEX_POINT('',#388); +#5793=VERTEX_POINT('',#3761); +#5794=VERTEX_POINT('',#3767); +#5795=VERTEX_POINT('',#3765); +#5796=VERTEX_POINT('',#3763); +#5797=VERTEX_POINT('',#390); +#5798=VERTEX_POINT('',#392); +#5799=VERTEX_POINT('',#394); +#5800=VERTEX_POINT('',#396); +#5801=VERTEX_POINT('',#3773); +#5802=VERTEX_POINT('',#3779); +#5803=VERTEX_POINT('',#3777); +#5804=VERTEX_POINT('',#3775); +#5805=VERTEX_POINT('',#398); +#5806=VERTEX_POINT('',#400); +#5807=VERTEX_POINT('',#402); +#5808=VERTEX_POINT('',#404); +#5809=VERTEX_POINT('',#3785); +#5810=VERTEX_POINT('',#3791); +#5811=VERTEX_POINT('',#3789); +#5812=VERTEX_POINT('',#3787); +#5813=VERTEX_POINT('',#406); +#5814=VERTEX_POINT('',#408); +#5815=VERTEX_POINT('',#410); +#5816=VERTEX_POINT('',#412); +#5817=VERTEX_POINT('',#3797); +#5818=VERTEX_POINT('',#3803); +#5819=VERTEX_POINT('',#3801); +#5820=VERTEX_POINT('',#3799); +#5821=VERTEX_POINT('',#414); +#5822=VERTEX_POINT('',#416); +#5823=VERTEX_POINT('',#418); +#5824=VERTEX_POINT('',#420); +#5825=VERTEX_POINT('',#3809); +#5826=VERTEX_POINT('',#3815); +#5827=VERTEX_POINT('',#3813); +#5828=VERTEX_POINT('',#3811); +#5829=VERTEX_POINT('',#422); +#5830=VERTEX_POINT('',#424); +#5831=VERTEX_POINT('',#426); +#5832=VERTEX_POINT('',#428); +#5833=VERTEX_POINT('',#3821); +#5834=VERTEX_POINT('',#3827); +#5835=VERTEX_POINT('',#3825); +#5836=VERTEX_POINT('',#3823); +#5837=VERTEX_POINT('',#430); +#5838=VERTEX_POINT('',#432); +#5839=VERTEX_POINT('',#434); +#5840=VERTEX_POINT('',#436); +#5841=VERTEX_POINT('',#3833); +#5842=VERTEX_POINT('',#3839); +#5843=VERTEX_POINT('',#3837); +#5844=VERTEX_POINT('',#3835); +#5845=VERTEX_POINT('',#438); +#5846=VERTEX_POINT('',#440); +#5847=VERTEX_POINT('',#442); +#5848=VERTEX_POINT('',#444); +#5849=VERTEX_POINT('',#3845); +#5850=VERTEX_POINT('',#3851); +#5851=VERTEX_POINT('',#3849); +#5852=VERTEX_POINT('',#3847); +#5853=VERTEX_POINT('',#446); +#5854=VERTEX_POINT('',#448); +#5855=VERTEX_POINT('',#450); +#5856=VERTEX_POINT('',#452); +#5857=VERTEX_POINT('',#3857); +#5858=VERTEX_POINT('',#3863); +#5859=VERTEX_POINT('',#3861); +#5860=VERTEX_POINT('',#3859); +#5861=VERTEX_POINT('',#454); +#5862=VERTEX_POINT('',#456); +#5863=VERTEX_POINT('',#458); +#5864=VERTEX_POINT('',#460); +#5865=VERTEX_POINT('',#3869); +#5866=VERTEX_POINT('',#3875); +#5867=VERTEX_POINT('',#3873); +#5868=VERTEX_POINT('',#3871); +#5869=VERTEX_POINT('',#462); +#5870=VERTEX_POINT('',#464); +#5871=VERTEX_POINT('',#466); +#5872=VERTEX_POINT('',#468); +#5873=VERTEX_POINT('',#3881); +#5874=VERTEX_POINT('',#3887); +#5875=VERTEX_POINT('',#3885); +#5876=VERTEX_POINT('',#3883); +#5877=VERTEX_POINT('',#470); +#5878=VERTEX_POINT('',#472); +#5879=VERTEX_POINT('',#474); +#5880=VERTEX_POINT('',#476); +#5881=VERTEX_POINT('',#3893); +#5882=VERTEX_POINT('',#3899); +#5883=VERTEX_POINT('',#3897); +#5884=VERTEX_POINT('',#3895); +#5885=VERTEX_POINT('',#478); +#5886=VERTEX_POINT('',#480); +#5887=VERTEX_POINT('',#482); +#5888=VERTEX_POINT('',#484); +#5889=VERTEX_POINT('',#3905); +#5890=VERTEX_POINT('',#3911); +#5891=VERTEX_POINT('',#3909); +#5892=VERTEX_POINT('',#3907); +#5893=VERTEX_POINT('',#486); +#5894=VERTEX_POINT('',#488); +#5895=VERTEX_POINT('',#490); +#5896=VERTEX_POINT('',#492); +#5897=VERTEX_POINT('',#3917); +#5898=VERTEX_POINT('',#3923); +#5899=VERTEX_POINT('',#3921); +#5900=VERTEX_POINT('',#3919); +#5901=VERTEX_POINT('',#494); +#5902=VERTEX_POINT('',#496); +#5903=VERTEX_POINT('',#498); +#5904=VERTEX_POINT('',#500); +#5905=VERTEX_POINT('',#3929); +#5906=VERTEX_POINT('',#3935); +#5907=VERTEX_POINT('',#3933); +#5908=VERTEX_POINT('',#3931); +#5909=VERTEX_POINT('',#502); +#5910=VERTEX_POINT('',#504); +#5911=VERTEX_POINT('',#506); +#5912=VERTEX_POINT('',#508); +#5913=VERTEX_POINT('',#3941); +#5914=VERTEX_POINT('',#3947); +#5915=VERTEX_POINT('',#3945); +#5916=VERTEX_POINT('',#3943); +#5917=VERTEX_POINT('',#510); +#5918=VERTEX_POINT('',#512); +#5919=VERTEX_POINT('',#514); +#5920=VERTEX_POINT('',#516); +#5921=VERTEX_POINT('',#3953); +#5922=VERTEX_POINT('',#3959); +#5923=VERTEX_POINT('',#3957); +#5924=VERTEX_POINT('',#3955); +#5925=VERTEX_POINT('',#518); +#5926=VERTEX_POINT('',#520); +#5927=VERTEX_POINT('',#522); +#5928=VERTEX_POINT('',#524); +#5929=VERTEX_POINT('',#3965); +#5930=VERTEX_POINT('',#3971); +#5931=VERTEX_POINT('',#3969); +#5932=VERTEX_POINT('',#3967); +#5933=VERTEX_POINT('',#526); +#5934=VERTEX_POINT('',#528); +#5935=VERTEX_POINT('',#530); +#5936=VERTEX_POINT('',#532); +#5937=VERTEX_POINT('',#3977); +#5938=VERTEX_POINT('',#3983); +#5939=VERTEX_POINT('',#3981); +#5940=VERTEX_POINT('',#3979); +#5941=VERTEX_POINT('',#534); +#5942=VERTEX_POINT('',#536); +#5943=VERTEX_POINT('',#538); +#5944=VERTEX_POINT('',#540); +#5945=VERTEX_POINT('',#3989); +#5946=VERTEX_POINT('',#3995); +#5947=VERTEX_POINT('',#3993); +#5948=VERTEX_POINT('',#3991); +#5949=VERTEX_POINT('',#542); +#5950=VERTEX_POINT('',#544); +#5951=VERTEX_POINT('',#546); +#5952=VERTEX_POINT('',#548); +#5953=VERTEX_POINT('',#4001); +#5954=VERTEX_POINT('',#4007); +#5955=VERTEX_POINT('',#4005); +#5956=VERTEX_POINT('',#4003); +#5957=VERTEX_POINT('',#550); +#5958=VERTEX_POINT('',#552); +#5959=VERTEX_POINT('',#554); +#5960=VERTEX_POINT('',#556); +#5961=VERTEX_POINT('',#4013); +#5962=VERTEX_POINT('',#4019); +#5963=VERTEX_POINT('',#4017); +#5964=VERTEX_POINT('',#4015); +#5965=VERTEX_POINT('',#558); +#5966=VERTEX_POINT('',#560); +#5967=VERTEX_POINT('',#562); +#5968=VERTEX_POINT('',#564); +#5969=VERTEX_POINT('',#4025); +#5970=VERTEX_POINT('',#4031); +#5971=VERTEX_POINT('',#4029); +#5972=VERTEX_POINT('',#4027); +#5973=VERTEX_POINT('',#566); +#5974=VERTEX_POINT('',#568); +#5975=VERTEX_POINT('',#570); +#5976=VERTEX_POINT('',#572); +#5977=VERTEX_POINT('',#4037); +#5978=VERTEX_POINT('',#4043); +#5979=VERTEX_POINT('',#4041); +#5980=VERTEX_POINT('',#4039); +#5981=VERTEX_POINT('',#574); +#5982=VERTEX_POINT('',#576); +#5983=VERTEX_POINT('',#578); +#5984=VERTEX_POINT('',#580); +#5985=VERTEX_POINT('',#4049); +#5986=VERTEX_POINT('',#4055); +#5987=VERTEX_POINT('',#4053); +#5988=VERTEX_POINT('',#4051); +#5989=VERTEX_POINT('',#582); +#5990=VERTEX_POINT('',#584); +#5991=VERTEX_POINT('',#586); +#5992=VERTEX_POINT('',#588); +#5993=VERTEX_POINT('',#4061); +#5994=VERTEX_POINT('',#4067); +#5995=VERTEX_POINT('',#4065); +#5996=VERTEX_POINT('',#4063); +#5997=VERTEX_POINT('',#590); +#5998=VERTEX_POINT('',#592); +#5999=VERTEX_POINT('',#594); +#6000=VERTEX_POINT('',#596); +#6001=VERTEX_POINT('',#4073); +#6002=VERTEX_POINT('',#4079); +#6003=VERTEX_POINT('',#4077); +#6004=VERTEX_POINT('',#4075); +#6005=VERTEX_POINT('',#598); +#6006=VERTEX_POINT('',#600); +#6007=VERTEX_POINT('',#602); +#6008=VERTEX_POINT('',#604); +#6009=VERTEX_POINT('',#4085); +#6010=VERTEX_POINT('',#4091); +#6011=VERTEX_POINT('',#4089); +#6012=VERTEX_POINT('',#4087); +#6013=VERTEX_POINT('',#606); +#6014=VERTEX_POINT('',#608); +#6015=VERTEX_POINT('',#610); +#6016=VERTEX_POINT('',#612); +#6017=VERTEX_POINT('',#4097); +#6018=VERTEX_POINT('',#4103); +#6019=VERTEX_POINT('',#4101); +#6020=VERTEX_POINT('',#4099); +#6021=VERTEX_POINT('',#614); +#6022=VERTEX_POINT('',#616); +#6023=VERTEX_POINT('',#618); +#6024=VERTEX_POINT('',#620); +#6025=VERTEX_POINT('',#4109); +#6026=VERTEX_POINT('',#4115); +#6027=VERTEX_POINT('',#4113); +#6028=VERTEX_POINT('',#4111); +#6029=VERTEX_POINT('',#622); +#6030=VERTEX_POINT('',#624); +#6031=VERTEX_POINT('',#626); +#6032=VERTEX_POINT('',#628); +#6033=VERTEX_POINT('',#4121); +#6034=VERTEX_POINT('',#4127); +#6035=VERTEX_POINT('',#4125); +#6036=VERTEX_POINT('',#4123); +#6037=VERTEX_POINT('',#630); +#6038=VERTEX_POINT('',#632); +#6039=VERTEX_POINT('',#634); +#6040=VERTEX_POINT('',#636); +#6041=VERTEX_POINT('',#4133); +#6042=VERTEX_POINT('',#4139); +#6043=VERTEX_POINT('',#4137); +#6044=VERTEX_POINT('',#4135); +#6045=VERTEX_POINT('',#638); +#6046=VERTEX_POINT('',#640); +#6047=VERTEX_POINT('',#642); +#6048=VERTEX_POINT('',#644); +#6049=VERTEX_POINT('',#4145); +#6050=VERTEX_POINT('',#4151); +#6051=VERTEX_POINT('',#4149); +#6052=VERTEX_POINT('',#4147); +#6053=VERTEX_POINT('',#646); +#6054=VERTEX_POINT('',#648); +#6055=VERTEX_POINT('',#650); +#6056=VERTEX_POINT('',#652); +#6057=VERTEX_POINT('',#4157); +#6058=VERTEX_POINT('',#4163); +#6059=VERTEX_POINT('',#4161); +#6060=VERTEX_POINT('',#4159); +#6061=VERTEX_POINT('',#654); +#6062=VERTEX_POINT('',#656); +#6063=VERTEX_POINT('',#658); +#6064=VERTEX_POINT('',#660); +#6065=VERTEX_POINT('',#4169); +#6066=VERTEX_POINT('',#4175); +#6067=VERTEX_POINT('',#4173); +#6068=VERTEX_POINT('',#4171); +#6069=VERTEX_POINT('',#662); +#6070=VERTEX_POINT('',#664); +#6071=VERTEX_POINT('',#666); +#6072=VERTEX_POINT('',#668); +#6073=VERTEX_POINT('',#4181); +#6074=VERTEX_POINT('',#4187); +#6075=VERTEX_POINT('',#4185); +#6076=VERTEX_POINT('',#4183); +#6077=VERTEX_POINT('',#670); +#6078=VERTEX_POINT('',#672); +#6079=VERTEX_POINT('',#674); +#6080=VERTEX_POINT('',#676); +#6081=VERTEX_POINT('',#4193); +#6082=VERTEX_POINT('',#4199); +#6083=VERTEX_POINT('',#4197); +#6084=VERTEX_POINT('',#4195); +#6085=VERTEX_POINT('',#678); +#6086=VERTEX_POINT('',#680); +#6087=VERTEX_POINT('',#682); +#6088=VERTEX_POINT('',#684); +#6089=VERTEX_POINT('',#4205); +#6090=VERTEX_POINT('',#4211); +#6091=VERTEX_POINT('',#4209); +#6092=VERTEX_POINT('',#4207); +#6093=VERTEX_POINT('',#686); +#6094=VERTEX_POINT('',#688); +#6095=VERTEX_POINT('',#690); +#6096=VERTEX_POINT('',#692); +#6097=VERTEX_POINT('',#4217); +#6098=VERTEX_POINT('',#4223); +#6099=VERTEX_POINT('',#4221); +#6100=VERTEX_POINT('',#4219); +#6101=VERTEX_POINT('',#694); +#6102=VERTEX_POINT('',#696); +#6103=VERTEX_POINT('',#698); +#6104=VERTEX_POINT('',#700); +#6105=VERTEX_POINT('',#4229); +#6106=VERTEX_POINT('',#4235); +#6107=VERTEX_POINT('',#4233); +#6108=VERTEX_POINT('',#4231); +#6109=VERTEX_POINT('',#702); +#6110=VERTEX_POINT('',#704); +#6111=VERTEX_POINT('',#706); +#6112=VERTEX_POINT('',#708); +#6113=VERTEX_POINT('',#4241); +#6114=VERTEX_POINT('',#4247); +#6115=VERTEX_POINT('',#4245); +#6116=VERTEX_POINT('',#4243); +#6117=VERTEX_POINT('',#710); +#6118=VERTEX_POINT('',#712); +#6119=VERTEX_POINT('',#714); +#6120=VERTEX_POINT('',#716); +#6121=VERTEX_POINT('',#4253); +#6122=VERTEX_POINT('',#4259); +#6123=VERTEX_POINT('',#4257); +#6124=VERTEX_POINT('',#4255); +#6125=VERTEX_POINT('',#718); +#6126=VERTEX_POINT('',#720); +#6127=VERTEX_POINT('',#722); +#6128=VERTEX_POINT('',#724); +#6129=VERTEX_POINT('',#4265); +#6130=VERTEX_POINT('',#4271); +#6131=VERTEX_POINT('',#4269); +#6132=VERTEX_POINT('',#4267); +#6133=VERTEX_POINT('',#726); +#6134=VERTEX_POINT('',#728); +#6135=VERTEX_POINT('',#730); +#6136=VERTEX_POINT('',#732); +#6137=VERTEX_POINT('',#4277); +#6138=VERTEX_POINT('',#4283); +#6139=VERTEX_POINT('',#4281); +#6140=VERTEX_POINT('',#4279); +#6141=VERTEX_POINT('',#734); +#6142=VERTEX_POINT('',#736); +#6143=VERTEX_POINT('',#738); +#6144=VERTEX_POINT('',#740); +#6145=VERTEX_POINT('',#4289); +#6146=VERTEX_POINT('',#4295); +#6147=VERTEX_POINT('',#4293); +#6148=VERTEX_POINT('',#4291); +#6149=VERTEX_POINT('',#742); +#6150=VERTEX_POINT('',#744); +#6151=VERTEX_POINT('',#746); +#6152=VERTEX_POINT('',#748); +#6153=VERTEX_POINT('',#4301); +#6154=VERTEX_POINT('',#4307); +#6155=VERTEX_POINT('',#4305); +#6156=VERTEX_POINT('',#4303); +#6157=VERTEX_POINT('',#750); +#6158=VERTEX_POINT('',#752); +#6159=VERTEX_POINT('',#754); +#6160=VERTEX_POINT('',#756); +#6161=VERTEX_POINT('',#4313); +#6162=VERTEX_POINT('',#4319); +#6163=VERTEX_POINT('',#4317); +#6164=VERTEX_POINT('',#4315); +#6165=VERTEX_POINT('',#758); +#6166=VERTEX_POINT('',#760); +#6167=VERTEX_POINT('',#762); +#6168=VERTEX_POINT('',#764); +#6169=VERTEX_POINT('',#4325); +#6170=VERTEX_POINT('',#4331); +#6171=VERTEX_POINT('',#4329); +#6172=VERTEX_POINT('',#4327); +#6173=VERTEX_POINT('',#766); +#6174=VERTEX_POINT('',#768); +#6175=VERTEX_POINT('',#770); +#6176=VERTEX_POINT('',#772); +#6177=VERTEX_POINT('',#4337); +#6178=VERTEX_POINT('',#4343); +#6179=VERTEX_POINT('',#4341); +#6180=VERTEX_POINT('',#4339); +#6181=VERTEX_POINT('',#774); +#6182=VERTEX_POINT('',#776); +#6183=VERTEX_POINT('',#778); +#6184=VERTEX_POINT('',#780); +#6185=VERTEX_POINT('',#4349); +#6186=VERTEX_POINT('',#4355); +#6187=VERTEX_POINT('',#4353); +#6188=VERTEX_POINT('',#4351); +#6189=VERTEX_POINT('',#782); +#6190=VERTEX_POINT('',#784); +#6191=VERTEX_POINT('',#786); +#6192=VERTEX_POINT('',#788); +#6193=VERTEX_POINT('',#4361); +#6194=VERTEX_POINT('',#4367); +#6195=VERTEX_POINT('',#4365); +#6196=VERTEX_POINT('',#4363); +#6197=VERTEX_POINT('',#790); +#6198=VERTEX_POINT('',#792); +#6199=VERTEX_POINT('',#794); +#6200=VERTEX_POINT('',#796); +#6201=VERTEX_POINT('',#4373); +#6202=VERTEX_POINT('',#4379); +#6203=VERTEX_POINT('',#4377); +#6204=VERTEX_POINT('',#4375); +#6205=VERTEX_POINT('',#798); +#6206=VERTEX_POINT('',#800); +#6207=VERTEX_POINT('',#802); +#6208=VERTEX_POINT('',#804); +#6209=VERTEX_POINT('',#4385); +#6210=VERTEX_POINT('',#4391); +#6211=VERTEX_POINT('',#4389); +#6212=VERTEX_POINT('',#4387); +#6213=VERTEX_POINT('',#806); +#6214=VERTEX_POINT('',#808); +#6215=VERTEX_POINT('',#810); +#6216=VERTEX_POINT('',#812); +#6217=VERTEX_POINT('',#4397); +#6218=VERTEX_POINT('',#4403); +#6219=VERTEX_POINT('',#4401); +#6220=VERTEX_POINT('',#4399); +#6221=VERTEX_POINT('',#814); +#6222=VERTEX_POINT('',#816); +#6223=VERTEX_POINT('',#818); +#6224=VERTEX_POINT('',#820); +#6225=VERTEX_POINT('',#4409); +#6226=VERTEX_POINT('',#4415); +#6227=VERTEX_POINT('',#4413); +#6228=VERTEX_POINT('',#4411); +#6229=VERTEX_POINT('',#822); +#6230=VERTEX_POINT('',#824); +#6231=VERTEX_POINT('',#826); +#6232=VERTEX_POINT('',#828); +#6233=VERTEX_POINT('',#4421); +#6234=VERTEX_POINT('',#4427); +#6235=VERTEX_POINT('',#4425); +#6236=VERTEX_POINT('',#4423); +#6237=VERTEX_POINT('',#830); +#6238=VERTEX_POINT('',#832); +#6239=VERTEX_POINT('',#834); +#6240=VERTEX_POINT('',#836); +#6241=VERTEX_POINT('',#4433); +#6242=VERTEX_POINT('',#4439); +#6243=VERTEX_POINT('',#4437); +#6244=VERTEX_POINT('',#4435); +#6245=VERTEX_POINT('',#838); +#6246=VERTEX_POINT('',#840); +#6247=VERTEX_POINT('',#842); +#6248=VERTEX_POINT('',#844); +#6249=VERTEX_POINT('',#4445); +#6250=VERTEX_POINT('',#4451); +#6251=VERTEX_POINT('',#4449); +#6252=VERTEX_POINT('',#4447); +#6253=VERTEX_POINT('',#846); +#6254=VERTEX_POINT('',#848); +#6255=VERTEX_POINT('',#850); +#6256=VERTEX_POINT('',#852); +#6257=VERTEX_POINT('',#4457); +#6258=VERTEX_POINT('',#4463); +#6259=VERTEX_POINT('',#4461); +#6260=VERTEX_POINT('',#4459); +#6261=VERTEX_POINT('',#854); +#6262=VERTEX_POINT('',#856); +#6263=VERTEX_POINT('',#858); +#6264=VERTEX_POINT('',#860); +#6265=VERTEX_POINT('',#4469); +#6266=VERTEX_POINT('',#4475); +#6267=VERTEX_POINT('',#4473); +#6268=VERTEX_POINT('',#4471); +#6269=VERTEX_POINT('',#862); +#6270=VERTEX_POINT('',#864); +#6271=VERTEX_POINT('',#866); +#6272=VERTEX_POINT('',#868); +#6273=VERTEX_POINT('',#4481); +#6274=VERTEX_POINT('',#4487); +#6275=VERTEX_POINT('',#4485); +#6276=VERTEX_POINT('',#4483); +#6277=VERTEX_POINT('',#870); +#6278=VERTEX_POINT('',#872); +#6279=VERTEX_POINT('',#874); +#6280=VERTEX_POINT('',#876); +#6281=VERTEX_POINT('',#4493); +#6282=VERTEX_POINT('',#4499); +#6283=VERTEX_POINT('',#4497); +#6284=VERTEX_POINT('',#4495); +#6285=VERTEX_POINT('',#878); +#6286=VERTEX_POINT('',#880); +#6287=VERTEX_POINT('',#882); +#6288=VERTEX_POINT('',#884); +#6289=VERTEX_POINT('',#4505); +#6290=VERTEX_POINT('',#4511); +#6291=VERTEX_POINT('',#4509); +#6292=VERTEX_POINT('',#4507); +#6293=VERTEX_POINT('',#886); +#6294=VERTEX_POINT('',#888); +#6295=VERTEX_POINT('',#890); +#6296=VERTEX_POINT('',#892); +#6297=VERTEX_POINT('',#4517); +#6298=VERTEX_POINT('',#4523); +#6299=VERTEX_POINT('',#4521); +#6300=VERTEX_POINT('',#4519); +#6301=VERTEX_POINT('',#894); +#6302=VERTEX_POINT('',#896); +#6303=VERTEX_POINT('',#898); +#6304=VERTEX_POINT('',#900); +#6305=VERTEX_POINT('',#4529); +#6306=VERTEX_POINT('',#4535); +#6307=VERTEX_POINT('',#4533); +#6308=VERTEX_POINT('',#4531); +#6309=VERTEX_POINT('',#902); +#6310=VERTEX_POINT('',#904); +#6311=VERTEX_POINT('',#906); +#6312=VERTEX_POINT('',#908); +#6313=VERTEX_POINT('',#4541); +#6314=VERTEX_POINT('',#4547); +#6315=VERTEX_POINT('',#4545); +#6316=VERTEX_POINT('',#4543); +#6317=VERTEX_POINT('',#910); +#6318=VERTEX_POINT('',#912); +#6319=VERTEX_POINT('',#914); +#6320=VERTEX_POINT('',#916); +#6321=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#6322=AXIS2_PLACEMENT_3D('',#6321,#2100,#84); +#6323=PLANE('',#6322); +#6324=ORIENTED_EDGE('',*,*,#17588,.F.); +#6325=ORIENTED_EDGE('',*,*,#17589,.F.); +#6326=ORIENTED_EDGE('',*,*,#17590,.F.); +#6327=ORIENTED_EDGE('',*,*,#17591,.F.); +#6328=ORIENTED_EDGE('',*,*,#17592,.F.); +#6329=ORIENTED_EDGE('',*,*,#17593,.F.); +#6330=ORIENTED_EDGE('',*,*,#17594,.F.); +#6331=ORIENTED_EDGE('',*,*,#17595,.F.); +#6332=EDGE_LOOP('',(#6324,#6325,#6326,#6327,#6328,#6329,#6330,#6331)); +#6333=FACE_OUTER_BOUND('',#6332,.F.); +#6334=ORIENTED_EDGE('',*,*,#17596,.T.); +#6335=ORIENTED_EDGE('',*,*,#17597,.T.); +#6336=ORIENTED_EDGE('',*,*,#17598,.T.); +#6337=ORIENTED_EDGE('',*,*,#17599,.T.); +#6338=EDGE_LOOP('',(#6334,#6335,#6336,#6337)); +#6339=FACE_BOUND('',#6338,.F.); +#6340=ORIENTED_EDGE('',*,*,#17600,.T.); +#6341=ORIENTED_EDGE('',*,*,#17601,.T.); +#6342=ORIENTED_EDGE('',*,*,#17602,.T.); +#6343=ORIENTED_EDGE('',*,*,#17603,.T.); +#6344=EDGE_LOOP('',(#6340,#6341,#6342,#6343)); +#6345=FACE_BOUND('',#6344,.F.); +#6346=ORIENTED_EDGE('',*,*,#17604,.T.); +#6347=ORIENTED_EDGE('',*,*,#17605,.T.); +#6348=ORIENTED_EDGE('',*,*,#17606,.T.); +#6349=ORIENTED_EDGE('',*,*,#17607,.T.); +#6350=EDGE_LOOP('',(#6346,#6347,#6348,#6349)); +#6351=FACE_BOUND('',#6350,.F.); +#6352=ORIENTED_EDGE('',*,*,#17608,.T.); +#6353=ORIENTED_EDGE('',*,*,#17609,.T.); +#6354=ORIENTED_EDGE('',*,*,#17610,.T.); +#6355=ORIENTED_EDGE('',*,*,#17611,.T.); +#6356=EDGE_LOOP('',(#6352,#6353,#6354,#6355)); +#6357=FACE_BOUND('',#6356,.F.); +#6358=ORIENTED_EDGE('',*,*,#17612,.T.); +#6359=ORIENTED_EDGE('',*,*,#17613,.T.); +#6360=ORIENTED_EDGE('',*,*,#17614,.T.); +#6361=ORIENTED_EDGE('',*,*,#17615,.T.); +#6362=EDGE_LOOP('',(#6358,#6359,#6360,#6361)); +#6363=FACE_BOUND('',#6362,.F.); +#6364=ORIENTED_EDGE('',*,*,#17616,.T.); +#6365=ORIENTED_EDGE('',*,*,#17617,.T.); +#6366=ORIENTED_EDGE('',*,*,#17618,.T.); +#6367=ORIENTED_EDGE('',*,*,#17619,.T.); +#6368=EDGE_LOOP('',(#6364,#6365,#6366,#6367)); +#6369=FACE_BOUND('',#6368,.F.); +#6370=ORIENTED_EDGE('',*,*,#17620,.T.); +#6371=ORIENTED_EDGE('',*,*,#17621,.T.); +#6372=ORIENTED_EDGE('',*,*,#17622,.T.); +#6373=ORIENTED_EDGE('',*,*,#17623,.T.); +#6374=EDGE_LOOP('',(#6370,#6371,#6372,#6373)); +#6375=FACE_BOUND('',#6374,.F.); +#6376=ORIENTED_EDGE('',*,*,#17624,.T.); +#6377=ORIENTED_EDGE('',*,*,#17625,.T.); +#6378=ORIENTED_EDGE('',*,*,#17626,.T.); +#6379=ORIENTED_EDGE('',*,*,#17627,.T.); +#6380=EDGE_LOOP('',(#6376,#6377,#6378,#6379)); +#6381=FACE_BOUND('',#6380,.F.); +#6382=ORIENTED_EDGE('',*,*,#17628,.T.); +#6383=ORIENTED_EDGE('',*,*,#17629,.T.); +#6384=ORIENTED_EDGE('',*,*,#17630,.T.); +#6385=ORIENTED_EDGE('',*,*,#17631,.T.); +#6386=EDGE_LOOP('',(#6382,#6383,#6384,#6385)); +#6387=FACE_BOUND('',#6386,.F.); +#6388=ORIENTED_EDGE('',*,*,#17632,.T.); +#6389=ORIENTED_EDGE('',*,*,#17633,.T.); +#6390=ORIENTED_EDGE('',*,*,#17634,.T.); +#6391=ORIENTED_EDGE('',*,*,#17635,.T.); +#6392=EDGE_LOOP('',(#6388,#6389,#6390,#6391)); +#6393=FACE_BOUND('',#6392,.F.); +#6394=ORIENTED_EDGE('',*,*,#17636,.T.); +#6395=ORIENTED_EDGE('',*,*,#17637,.T.); +#6396=ORIENTED_EDGE('',*,*,#17638,.T.); +#6397=ORIENTED_EDGE('',*,*,#17639,.T.); +#6398=EDGE_LOOP('',(#6394,#6395,#6396,#6397)); +#6399=FACE_BOUND('',#6398,.F.); +#6400=ORIENTED_EDGE('',*,*,#17640,.T.); +#6401=ORIENTED_EDGE('',*,*,#17641,.T.); +#6402=ORIENTED_EDGE('',*,*,#17642,.T.); +#6403=ORIENTED_EDGE('',*,*,#17643,.T.); +#6404=EDGE_LOOP('',(#6400,#6401,#6402,#6403)); +#6405=FACE_BOUND('',#6404,.F.); +#6406=ORIENTED_EDGE('',*,*,#17644,.T.); +#6407=ORIENTED_EDGE('',*,*,#17645,.T.); +#6408=ORIENTED_EDGE('',*,*,#17646,.T.); +#6409=ORIENTED_EDGE('',*,*,#17647,.T.); +#6410=EDGE_LOOP('',(#6406,#6407,#6408,#6409)); +#6411=FACE_BOUND('',#6410,.F.); +#6412=ORIENTED_EDGE('',*,*,#17648,.T.); +#6413=ORIENTED_EDGE('',*,*,#17649,.T.); +#6414=ORIENTED_EDGE('',*,*,#17650,.T.); +#6415=ORIENTED_EDGE('',*,*,#17651,.T.); +#6416=EDGE_LOOP('',(#6412,#6413,#6414,#6415)); +#6417=FACE_BOUND('',#6416,.F.); +#6418=ORIENTED_EDGE('',*,*,#17652,.T.); +#6419=ORIENTED_EDGE('',*,*,#17653,.T.); +#6420=ORIENTED_EDGE('',*,*,#17654,.T.); +#6421=ORIENTED_EDGE('',*,*,#17655,.T.); +#6422=EDGE_LOOP('',(#6418,#6419,#6420,#6421)); +#6423=FACE_BOUND('',#6422,.F.); +#6424=ORIENTED_EDGE('',*,*,#17656,.T.); +#6425=ORIENTED_EDGE('',*,*,#17657,.T.); +#6426=ORIENTED_EDGE('',*,*,#17658,.T.); +#6427=ORIENTED_EDGE('',*,*,#17659,.T.); +#6428=EDGE_LOOP('',(#6424,#6425,#6426,#6427)); +#6429=FACE_BOUND('',#6428,.F.); +#6430=ORIENTED_EDGE('',*,*,#17660,.T.); +#6431=ORIENTED_EDGE('',*,*,#17661,.T.); +#6432=ORIENTED_EDGE('',*,*,#17662,.T.); +#6433=ORIENTED_EDGE('',*,*,#17663,.T.); +#6434=EDGE_LOOP('',(#6430,#6431,#6432,#6433)); +#6435=FACE_BOUND('',#6434,.F.); +#6436=ORIENTED_EDGE('',*,*,#17664,.T.); +#6437=ORIENTED_EDGE('',*,*,#17665,.T.); +#6438=ORIENTED_EDGE('',*,*,#17666,.T.); +#6439=ORIENTED_EDGE('',*,*,#17667,.T.); +#6440=EDGE_LOOP('',(#6436,#6437,#6438,#6439)); +#6441=FACE_BOUND('',#6440,.F.); +#6442=ORIENTED_EDGE('',*,*,#17668,.T.); +#6443=ORIENTED_EDGE('',*,*,#17669,.T.); +#6444=ORIENTED_EDGE('',*,*,#17670,.T.); +#6445=ORIENTED_EDGE('',*,*,#17671,.T.); +#6446=EDGE_LOOP('',(#6442,#6443,#6444,#6445)); +#6447=FACE_BOUND('',#6446,.F.); +#6448=ORIENTED_EDGE('',*,*,#17672,.T.); +#6449=ORIENTED_EDGE('',*,*,#17673,.T.); +#6450=ORIENTED_EDGE('',*,*,#17674,.T.); +#6451=ORIENTED_EDGE('',*,*,#17675,.T.); +#6452=EDGE_LOOP('',(#6448,#6449,#6450,#6451)); +#6453=FACE_BOUND('',#6452,.F.); +#6454=ORIENTED_EDGE('',*,*,#17676,.T.); +#6455=ORIENTED_EDGE('',*,*,#17677,.T.); +#6456=ORIENTED_EDGE('',*,*,#17678,.T.); +#6457=ORIENTED_EDGE('',*,*,#17679,.T.); +#6458=EDGE_LOOP('',(#6454,#6455,#6456,#6457)); +#6459=FACE_BOUND('',#6458,.F.); +#6460=ORIENTED_EDGE('',*,*,#17680,.T.); +#6461=ORIENTED_EDGE('',*,*,#17681,.T.); +#6462=ORIENTED_EDGE('',*,*,#17682,.T.); +#6463=ORIENTED_EDGE('',*,*,#17683,.T.); +#6464=EDGE_LOOP('',(#6460,#6461,#6462,#6463)); +#6465=FACE_BOUND('',#6464,.F.); +#6466=ORIENTED_EDGE('',*,*,#17684,.T.); +#6467=ORIENTED_EDGE('',*,*,#17685,.T.); +#6468=ORIENTED_EDGE('',*,*,#17686,.T.); +#6469=ORIENTED_EDGE('',*,*,#17687,.T.); +#6470=EDGE_LOOP('',(#6466,#6467,#6468,#6469)); +#6471=FACE_BOUND('',#6470,.F.); +#6472=ORIENTED_EDGE('',*,*,#17688,.T.); +#6473=ORIENTED_EDGE('',*,*,#17689,.T.); +#6474=ORIENTED_EDGE('',*,*,#17690,.T.); +#6475=ORIENTED_EDGE('',*,*,#17691,.T.); +#6476=EDGE_LOOP('',(#6472,#6473,#6474,#6475)); +#6477=FACE_BOUND('',#6476,.F.); +#6478=ORIENTED_EDGE('',*,*,#17692,.T.); +#6479=ORIENTED_EDGE('',*,*,#17693,.T.); +#6480=ORIENTED_EDGE('',*,*,#17694,.T.); +#6481=ORIENTED_EDGE('',*,*,#17695,.T.); +#6482=EDGE_LOOP('',(#6478,#6479,#6480,#6481)); +#6483=FACE_BOUND('',#6482,.F.); +#6484=ORIENTED_EDGE('',*,*,#17696,.T.); +#6485=ORIENTED_EDGE('',*,*,#17697,.T.); +#6486=ORIENTED_EDGE('',*,*,#17698,.T.); +#6487=ORIENTED_EDGE('',*,*,#17699,.T.); +#6488=EDGE_LOOP('',(#6484,#6485,#6486,#6487)); +#6489=FACE_BOUND('',#6488,.F.); +#6490=ORIENTED_EDGE('',*,*,#17700,.T.); +#6491=ORIENTED_EDGE('',*,*,#17701,.T.); +#6492=ORIENTED_EDGE('',*,*,#17702,.T.); +#6493=ORIENTED_EDGE('',*,*,#17703,.T.); +#6494=EDGE_LOOP('',(#6490,#6491,#6492,#6493)); +#6495=FACE_BOUND('',#6494,.F.); +#6496=ORIENTED_EDGE('',*,*,#17704,.T.); +#6497=ORIENTED_EDGE('',*,*,#17705,.T.); +#6498=ORIENTED_EDGE('',*,*,#17706,.T.); +#6499=ORIENTED_EDGE('',*,*,#17707,.T.); +#6500=EDGE_LOOP('',(#6496,#6497,#6498,#6499)); +#6501=FACE_BOUND('',#6500,.F.); +#6502=ORIENTED_EDGE('',*,*,#17708,.T.); +#6503=ORIENTED_EDGE('',*,*,#17709,.T.); +#6504=ORIENTED_EDGE('',*,*,#17710,.T.); +#6505=ORIENTED_EDGE('',*,*,#17711,.T.); +#6506=EDGE_LOOP('',(#6502,#6503,#6504,#6505)); +#6507=FACE_BOUND('',#6506,.F.); +#6508=ORIENTED_EDGE('',*,*,#17712,.T.); +#6509=ORIENTED_EDGE('',*,*,#17713,.T.); +#6510=ORIENTED_EDGE('',*,*,#17714,.T.); +#6511=ORIENTED_EDGE('',*,*,#17715,.T.); +#6512=EDGE_LOOP('',(#6508,#6509,#6510,#6511)); +#6513=FACE_BOUND('',#6512,.F.); +#6514=ORIENTED_EDGE('',*,*,#17716,.T.); +#6515=ORIENTED_EDGE('',*,*,#17717,.T.); +#6516=ORIENTED_EDGE('',*,*,#17718,.T.); +#6517=ORIENTED_EDGE('',*,*,#17719,.T.); +#6518=EDGE_LOOP('',(#6514,#6515,#6516,#6517)); +#6519=FACE_BOUND('',#6518,.F.); +#6520=ORIENTED_EDGE('',*,*,#17720,.T.); +#6521=ORIENTED_EDGE('',*,*,#17721,.T.); +#6522=ORIENTED_EDGE('',*,*,#17722,.T.); +#6523=ORIENTED_EDGE('',*,*,#17723,.T.); +#6524=EDGE_LOOP('',(#6520,#6521,#6522,#6523)); +#6525=FACE_BOUND('',#6524,.F.); +#6526=ORIENTED_EDGE('',*,*,#17724,.T.); +#6527=ORIENTED_EDGE('',*,*,#17725,.T.); +#6528=ORIENTED_EDGE('',*,*,#17726,.T.); +#6529=ORIENTED_EDGE('',*,*,#17727,.T.); +#6530=EDGE_LOOP('',(#6526,#6527,#6528,#6529)); +#6531=FACE_BOUND('',#6530,.F.); +#6532=ORIENTED_EDGE('',*,*,#17728,.T.); +#6533=ORIENTED_EDGE('',*,*,#17729,.T.); +#6534=ORIENTED_EDGE('',*,*,#17730,.T.); +#6535=ORIENTED_EDGE('',*,*,#17731,.T.); +#6536=EDGE_LOOP('',(#6532,#6533,#6534,#6535)); +#6537=FACE_BOUND('',#6536,.F.); +#6538=ORIENTED_EDGE('',*,*,#17732,.T.); +#6539=ORIENTED_EDGE('',*,*,#17733,.T.); +#6540=ORIENTED_EDGE('',*,*,#17734,.T.); +#6541=ORIENTED_EDGE('',*,*,#17735,.T.); +#6542=EDGE_LOOP('',(#6538,#6539,#6540,#6541)); +#6543=FACE_BOUND('',#6542,.F.); +#6544=ORIENTED_EDGE('',*,*,#17736,.T.); +#6545=ORIENTED_EDGE('',*,*,#17737,.T.); +#6546=ORIENTED_EDGE('',*,*,#17738,.T.); +#6547=ORIENTED_EDGE('',*,*,#17739,.T.); +#6548=EDGE_LOOP('',(#6544,#6545,#6546,#6547)); +#6549=FACE_BOUND('',#6548,.F.); +#6550=ORIENTED_EDGE('',*,*,#17740,.T.); +#6551=ORIENTED_EDGE('',*,*,#17741,.T.); +#6552=ORIENTED_EDGE('',*,*,#17742,.T.); +#6553=ORIENTED_EDGE('',*,*,#17743,.T.); +#6554=EDGE_LOOP('',(#6550,#6551,#6552,#6553)); +#6555=FACE_BOUND('',#6554,.F.); +#6556=ORIENTED_EDGE('',*,*,#17744,.T.); +#6557=ORIENTED_EDGE('',*,*,#17745,.T.); +#6558=ORIENTED_EDGE('',*,*,#17746,.T.); +#6559=ORIENTED_EDGE('',*,*,#17747,.T.); +#6560=EDGE_LOOP('',(#6556,#6557,#6558,#6559)); +#6561=FACE_BOUND('',#6560,.F.); +#6562=ORIENTED_EDGE('',*,*,#17748,.T.); +#6563=ORIENTED_EDGE('',*,*,#17749,.T.); +#6564=ORIENTED_EDGE('',*,*,#17750,.T.); +#6565=ORIENTED_EDGE('',*,*,#17751,.T.); +#6566=EDGE_LOOP('',(#6562,#6563,#6564,#6565)); +#6567=FACE_BOUND('',#6566,.F.); +#6568=ORIENTED_EDGE('',*,*,#17752,.T.); +#6569=ORIENTED_EDGE('',*,*,#17753,.T.); +#6570=ORIENTED_EDGE('',*,*,#17754,.T.); +#6571=ORIENTED_EDGE('',*,*,#17755,.T.); +#6572=EDGE_LOOP('',(#6568,#6569,#6570,#6571)); +#6573=FACE_BOUND('',#6572,.F.); +#6574=ORIENTED_EDGE('',*,*,#17756,.T.); +#6575=ORIENTED_EDGE('',*,*,#17757,.T.); +#6576=ORIENTED_EDGE('',*,*,#17758,.T.); +#6577=ORIENTED_EDGE('',*,*,#17759,.T.); +#6578=EDGE_LOOP('',(#6574,#6575,#6576,#6577)); +#6579=FACE_BOUND('',#6578,.F.); +#6580=ORIENTED_EDGE('',*,*,#17760,.T.); +#6581=ORIENTED_EDGE('',*,*,#17761,.T.); +#6582=ORIENTED_EDGE('',*,*,#17762,.T.); +#6583=ORIENTED_EDGE('',*,*,#17763,.T.); +#6584=EDGE_LOOP('',(#6580,#6581,#6582,#6583)); +#6585=FACE_BOUND('',#6584,.F.); +#6586=ORIENTED_EDGE('',*,*,#17764,.T.); +#6587=ORIENTED_EDGE('',*,*,#17765,.T.); +#6588=ORIENTED_EDGE('',*,*,#17766,.T.); +#6589=ORIENTED_EDGE('',*,*,#17767,.T.); +#6590=EDGE_LOOP('',(#6586,#6587,#6588,#6589)); +#6591=FACE_BOUND('',#6590,.F.); +#6592=ORIENTED_EDGE('',*,*,#17768,.T.); +#6593=ORIENTED_EDGE('',*,*,#17769,.T.); +#6594=ORIENTED_EDGE('',*,*,#17770,.T.); +#6595=ORIENTED_EDGE('',*,*,#17771,.T.); +#6596=EDGE_LOOP('',(#6592,#6593,#6594,#6595)); +#6597=FACE_BOUND('',#6596,.F.); +#6598=ORIENTED_EDGE('',*,*,#17772,.T.); +#6599=ORIENTED_EDGE('',*,*,#17773,.T.); +#6600=ORIENTED_EDGE('',*,*,#17774,.T.); +#6601=ORIENTED_EDGE('',*,*,#17775,.T.); +#6602=EDGE_LOOP('',(#6598,#6599,#6600,#6601)); +#6603=FACE_BOUND('',#6602,.F.); +#6604=ORIENTED_EDGE('',*,*,#17776,.T.); +#6605=ORIENTED_EDGE('',*,*,#17777,.T.); +#6606=ORIENTED_EDGE('',*,*,#17778,.T.); +#6607=ORIENTED_EDGE('',*,*,#17779,.T.); +#6608=EDGE_LOOP('',(#6604,#6605,#6606,#6607)); +#6609=FACE_BOUND('',#6608,.F.); +#6610=ORIENTED_EDGE('',*,*,#17780,.T.); +#6611=ORIENTED_EDGE('',*,*,#17781,.T.); +#6612=ORIENTED_EDGE('',*,*,#17782,.T.); +#6613=ORIENTED_EDGE('',*,*,#17783,.T.); +#6614=EDGE_LOOP('',(#6610,#6611,#6612,#6613)); +#6615=FACE_BOUND('',#6614,.F.); +#6616=ORIENTED_EDGE('',*,*,#17784,.T.); +#6617=ORIENTED_EDGE('',*,*,#17785,.T.); +#6618=ORIENTED_EDGE('',*,*,#17786,.T.); +#6619=ORIENTED_EDGE('',*,*,#17787,.T.); +#6620=EDGE_LOOP('',(#6616,#6617,#6618,#6619)); +#6621=FACE_BOUND('',#6620,.F.); +#6622=ORIENTED_EDGE('',*,*,#17788,.T.); +#6623=ORIENTED_EDGE('',*,*,#17789,.T.); +#6624=ORIENTED_EDGE('',*,*,#17790,.T.); +#6625=ORIENTED_EDGE('',*,*,#17791,.T.); +#6626=EDGE_LOOP('',(#6622,#6623,#6624,#6625)); +#6627=FACE_BOUND('',#6626,.F.); +#6628=ORIENTED_EDGE('',*,*,#17792,.T.); +#6629=ORIENTED_EDGE('',*,*,#17793,.T.); +#6630=ORIENTED_EDGE('',*,*,#17794,.T.); +#6631=ORIENTED_EDGE('',*,*,#17795,.T.); +#6632=EDGE_LOOP('',(#6628,#6629,#6630,#6631)); +#6633=FACE_BOUND('',#6632,.F.); +#6634=ORIENTED_EDGE('',*,*,#17796,.T.); +#6635=ORIENTED_EDGE('',*,*,#17797,.T.); +#6636=ORIENTED_EDGE('',*,*,#17798,.T.); +#6637=ORIENTED_EDGE('',*,*,#17799,.T.); +#6638=EDGE_LOOP('',(#6634,#6635,#6636,#6637)); +#6639=FACE_BOUND('',#6638,.F.); +#6640=ORIENTED_EDGE('',*,*,#17800,.T.); +#6641=ORIENTED_EDGE('',*,*,#17801,.T.); +#6642=ORIENTED_EDGE('',*,*,#17802,.T.); +#6643=ORIENTED_EDGE('',*,*,#17803,.T.); +#6644=EDGE_LOOP('',(#6640,#6641,#6642,#6643)); +#6645=FACE_BOUND('',#6644,.F.); +#6646=ORIENTED_EDGE('',*,*,#17804,.T.); +#6647=ORIENTED_EDGE('',*,*,#17805,.T.); +#6648=ORIENTED_EDGE('',*,*,#17806,.T.); +#6649=ORIENTED_EDGE('',*,*,#17807,.T.); +#6650=EDGE_LOOP('',(#6646,#6647,#6648,#6649)); +#6651=FACE_BOUND('',#6650,.F.); +#6652=ORIENTED_EDGE('',*,*,#17808,.T.); +#6653=ORIENTED_EDGE('',*,*,#17809,.T.); +#6654=ORIENTED_EDGE('',*,*,#17810,.T.); +#6655=ORIENTED_EDGE('',*,*,#17811,.T.); +#6656=EDGE_LOOP('',(#6652,#6653,#6654,#6655)); +#6657=FACE_BOUND('',#6656,.F.); +#6658=ORIENTED_EDGE('',*,*,#17812,.T.); +#6659=ORIENTED_EDGE('',*,*,#17813,.T.); +#6660=ORIENTED_EDGE('',*,*,#17814,.T.); +#6661=ORIENTED_EDGE('',*,*,#17815,.T.); +#6662=EDGE_LOOP('',(#6658,#6659,#6660,#6661)); +#6663=FACE_BOUND('',#6662,.F.); +#6664=ORIENTED_EDGE('',*,*,#17816,.T.); +#6665=ORIENTED_EDGE('',*,*,#17817,.T.); +#6666=ORIENTED_EDGE('',*,*,#17818,.T.); +#6667=ORIENTED_EDGE('',*,*,#17819,.T.); +#6668=EDGE_LOOP('',(#6664,#6665,#6666,#6667)); +#6669=FACE_BOUND('',#6668,.F.); +#6670=ORIENTED_EDGE('',*,*,#17820,.T.); +#6671=ORIENTED_EDGE('',*,*,#17821,.T.); +#6672=ORIENTED_EDGE('',*,*,#17822,.T.); +#6673=ORIENTED_EDGE('',*,*,#17823,.T.); +#6674=EDGE_LOOP('',(#6670,#6671,#6672,#6673)); +#6675=FACE_BOUND('',#6674,.F.); +#6676=ORIENTED_EDGE('',*,*,#17824,.T.); +#6677=ORIENTED_EDGE('',*,*,#17825,.T.); +#6678=ORIENTED_EDGE('',*,*,#17826,.T.); +#6679=ORIENTED_EDGE('',*,*,#17827,.T.); +#6680=EDGE_LOOP('',(#6676,#6677,#6678,#6679)); +#6681=FACE_BOUND('',#6680,.F.); +#6682=ORIENTED_EDGE('',*,*,#17828,.T.); +#6683=ORIENTED_EDGE('',*,*,#17829,.T.); +#6684=ORIENTED_EDGE('',*,*,#17830,.T.); +#6685=ORIENTED_EDGE('',*,*,#17831,.T.); +#6686=EDGE_LOOP('',(#6682,#6683,#6684,#6685)); +#6687=FACE_BOUND('',#6686,.F.); +#6688=ORIENTED_EDGE('',*,*,#17832,.T.); +#6689=ORIENTED_EDGE('',*,*,#17833,.T.); +#6690=ORIENTED_EDGE('',*,*,#17834,.T.); +#6691=ORIENTED_EDGE('',*,*,#17835,.T.); +#6692=EDGE_LOOP('',(#6688,#6689,#6690,#6691)); +#6693=FACE_BOUND('',#6692,.F.); +#6694=ORIENTED_EDGE('',*,*,#17836,.T.); +#6695=ORIENTED_EDGE('',*,*,#17837,.T.); +#6696=ORIENTED_EDGE('',*,*,#17838,.T.); +#6697=ORIENTED_EDGE('',*,*,#17839,.T.); +#6698=EDGE_LOOP('',(#6694,#6695,#6696,#6697)); +#6699=FACE_BOUND('',#6698,.F.); +#6700=ORIENTED_EDGE('',*,*,#17840,.T.); +#6701=ORIENTED_EDGE('',*,*,#17841,.T.); +#6702=ORIENTED_EDGE('',*,*,#17842,.T.); +#6703=ORIENTED_EDGE('',*,*,#17843,.T.); +#6704=EDGE_LOOP('',(#6700,#6701,#6702,#6703)); +#6705=FACE_BOUND('',#6704,.F.); +#6706=ORIENTED_EDGE('',*,*,#17844,.T.); +#6707=ORIENTED_EDGE('',*,*,#17845,.T.); +#6708=ORIENTED_EDGE('',*,*,#17846,.T.); +#6709=ORIENTED_EDGE('',*,*,#17847,.T.); +#6710=EDGE_LOOP('',(#6706,#6707,#6708,#6709)); +#6711=FACE_BOUND('',#6710,.F.); +#6712=ORIENTED_EDGE('',*,*,#17848,.T.); +#6713=ORIENTED_EDGE('',*,*,#17849,.T.); +#6714=ORIENTED_EDGE('',*,*,#17850,.T.); +#6715=ORIENTED_EDGE('',*,*,#17851,.T.); +#6716=EDGE_LOOP('',(#6712,#6713,#6714,#6715)); +#6717=FACE_BOUND('',#6716,.F.); +#6718=ORIENTED_EDGE('',*,*,#17852,.T.); +#6719=ORIENTED_EDGE('',*,*,#17853,.T.); +#6720=ORIENTED_EDGE('',*,*,#17854,.T.); +#6721=ORIENTED_EDGE('',*,*,#17855,.T.); +#6722=EDGE_LOOP('',(#6718,#6719,#6720,#6721)); +#6723=FACE_BOUND('',#6722,.F.); +#6724=ORIENTED_EDGE('',*,*,#17856,.T.); +#6725=ORIENTED_EDGE('',*,*,#17857,.T.); +#6726=ORIENTED_EDGE('',*,*,#17858,.T.); +#6727=ORIENTED_EDGE('',*,*,#17859,.T.); +#6728=EDGE_LOOP('',(#6724,#6725,#6726,#6727)); +#6729=FACE_BOUND('',#6728,.F.); +#6730=ORIENTED_EDGE('',*,*,#17860,.T.); +#6731=ORIENTED_EDGE('',*,*,#17861,.T.); +#6732=ORIENTED_EDGE('',*,*,#17862,.T.); +#6733=ORIENTED_EDGE('',*,*,#17863,.T.); +#6734=EDGE_LOOP('',(#6730,#6731,#6732,#6733)); +#6735=FACE_BOUND('',#6734,.F.); +#6736=ORIENTED_EDGE('',*,*,#17864,.T.); +#6737=ORIENTED_EDGE('',*,*,#17865,.T.); +#6738=ORIENTED_EDGE('',*,*,#17866,.T.); +#6739=ORIENTED_EDGE('',*,*,#17867,.T.); +#6740=EDGE_LOOP('',(#6736,#6737,#6738,#6739)); +#6741=FACE_BOUND('',#6740,.F.); +#6742=ORIENTED_EDGE('',*,*,#17868,.T.); +#6743=ORIENTED_EDGE('',*,*,#17869,.T.); +#6744=ORIENTED_EDGE('',*,*,#17870,.T.); +#6745=ORIENTED_EDGE('',*,*,#17871,.T.); +#6746=EDGE_LOOP('',(#6742,#6743,#6744,#6745)); +#6747=FACE_BOUND('',#6746,.F.); +#6748=ORIENTED_EDGE('',*,*,#17872,.T.); +#6749=ORIENTED_EDGE('',*,*,#17873,.T.); +#6750=ORIENTED_EDGE('',*,*,#17874,.T.); +#6751=ORIENTED_EDGE('',*,*,#17875,.T.); +#6752=EDGE_LOOP('',(#6748,#6749,#6750,#6751)); +#6753=FACE_BOUND('',#6752,.F.); +#6754=ORIENTED_EDGE('',*,*,#17876,.T.); +#6755=ORIENTED_EDGE('',*,*,#17877,.T.); +#6756=ORIENTED_EDGE('',*,*,#17878,.T.); +#6757=ORIENTED_EDGE('',*,*,#17879,.T.); +#6758=EDGE_LOOP('',(#6754,#6755,#6756,#6757)); +#6759=FACE_BOUND('',#6758,.F.); +#6760=ORIENTED_EDGE('',*,*,#17880,.T.); +#6761=ORIENTED_EDGE('',*,*,#17881,.T.); +#6762=ORIENTED_EDGE('',*,*,#17882,.T.); +#6763=ORIENTED_EDGE('',*,*,#17883,.T.); +#6764=EDGE_LOOP('',(#6760,#6761,#6762,#6763)); +#6765=FACE_BOUND('',#6764,.F.); +#6766=ORIENTED_EDGE('',*,*,#17884,.T.); +#6767=ORIENTED_EDGE('',*,*,#17885,.T.); +#6768=ORIENTED_EDGE('',*,*,#17886,.T.); +#6769=ORIENTED_EDGE('',*,*,#17887,.T.); +#6770=EDGE_LOOP('',(#6766,#6767,#6768,#6769)); +#6771=FACE_BOUND('',#6770,.F.); +#6772=ORIENTED_EDGE('',*,*,#17888,.T.); +#6773=ORIENTED_EDGE('',*,*,#17889,.T.); +#6774=ORIENTED_EDGE('',*,*,#17890,.T.); +#6775=ORIENTED_EDGE('',*,*,#17891,.T.); +#6776=EDGE_LOOP('',(#6772,#6773,#6774,#6775)); +#6777=FACE_BOUND('',#6776,.F.); +#6778=ORIENTED_EDGE('',*,*,#17892,.T.); +#6779=ORIENTED_EDGE('',*,*,#17893,.T.); +#6780=ORIENTED_EDGE('',*,*,#17894,.T.); +#6781=ORIENTED_EDGE('',*,*,#17895,.T.); +#6782=EDGE_LOOP('',(#6778,#6779,#6780,#6781)); +#6783=FACE_BOUND('',#6782,.F.); +#6784=ORIENTED_EDGE('',*,*,#17896,.T.); +#6785=ORIENTED_EDGE('',*,*,#17897,.T.); +#6786=ORIENTED_EDGE('',*,*,#17898,.T.); +#6787=ORIENTED_EDGE('',*,*,#17899,.T.); +#6788=EDGE_LOOP('',(#6784,#6785,#6786,#6787)); +#6789=FACE_BOUND('',#6788,.F.); +#6790=ORIENTED_EDGE('',*,*,#17900,.T.); +#6791=ORIENTED_EDGE('',*,*,#17901,.T.); +#6792=ORIENTED_EDGE('',*,*,#17902,.T.); +#6793=ORIENTED_EDGE('',*,*,#17903,.T.); +#6794=EDGE_LOOP('',(#6790,#6791,#6792,#6793)); +#6795=FACE_BOUND('',#6794,.F.); +#6796=ORIENTED_EDGE('',*,*,#17904,.T.); +#6797=ORIENTED_EDGE('',*,*,#17905,.T.); +#6798=ORIENTED_EDGE('',*,*,#17906,.T.); +#6799=ORIENTED_EDGE('',*,*,#17907,.T.); +#6800=EDGE_LOOP('',(#6796,#6797,#6798,#6799)); +#6801=FACE_BOUND('',#6800,.F.); +#6802=ORIENTED_EDGE('',*,*,#17908,.T.); +#6803=ORIENTED_EDGE('',*,*,#17909,.T.); +#6804=ORIENTED_EDGE('',*,*,#17910,.T.); +#6805=ORIENTED_EDGE('',*,*,#17911,.T.); +#6806=EDGE_LOOP('',(#6802,#6803,#6804,#6805)); +#6807=FACE_BOUND('',#6806,.F.); +#6808=ORIENTED_EDGE('',*,*,#17912,.T.); +#6809=ORIENTED_EDGE('',*,*,#17913,.T.); +#6810=ORIENTED_EDGE('',*,*,#17914,.T.); +#6811=ORIENTED_EDGE('',*,*,#17915,.T.); +#6812=EDGE_LOOP('',(#6808,#6809,#6810,#6811)); +#6813=FACE_BOUND('',#6812,.F.); +#6814=ORIENTED_EDGE('',*,*,#17916,.T.); +#6815=ORIENTED_EDGE('',*,*,#17917,.T.); +#6816=ORIENTED_EDGE('',*,*,#17918,.T.); +#6817=ORIENTED_EDGE('',*,*,#17919,.T.); +#6818=EDGE_LOOP('',(#6814,#6815,#6816,#6817)); +#6819=FACE_BOUND('',#6818,.F.); +#6820=ORIENTED_EDGE('',*,*,#17920,.T.); +#6821=ORIENTED_EDGE('',*,*,#17921,.T.); +#6822=ORIENTED_EDGE('',*,*,#17922,.T.); +#6823=ORIENTED_EDGE('',*,*,#17923,.T.); +#6824=EDGE_LOOP('',(#6820,#6821,#6822,#6823)); +#6825=FACE_BOUND('',#6824,.F.); +#6826=ORIENTED_EDGE('',*,*,#17924,.T.); +#6827=ORIENTED_EDGE('',*,*,#17925,.T.); +#6828=ORIENTED_EDGE('',*,*,#17926,.T.); +#6829=ORIENTED_EDGE('',*,*,#17927,.T.); +#6830=EDGE_LOOP('',(#6826,#6827,#6828,#6829)); +#6831=FACE_BOUND('',#6830,.F.); +#6832=ORIENTED_EDGE('',*,*,#17928,.T.); +#6833=ORIENTED_EDGE('',*,*,#17929,.T.); +#6834=ORIENTED_EDGE('',*,*,#17930,.T.); +#6835=ORIENTED_EDGE('',*,*,#17931,.T.); +#6836=EDGE_LOOP('',(#6832,#6833,#6834,#6835)); +#6837=FACE_BOUND('',#6836,.F.); +#6838=ORIENTED_EDGE('',*,*,#17932,.T.); +#6839=ORIENTED_EDGE('',*,*,#17933,.T.); +#6840=ORIENTED_EDGE('',*,*,#17934,.T.); +#6841=ORIENTED_EDGE('',*,*,#17935,.T.); +#6842=EDGE_LOOP('',(#6838,#6839,#6840,#6841)); +#6843=FACE_BOUND('',#6842,.F.); +#6844=ORIENTED_EDGE('',*,*,#17936,.T.); +#6845=ORIENTED_EDGE('',*,*,#17937,.T.); +#6846=ORIENTED_EDGE('',*,*,#17938,.T.); +#6847=ORIENTED_EDGE('',*,*,#17939,.T.); +#6848=EDGE_LOOP('',(#6844,#6845,#6846,#6847)); +#6849=FACE_BOUND('',#6848,.F.); +#6850=ORIENTED_EDGE('',*,*,#17940,.T.); +#6851=ORIENTED_EDGE('',*,*,#17941,.T.); +#6852=ORIENTED_EDGE('',*,*,#17942,.T.); +#6853=ORIENTED_EDGE('',*,*,#17943,.T.); +#6854=EDGE_LOOP('',(#6850,#6851,#6852,#6853)); +#6855=FACE_BOUND('',#6854,.F.); +#6856=ORIENTED_EDGE('',*,*,#17944,.T.); +#6857=ORIENTED_EDGE('',*,*,#17945,.T.); +#6858=ORIENTED_EDGE('',*,*,#17946,.T.); +#6859=ORIENTED_EDGE('',*,*,#17947,.T.); +#6860=EDGE_LOOP('',(#6856,#6857,#6858,#6859)); +#6861=FACE_BOUND('',#6860,.F.); +#6862=ORIENTED_EDGE('',*,*,#17948,.T.); +#6863=ORIENTED_EDGE('',*,*,#17949,.T.); +#6864=ORIENTED_EDGE('',*,*,#17950,.T.); +#6865=ORIENTED_EDGE('',*,*,#17951,.T.); +#6866=EDGE_LOOP('',(#6862,#6863,#6864,#6865)); +#6867=FACE_BOUND('',#6866,.F.); +#6868=ORIENTED_EDGE('',*,*,#17952,.T.); +#6869=ORIENTED_EDGE('',*,*,#17953,.T.); +#6870=ORIENTED_EDGE('',*,*,#17954,.T.); +#6871=ORIENTED_EDGE('',*,*,#17955,.T.); +#6872=EDGE_LOOP('',(#6868,#6869,#6870,#6871)); +#6873=FACE_BOUND('',#6872,.F.); +#6874=ORIENTED_EDGE('',*,*,#17956,.T.); +#6875=ORIENTED_EDGE('',*,*,#17957,.T.); +#6876=ORIENTED_EDGE('',*,*,#17958,.T.); +#6877=ORIENTED_EDGE('',*,*,#17959,.T.); +#6878=EDGE_LOOP('',(#6874,#6875,#6876,#6877)); +#6879=FACE_BOUND('',#6878,.F.); +#6880=ORIENTED_EDGE('',*,*,#17960,.T.); +#6881=ORIENTED_EDGE('',*,*,#17961,.T.); +#6882=ORIENTED_EDGE('',*,*,#17962,.T.); +#6883=ORIENTED_EDGE('',*,*,#17963,.T.); +#6884=EDGE_LOOP('',(#6880,#6881,#6882,#6883)); +#6885=FACE_BOUND('',#6884,.F.); +#6886=ORIENTED_EDGE('',*,*,#17964,.T.); +#6887=ORIENTED_EDGE('',*,*,#17965,.T.); +#6888=ORIENTED_EDGE('',*,*,#17966,.T.); +#6889=ORIENTED_EDGE('',*,*,#17967,.T.); +#6890=EDGE_LOOP('',(#6886,#6887,#6888,#6889)); +#6891=FACE_BOUND('',#6890,.F.); +#6892=ORIENTED_EDGE('',*,*,#17968,.T.); +#6893=ORIENTED_EDGE('',*,*,#17969,.T.); +#6894=ORIENTED_EDGE('',*,*,#17970,.T.); +#6895=ORIENTED_EDGE('',*,*,#17971,.T.); +#6896=EDGE_LOOP('',(#6892,#6893,#6894,#6895)); +#6897=FACE_BOUND('',#6896,.F.); +#6898=ORIENTED_EDGE('',*,*,#17972,.T.); +#6899=ORIENTED_EDGE('',*,*,#17973,.T.); +#6900=ORIENTED_EDGE('',*,*,#17974,.T.); +#6901=ORIENTED_EDGE('',*,*,#17975,.T.); +#6902=EDGE_LOOP('',(#6898,#6899,#6900,#6901)); +#6903=FACE_BOUND('',#6902,.F.); +#6904=ORIENTED_EDGE('',*,*,#17976,.T.); +#6905=ORIENTED_EDGE('',*,*,#17977,.T.); +#6906=ORIENTED_EDGE('',*,*,#17978,.T.); +#6907=ORIENTED_EDGE('',*,*,#17979,.T.); +#6908=EDGE_LOOP('',(#6904,#6905,#6906,#6907)); +#6909=FACE_BOUND('',#6908,.F.); +#6910=ORIENTED_EDGE('',*,*,#17980,.T.); +#6911=ORIENTED_EDGE('',*,*,#17981,.T.); +#6912=ORIENTED_EDGE('',*,*,#17982,.T.); +#6913=ORIENTED_EDGE('',*,*,#17983,.T.); +#6914=EDGE_LOOP('',(#6910,#6911,#6912,#6913)); +#6915=FACE_BOUND('',#6914,.F.); +#6916=ORIENTED_EDGE('',*,*,#17984,.T.); +#6917=ORIENTED_EDGE('',*,*,#17985,.T.); +#6918=ORIENTED_EDGE('',*,*,#17986,.T.); +#6919=ORIENTED_EDGE('',*,*,#17987,.T.); +#6920=EDGE_LOOP('',(#6916,#6917,#6918,#6919)); +#6921=FACE_BOUND('',#6920,.F.); +#6922=ORIENTED_EDGE('',*,*,#17988,.T.); +#6923=ORIENTED_EDGE('',*,*,#17989,.T.); +#6924=ORIENTED_EDGE('',*,*,#17990,.T.); +#6925=ORIENTED_EDGE('',*,*,#17991,.T.); +#6926=EDGE_LOOP('',(#6922,#6923,#6924,#6925)); +#6927=FACE_BOUND('',#6926,.F.); +#6928=ORIENTED_EDGE('',*,*,#17992,.T.); +#6929=ORIENTED_EDGE('',*,*,#17993,.T.); +#6930=ORIENTED_EDGE('',*,*,#17994,.T.); +#6931=ORIENTED_EDGE('',*,*,#17995,.T.); +#6932=EDGE_LOOP('',(#6928,#6929,#6930,#6931)); +#6933=FACE_BOUND('',#6932,.F.); +#6934=ORIENTED_EDGE('',*,*,#17996,.T.); +#6935=ORIENTED_EDGE('',*,*,#17997,.T.); +#6936=ORIENTED_EDGE('',*,*,#17998,.T.); +#6937=ORIENTED_EDGE('',*,*,#17999,.T.); +#6938=EDGE_LOOP('',(#6934,#6935,#6936,#6937)); +#6939=FACE_BOUND('',#6938,.F.); +#6940=ORIENTED_EDGE('',*,*,#18000,.T.); +#6941=ORIENTED_EDGE('',*,*,#18001,.T.); +#6942=ORIENTED_EDGE('',*,*,#18002,.T.); +#6943=ORIENTED_EDGE('',*,*,#18003,.T.); +#6944=EDGE_LOOP('',(#6940,#6941,#6942,#6943)); +#6945=FACE_BOUND('',#6944,.F.); +#6946=ADVANCED_FACE('',(#6333,#6339,#6345,#6351,#6357,#6363,#6369,#6375,#6381,#6387,#6393,#6399,#6405,#6411,#6417,#6423,#6429,#6435,#6441,#6447,#6453,#6459,#6465,#6471,#6477,#6483,#6489,#6495,#6501,#6507,#6513,#6519,#6525,#6531,#6537,#6543,#6549,#6555,#6561,#6567,#6573,#6579,#6585,#6591,#6597,#6603,#6609,#6615,#6621,#6627,#6633,#6639,#6645,#6651,#6657,#6663,#6669,#6675,#6681,#6687,#6693,#6699,#6705,#6711,#6717,#6723,#6729,#6735,#6741,#6747,#6753,#6759,#6765,#6771,#6777,#6783,#6789,#6795,#6801,#6807,#6813,#6819,#6825,#6831,#6837,#6843,#6849,#6855,#6861,#6867,#6873,#6879,#6885,#6891,#6897,#6903,#6909,#6915,#6921,#6927,#6933,#6939,#6945),#6323,.T.); +#6947=AXIS2_PLACEMENT_3D('',#74,#76,#72); +#6948=PLANE('',#6947); +#6949=ORIENTED_EDGE('',*,*,#18004,.F.); +#6950=ORIENTED_EDGE('',*,*,#18005,.F.); +#6951=ORIENTED_EDGE('',*,*,#17588,.T.); +#6952=ORIENTED_EDGE('',*,*,#18006,.T.); +#6953=ORIENTED_EDGE('',*,*,#18007,.F.); +#6954=ORIENTED_EDGE('',*,*,#18008,.F.); +#6955=ORIENTED_EDGE('',*,*,#18009,.F.); +#6956=ORIENTED_EDGE('',*,*,#18010,.F.); +#6957=EDGE_LOOP('',(#6949,#6950,#6951,#6952,#6953,#6954,#6955,#6956)); +#6958=FACE_OUTER_BOUND('',#6957,.F.); +#6959=ADVANCED_FACE('',(#6958),#6948,.T.); +#6960=CARTESIAN_POINT('',(0.E0,0.E0,-1.105E1)); +#6961=AXIS2_PLACEMENT_3D('',#6960,#2100,#84); +#6962=PLANE('',#6961); +#6963=ORIENTED_EDGE('',*,*,#18004,.T.); +#6964=ORIENTED_EDGE('',*,*,#18011,.T.); +#6965=ORIENTED_EDGE('',*,*,#18012,.T.); +#6966=ORIENTED_EDGE('',*,*,#18013,.T.); +#6967=EDGE_LOOP('',(#6963,#6964,#6965,#6966)); +#6968=FACE_OUTER_BOUND('',#6967,.F.); +#6969=ADVANCED_FACE('',(#6968),#6962,.F.); +#6970=ORIENTED_EDGE('',*,*,#18007,.T.); +#6971=ORIENTED_EDGE('',*,*,#18014,.T.); +#6972=ORIENTED_EDGE('',*,*,#18015,.T.); +#6973=ORIENTED_EDGE('',*,*,#18016,.T.); +#6974=ORIENTED_EDGE('',*,*,#18017,.T.); +#6975=ORIENTED_EDGE('',*,*,#18018,.T.); +#6976=ORIENTED_EDGE('',*,*,#18019,.T.); +#6977=ORIENTED_EDGE('',*,*,#18020,.F.); +#6978=EDGE_LOOP('',(#6970,#6971,#6972,#6973,#6974,#6975,#6976,#6977)); +#6979=FACE_OUTER_BOUND('',#6978,.F.); +#6980=ADVANCED_FACE('',(#6979),#6962,.F.); +#6981=ORIENTED_EDGE('',*,*,#18021,.T.); +#6982=ORIENTED_EDGE('',*,*,#18022,.T.); +#6983=ORIENTED_EDGE('',*,*,#18023,.T.); +#6984=ORIENTED_EDGE('',*,*,#18024,.F.); +#6985=EDGE_LOOP('',(#6981,#6982,#6983,#6984)); +#6986=FACE_OUTER_BOUND('',#6985,.F.); +#6987=ADVANCED_FACE('',(#6986),#6962,.F.); +#6988=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#6989=AXIS2_PLACEMENT_3D('',#938,#6988,#928); +#6990=PLANE('',#6989); +#6991=ORIENTED_EDGE('',*,*,#18025,.T.); +#6992=ORIENTED_EDGE('',*,*,#18026,.T.); +#6993=ORIENTED_EDGE('',*,*,#18027,.T.); +#6994=ORIENTED_EDGE('',*,*,#18028,.F.); +#6995=ORIENTED_EDGE('',*,*,#18029,.F.); +#6996=ORIENTED_EDGE('',*,*,#18030,.T.); +#6997=ORIENTED_EDGE('',*,*,#18031,.T.); +#6998=ORIENTED_EDGE('',*,*,#18032,.F.); +#6999=ORIENTED_EDGE('',*,*,#18033,.F.); +#7000=ORIENTED_EDGE('',*,*,#18034,.T.); +#7001=ORIENTED_EDGE('',*,*,#18035,.T.); +#7002=ORIENTED_EDGE('',*,*,#18036,.F.); +#7003=ORIENTED_EDGE('',*,*,#18037,.F.); +#7004=ORIENTED_EDGE('',*,*,#18038,.T.); +#7005=ORIENTED_EDGE('',*,*,#18039,.T.); +#7006=ORIENTED_EDGE('',*,*,#18040,.F.); +#7007=ORIENTED_EDGE('',*,*,#18041,.F.); +#7008=ORIENTED_EDGE('',*,*,#18042,.T.); +#7009=ORIENTED_EDGE('',*,*,#18043,.T.); +#7010=ORIENTED_EDGE('',*,*,#18044,.F.); +#7011=ORIENTED_EDGE('',*,*,#18045,.F.); +#7012=ORIENTED_EDGE('',*,*,#18046,.T.); +#7013=ORIENTED_EDGE('',*,*,#18047,.T.); +#7014=ORIENTED_EDGE('',*,*,#18048,.F.); +#7015=ORIENTED_EDGE('',*,*,#18049,.F.); +#7016=ORIENTED_EDGE('',*,*,#18050,.T.); +#7017=ORIENTED_EDGE('',*,*,#18051,.T.); +#7018=ORIENTED_EDGE('',*,*,#18052,.F.); +#7019=ORIENTED_EDGE('',*,*,#18053,.F.); +#7020=ORIENTED_EDGE('',*,*,#18054,.T.); +#7021=ORIENTED_EDGE('',*,*,#18055,.T.); +#7022=ORIENTED_EDGE('',*,*,#18056,.F.); +#7023=ORIENTED_EDGE('',*,*,#18057,.F.); +#7024=ORIENTED_EDGE('',*,*,#18058,.T.); +#7025=ORIENTED_EDGE('',*,*,#18059,.T.); +#7026=ORIENTED_EDGE('',*,*,#18060,.F.); +#7027=ORIENTED_EDGE('',*,*,#18061,.F.); +#7028=ORIENTED_EDGE('',*,*,#18062,.T.); +#7029=ORIENTED_EDGE('',*,*,#18063,.T.); +#7030=ORIENTED_EDGE('',*,*,#18064,.F.); +#7031=ORIENTED_EDGE('',*,*,#18065,.F.); +#7032=ORIENTED_EDGE('',*,*,#18066,.T.); +#7033=ORIENTED_EDGE('',*,*,#18067,.T.); +#7034=ORIENTED_EDGE('',*,*,#18068,.F.); +#7035=ORIENTED_EDGE('',*,*,#18069,.F.); +#7036=ORIENTED_EDGE('',*,*,#18070,.T.); +#7037=ORIENTED_EDGE('',*,*,#18071,.T.); +#7038=ORIENTED_EDGE('',*,*,#18072,.F.); +#7039=ORIENTED_EDGE('',*,*,#18073,.F.); +#7040=ORIENTED_EDGE('',*,*,#18074,.T.); +#7041=ORIENTED_EDGE('',*,*,#18075,.T.); +#7042=ORIENTED_EDGE('',*,*,#18076,.F.); +#7043=ORIENTED_EDGE('',*,*,#18077,.F.); +#7044=ORIENTED_EDGE('',*,*,#18078,.T.); +#7045=ORIENTED_EDGE('',*,*,#18079,.T.); +#7046=ORIENTED_EDGE('',*,*,#18080,.F.); +#7047=ORIENTED_EDGE('',*,*,#18081,.F.); +#7048=ORIENTED_EDGE('',*,*,#18082,.T.); +#7049=ORIENTED_EDGE('',*,*,#18083,.T.); +#7050=ORIENTED_EDGE('',*,*,#18084,.F.); +#7051=ORIENTED_EDGE('',*,*,#18085,.F.); +#7052=ORIENTED_EDGE('',*,*,#18086,.T.); +#7053=ORIENTED_EDGE('',*,*,#18087,.T.); +#7054=ORIENTED_EDGE('',*,*,#18088,.F.); +#7055=ORIENTED_EDGE('',*,*,#18089,.F.); +#7056=ORIENTED_EDGE('',*,*,#18090,.T.); +#7057=ORIENTED_EDGE('',*,*,#18091,.T.); +#7058=ORIENTED_EDGE('',*,*,#18092,.F.); +#7059=ORIENTED_EDGE('',*,*,#18093,.F.); +#7060=ORIENTED_EDGE('',*,*,#18094,.T.); +#7061=ORIENTED_EDGE('',*,*,#18095,.T.); +#7062=ORIENTED_EDGE('',*,*,#18096,.F.); +#7063=ORIENTED_EDGE('',*,*,#18097,.F.); +#7064=ORIENTED_EDGE('',*,*,#18098,.T.); +#7065=ORIENTED_EDGE('',*,*,#18099,.T.); +#7066=ORIENTED_EDGE('',*,*,#18100,.F.); +#7067=ORIENTED_EDGE('',*,*,#18101,.F.); +#7068=ORIENTED_EDGE('',*,*,#18102,.T.); +#7069=ORIENTED_EDGE('',*,*,#18103,.T.); +#7070=ORIENTED_EDGE('',*,*,#18104,.F.); +#7071=ORIENTED_EDGE('',*,*,#18105,.F.); +#7072=ORIENTED_EDGE('',*,*,#18106,.T.); +#7073=ORIENTED_EDGE('',*,*,#18107,.T.); +#7074=ORIENTED_EDGE('',*,*,#18108,.F.); +#7075=ORIENTED_EDGE('',*,*,#18109,.F.); +#7076=ORIENTED_EDGE('',*,*,#18110,.T.); +#7077=ORIENTED_EDGE('',*,*,#18111,.T.); +#7078=ORIENTED_EDGE('',*,*,#18112,.F.); +#7079=ORIENTED_EDGE('',*,*,#18113,.F.); +#7080=ORIENTED_EDGE('',*,*,#18114,.F.); +#7081=ORIENTED_EDGE('',*,*,#18011,.F.); +#7082=ORIENTED_EDGE('',*,*,#18010,.T.); +#7083=ORIENTED_EDGE('',*,*,#18115,.F.); +#7084=ORIENTED_EDGE('',*,*,#18116,.T.); +#7085=ORIENTED_EDGE('',*,*,#18117,.T.); +#7086=ORIENTED_EDGE('',*,*,#18118,.F.); +#7087=ORIENTED_EDGE('',*,*,#18119,.F.); +#7088=ORIENTED_EDGE('',*,*,#18120,.T.); +#7089=ORIENTED_EDGE('',*,*,#18121,.T.); +#7090=ORIENTED_EDGE('',*,*,#18122,.F.); +#7091=ORIENTED_EDGE('',*,*,#18123,.F.); +#7092=ORIENTED_EDGE('',*,*,#18124,.T.); +#7093=ORIENTED_EDGE('',*,*,#18125,.T.); +#7094=ORIENTED_EDGE('',*,*,#18126,.F.); +#7095=ORIENTED_EDGE('',*,*,#18127,.F.); +#7096=ORIENTED_EDGE('',*,*,#18128,.T.); +#7097=ORIENTED_EDGE('',*,*,#18129,.T.); +#7098=ORIENTED_EDGE('',*,*,#18130,.F.); +#7099=ORIENTED_EDGE('',*,*,#18131,.F.); +#7100=ORIENTED_EDGE('',*,*,#18132,.T.); +#7101=ORIENTED_EDGE('',*,*,#18133,.T.); +#7102=ORIENTED_EDGE('',*,*,#18134,.F.); +#7103=ORIENTED_EDGE('',*,*,#18135,.F.); +#7104=ORIENTED_EDGE('',*,*,#18136,.T.); +#7105=ORIENTED_EDGE('',*,*,#18137,.T.); +#7106=ORIENTED_EDGE('',*,*,#18138,.F.); +#7107=ORIENTED_EDGE('',*,*,#18139,.F.); +#7108=ORIENTED_EDGE('',*,*,#18140,.T.); +#7109=ORIENTED_EDGE('',*,*,#18141,.T.); +#7110=ORIENTED_EDGE('',*,*,#18142,.F.); +#7111=ORIENTED_EDGE('',*,*,#18143,.F.); +#7112=ORIENTED_EDGE('',*,*,#18144,.T.); +#7113=ORIENTED_EDGE('',*,*,#18145,.T.); +#7114=ORIENTED_EDGE('',*,*,#18146,.F.); +#7115=ORIENTED_EDGE('',*,*,#18147,.F.); +#7116=ORIENTED_EDGE('',*,*,#18148,.T.); +#7117=ORIENTED_EDGE('',*,*,#18149,.T.); +#7118=ORIENTED_EDGE('',*,*,#18150,.F.); +#7119=EDGE_LOOP('',(#6991,#6992,#6993,#6994,#6995,#6996,#6997,#6998,#6999,#7000,#7001,#7002,#7003,#7004,#7005,#7006,#7007,#7008,#7009,#7010,#7011,#7012,#7013,#7014,#7015,#7016,#7017,#7018,#7019,#7020,#7021,#7022,#7023,#7024,#7025,#7026,#7027,#7028,#7029,#7030,#7031,#7032,#7033,#7034,#7035,#7036,#7037,#7038,#7039,#7040,#7041,#7042,#7043,#7044,#7045,#7046,#7047,#7048,#7049,#7050,#7051,#7052,#7053,#7054,#7055,#7056,#7057,#7058,#7059,#7060,#7061,#7062,#7063,#7064,#7065,#7066,#7067,#7068,#7069,#7070,#7071,#7072,#7073,#7074,#7075,#7076,#7077,#7078,#7079,#7080,#7081,#7082,#7083,#7084,#7085,#7086,#7087,#7088,#7089,#7090,#7091,#7092,#7093,#7094,#7095,#7096,#7097,#7098,#7099,#7100,#7101,#7102,#7103,#7104,#7105,#7106,#7107,#7108,#7109,#7110,#7111,#7112,#7113,#7114,#7115,#7116,#7117,#7118)); +#7120=FACE_OUTER_BOUND('',#7119,.F.); +#7121=ADVANCED_FACE('',(#7120),#6990,.F.); +#7122=AXIS2_PLACEMENT_3D('',#1470,#2100,#72); +#7123=PLANE('',#7122); +#7124=ORIENTED_EDGE('',*,*,#18025,.F.); +#7125=ORIENTED_EDGE('',*,*,#18151,.T.); +#7126=ORIENTED_EDGE('',*,*,#18152,.T.); +#7127=ORIENTED_EDGE('',*,*,#18153,.F.); +#7128=ORIENTED_EDGE('',*,*,#18147,.T.); +#7129=ORIENTED_EDGE('',*,*,#18154,.T.); +#7130=ORIENTED_EDGE('',*,*,#18155,.T.); +#7131=ORIENTED_EDGE('',*,*,#18156,.F.); +#7132=ORIENTED_EDGE('',*,*,#18143,.T.); +#7133=ORIENTED_EDGE('',*,*,#18157,.T.); +#7134=ORIENTED_EDGE('',*,*,#18158,.T.); +#7135=ORIENTED_EDGE('',*,*,#18159,.F.); +#7136=ORIENTED_EDGE('',*,*,#18139,.T.); +#7137=ORIENTED_EDGE('',*,*,#18160,.T.); +#7138=ORIENTED_EDGE('',*,*,#18161,.T.); +#7139=ORIENTED_EDGE('',*,*,#18162,.F.); +#7140=ORIENTED_EDGE('',*,*,#18135,.T.); +#7141=ORIENTED_EDGE('',*,*,#18163,.T.); +#7142=ORIENTED_EDGE('',*,*,#18164,.T.); +#7143=ORIENTED_EDGE('',*,*,#18165,.F.); +#7144=ORIENTED_EDGE('',*,*,#18131,.T.); +#7145=ORIENTED_EDGE('',*,*,#18166,.T.); +#7146=ORIENTED_EDGE('',*,*,#18167,.T.); +#7147=ORIENTED_EDGE('',*,*,#18168,.F.); +#7148=ORIENTED_EDGE('',*,*,#18127,.T.); +#7149=ORIENTED_EDGE('',*,*,#18169,.T.); +#7150=ORIENTED_EDGE('',*,*,#18170,.T.); +#7151=ORIENTED_EDGE('',*,*,#18171,.F.); +#7152=ORIENTED_EDGE('',*,*,#18123,.T.); +#7153=ORIENTED_EDGE('',*,*,#18172,.T.); +#7154=ORIENTED_EDGE('',*,*,#18173,.T.); +#7155=ORIENTED_EDGE('',*,*,#18174,.F.); +#7156=ORIENTED_EDGE('',*,*,#18119,.T.); +#7157=ORIENTED_EDGE('',*,*,#18175,.T.); +#7158=ORIENTED_EDGE('',*,*,#18176,.T.); +#7159=ORIENTED_EDGE('',*,*,#18177,.F.); +#7160=ORIENTED_EDGE('',*,*,#18115,.T.); +#7161=ORIENTED_EDGE('',*,*,#18009,.T.); +#7162=ORIENTED_EDGE('',*,*,#18178,.F.); +#7163=ORIENTED_EDGE('',*,*,#18179,.F.); +#7164=ORIENTED_EDGE('',*,*,#18113,.T.); +#7165=ORIENTED_EDGE('',*,*,#18180,.T.); +#7166=ORIENTED_EDGE('',*,*,#18181,.T.); +#7167=ORIENTED_EDGE('',*,*,#18182,.F.); +#7168=ORIENTED_EDGE('',*,*,#18109,.T.); +#7169=ORIENTED_EDGE('',*,*,#18183,.T.); +#7170=ORIENTED_EDGE('',*,*,#18184,.T.); +#7171=ORIENTED_EDGE('',*,*,#18185,.F.); +#7172=ORIENTED_EDGE('',*,*,#18105,.T.); +#7173=ORIENTED_EDGE('',*,*,#18186,.T.); +#7174=ORIENTED_EDGE('',*,*,#18187,.T.); +#7175=ORIENTED_EDGE('',*,*,#18188,.F.); +#7176=ORIENTED_EDGE('',*,*,#18101,.T.); +#7177=ORIENTED_EDGE('',*,*,#18189,.T.); +#7178=ORIENTED_EDGE('',*,*,#18190,.T.); +#7179=ORIENTED_EDGE('',*,*,#18191,.F.); +#7180=ORIENTED_EDGE('',*,*,#18097,.T.); +#7181=ORIENTED_EDGE('',*,*,#18192,.T.); +#7182=ORIENTED_EDGE('',*,*,#18193,.T.); +#7183=ORIENTED_EDGE('',*,*,#18194,.F.); +#7184=ORIENTED_EDGE('',*,*,#18093,.T.); +#7185=ORIENTED_EDGE('',*,*,#18195,.T.); +#7186=ORIENTED_EDGE('',*,*,#18196,.T.); +#7187=ORIENTED_EDGE('',*,*,#18197,.F.); +#7188=ORIENTED_EDGE('',*,*,#18089,.T.); +#7189=ORIENTED_EDGE('',*,*,#18198,.T.); +#7190=ORIENTED_EDGE('',*,*,#18199,.T.); +#7191=ORIENTED_EDGE('',*,*,#18200,.F.); +#7192=ORIENTED_EDGE('',*,*,#18085,.T.); +#7193=ORIENTED_EDGE('',*,*,#18201,.T.); +#7194=ORIENTED_EDGE('',*,*,#18202,.T.); +#7195=ORIENTED_EDGE('',*,*,#18203,.F.); +#7196=ORIENTED_EDGE('',*,*,#18081,.T.); +#7197=ORIENTED_EDGE('',*,*,#18204,.T.); +#7198=ORIENTED_EDGE('',*,*,#18205,.T.); +#7199=ORIENTED_EDGE('',*,*,#18206,.F.); +#7200=ORIENTED_EDGE('',*,*,#18077,.T.); +#7201=ORIENTED_EDGE('',*,*,#18207,.T.); +#7202=ORIENTED_EDGE('',*,*,#18208,.T.); +#7203=ORIENTED_EDGE('',*,*,#18209,.F.); +#7204=ORIENTED_EDGE('',*,*,#18073,.T.); +#7205=ORIENTED_EDGE('',*,*,#18210,.T.); +#7206=ORIENTED_EDGE('',*,*,#18211,.T.); +#7207=ORIENTED_EDGE('',*,*,#18212,.F.); +#7208=ORIENTED_EDGE('',*,*,#18069,.T.); +#7209=ORIENTED_EDGE('',*,*,#18213,.T.); +#7210=ORIENTED_EDGE('',*,*,#18214,.T.); +#7211=ORIENTED_EDGE('',*,*,#18215,.F.); +#7212=ORIENTED_EDGE('',*,*,#18065,.T.); +#7213=ORIENTED_EDGE('',*,*,#18216,.T.); +#7214=ORIENTED_EDGE('',*,*,#18217,.T.); +#7215=ORIENTED_EDGE('',*,*,#18218,.F.); +#7216=ORIENTED_EDGE('',*,*,#18061,.T.); +#7217=ORIENTED_EDGE('',*,*,#18219,.T.); +#7218=ORIENTED_EDGE('',*,*,#18220,.T.); +#7219=ORIENTED_EDGE('',*,*,#18221,.F.); +#7220=ORIENTED_EDGE('',*,*,#18057,.T.); +#7221=ORIENTED_EDGE('',*,*,#18222,.T.); +#7222=ORIENTED_EDGE('',*,*,#18223,.T.); +#7223=ORIENTED_EDGE('',*,*,#18224,.F.); +#7224=ORIENTED_EDGE('',*,*,#18053,.T.); +#7225=ORIENTED_EDGE('',*,*,#18225,.T.); +#7226=ORIENTED_EDGE('',*,*,#18226,.T.); +#7227=ORIENTED_EDGE('',*,*,#18227,.F.); +#7228=ORIENTED_EDGE('',*,*,#18049,.T.); +#7229=ORIENTED_EDGE('',*,*,#18228,.T.); +#7230=ORIENTED_EDGE('',*,*,#18229,.T.); +#7231=ORIENTED_EDGE('',*,*,#18230,.F.); +#7232=ORIENTED_EDGE('',*,*,#18045,.T.); +#7233=ORIENTED_EDGE('',*,*,#18231,.T.); +#7234=ORIENTED_EDGE('',*,*,#18232,.T.); +#7235=ORIENTED_EDGE('',*,*,#18233,.F.); +#7236=ORIENTED_EDGE('',*,*,#18041,.T.); +#7237=ORIENTED_EDGE('',*,*,#18234,.T.); +#7238=ORIENTED_EDGE('',*,*,#18235,.T.); +#7239=ORIENTED_EDGE('',*,*,#18236,.F.); +#7240=ORIENTED_EDGE('',*,*,#18037,.T.); +#7241=ORIENTED_EDGE('',*,*,#18237,.T.); +#7242=ORIENTED_EDGE('',*,*,#18238,.T.); +#7243=ORIENTED_EDGE('',*,*,#18239,.F.); +#7244=ORIENTED_EDGE('',*,*,#18033,.T.); +#7245=ORIENTED_EDGE('',*,*,#18240,.T.); +#7246=ORIENTED_EDGE('',*,*,#18241,.T.); +#7247=ORIENTED_EDGE('',*,*,#18242,.F.); +#7248=ORIENTED_EDGE('',*,*,#18029,.T.); +#7249=ORIENTED_EDGE('',*,*,#18243,.T.); +#7250=ORIENTED_EDGE('',*,*,#18244,.T.); +#7251=ORIENTED_EDGE('',*,*,#18245,.F.); +#7252=EDGE_LOOP('',(#7124,#7125,#7126,#7127,#7128,#7129,#7130,#7131,#7132,#7133,#7134,#7135,#7136,#7137,#7138,#7139,#7140,#7141,#7142,#7143,#7144,#7145,#7146,#7147,#7148,#7149,#7150,#7151,#7152,#7153,#7154,#7155,#7156,#7157,#7158,#7159,#7160,#7161,#7162,#7163,#7164,#7165,#7166,#7167,#7168,#7169,#7170,#7171,#7172,#7173,#7174,#7175,#7176,#7177,#7178,#7179,#7180,#7181,#7182,#7183,#7184,#7185,#7186,#7187,#7188,#7189,#7190,#7191,#7192,#7193,#7194,#7195,#7196,#7197,#7198,#7199,#7200,#7201,#7202,#7203,#7204,#7205,#7206,#7207,#7208,#7209,#7210,#7211,#7212,#7213,#7214,#7215,#7216,#7217,#7218,#7219,#7220,#7221,#7222,#7223,#7224,#7225,#7226,#7227,#7228,#7229,#7230,#7231,#7232,#7233,#7234,#7235,#7236,#7237,#7238,#7239,#7240,#7241,#7242,#7243,#7244,#7245,#7246,#7247,#7248,#7249,#7250,#7251)); +#7253=FACE_OUTER_BOUND('',#7252,.F.); +#7254=ORIENTED_EDGE('',*,*,#18246,.T.); +#7255=ORIENTED_EDGE('',*,*,#18247,.T.); +#7256=ORIENTED_EDGE('',*,*,#18248,.T.); +#7257=ORIENTED_EDGE('',*,*,#18249,.T.); +#7258=EDGE_LOOP('',(#7254,#7255,#7256,#7257)); +#7259=FACE_BOUND('',#7258,.F.); +#7260=ORIENTED_EDGE('',*,*,#18250,.T.); +#7261=ORIENTED_EDGE('',*,*,#18251,.T.); +#7262=ORIENTED_EDGE('',*,*,#18252,.T.); +#7263=ORIENTED_EDGE('',*,*,#18253,.T.); +#7264=EDGE_LOOP('',(#7260,#7261,#7262,#7263)); +#7265=FACE_BOUND('',#7264,.F.); +#7266=ORIENTED_EDGE('',*,*,#18254,.T.); +#7267=ORIENTED_EDGE('',*,*,#18255,.T.); +#7268=ORIENTED_EDGE('',*,*,#18256,.T.); +#7269=ORIENTED_EDGE('',*,*,#18257,.T.); +#7270=EDGE_LOOP('',(#7266,#7267,#7268,#7269)); +#7271=FACE_BOUND('',#7270,.F.); +#7272=ORIENTED_EDGE('',*,*,#18258,.T.); +#7273=ORIENTED_EDGE('',*,*,#18259,.T.); +#7274=ORIENTED_EDGE('',*,*,#18260,.T.); +#7275=ORIENTED_EDGE('',*,*,#18261,.T.); +#7276=EDGE_LOOP('',(#7272,#7273,#7274,#7275)); +#7277=FACE_BOUND('',#7276,.F.); +#7278=ORIENTED_EDGE('',*,*,#18262,.T.); +#7279=ORIENTED_EDGE('',*,*,#18263,.T.); +#7280=ORIENTED_EDGE('',*,*,#18264,.T.); +#7281=ORIENTED_EDGE('',*,*,#18265,.T.); +#7282=EDGE_LOOP('',(#7278,#7279,#7280,#7281)); +#7283=FACE_BOUND('',#7282,.F.); +#7284=ORIENTED_EDGE('',*,*,#18266,.T.); +#7285=ORIENTED_EDGE('',*,*,#18267,.T.); +#7286=ORIENTED_EDGE('',*,*,#18268,.T.); +#7287=ORIENTED_EDGE('',*,*,#18269,.T.); +#7288=EDGE_LOOP('',(#7284,#7285,#7286,#7287)); +#7289=FACE_BOUND('',#7288,.F.); +#7290=ORIENTED_EDGE('',*,*,#18270,.T.); +#7291=ORIENTED_EDGE('',*,*,#18271,.T.); +#7292=ORIENTED_EDGE('',*,*,#18272,.T.); +#7293=ORIENTED_EDGE('',*,*,#18273,.T.); +#7294=EDGE_LOOP('',(#7290,#7291,#7292,#7293)); +#7295=FACE_BOUND('',#7294,.F.); +#7296=ORIENTED_EDGE('',*,*,#18274,.T.); +#7297=ORIENTED_EDGE('',*,*,#18275,.T.); +#7298=ORIENTED_EDGE('',*,*,#18276,.T.); +#7299=ORIENTED_EDGE('',*,*,#18277,.T.); +#7300=EDGE_LOOP('',(#7296,#7297,#7298,#7299)); +#7301=FACE_BOUND('',#7300,.F.); +#7302=ORIENTED_EDGE('',*,*,#18278,.T.); +#7303=ORIENTED_EDGE('',*,*,#18279,.T.); +#7304=ORIENTED_EDGE('',*,*,#18280,.T.); +#7305=ORIENTED_EDGE('',*,*,#18281,.T.); +#7306=EDGE_LOOP('',(#7302,#7303,#7304,#7305)); +#7307=FACE_BOUND('',#7306,.F.); +#7308=ORIENTED_EDGE('',*,*,#18282,.T.); +#7309=ORIENTED_EDGE('',*,*,#18283,.T.); +#7310=ORIENTED_EDGE('',*,*,#18284,.T.); +#7311=ORIENTED_EDGE('',*,*,#18285,.T.); +#7312=EDGE_LOOP('',(#7308,#7309,#7310,#7311)); +#7313=FACE_BOUND('',#7312,.F.); +#7314=ORIENTED_EDGE('',*,*,#18286,.T.); +#7315=ORIENTED_EDGE('',*,*,#18287,.T.); +#7316=ORIENTED_EDGE('',*,*,#18288,.T.); +#7317=ORIENTED_EDGE('',*,*,#18289,.T.); +#7318=EDGE_LOOP('',(#7314,#7315,#7316,#7317)); +#7319=FACE_BOUND('',#7318,.F.); +#7320=ORIENTED_EDGE('',*,*,#18290,.T.); +#7321=ORIENTED_EDGE('',*,*,#18291,.T.); +#7322=ORIENTED_EDGE('',*,*,#18292,.T.); +#7323=ORIENTED_EDGE('',*,*,#18293,.T.); +#7324=EDGE_LOOP('',(#7320,#7321,#7322,#7323)); +#7325=FACE_BOUND('',#7324,.F.); +#7326=ORIENTED_EDGE('',*,*,#18294,.T.); +#7327=ORIENTED_EDGE('',*,*,#18295,.T.); +#7328=ORIENTED_EDGE('',*,*,#18296,.T.); +#7329=ORIENTED_EDGE('',*,*,#18297,.T.); +#7330=EDGE_LOOP('',(#7326,#7327,#7328,#7329)); +#7331=FACE_BOUND('',#7330,.F.); +#7332=ORIENTED_EDGE('',*,*,#18298,.T.); +#7333=ORIENTED_EDGE('',*,*,#18299,.T.); +#7334=ORIENTED_EDGE('',*,*,#18300,.T.); +#7335=ORIENTED_EDGE('',*,*,#18301,.T.); +#7336=EDGE_LOOP('',(#7332,#7333,#7334,#7335)); +#7337=FACE_BOUND('',#7336,.F.); +#7338=ORIENTED_EDGE('',*,*,#18302,.T.); +#7339=ORIENTED_EDGE('',*,*,#18303,.T.); +#7340=ORIENTED_EDGE('',*,*,#18304,.T.); +#7341=ORIENTED_EDGE('',*,*,#18305,.T.); +#7342=EDGE_LOOP('',(#7338,#7339,#7340,#7341)); +#7343=FACE_BOUND('',#7342,.F.); +#7344=ORIENTED_EDGE('',*,*,#18306,.T.); +#7345=ORIENTED_EDGE('',*,*,#18307,.T.); +#7346=ORIENTED_EDGE('',*,*,#18308,.T.); +#7347=ORIENTED_EDGE('',*,*,#18309,.T.); +#7348=EDGE_LOOP('',(#7344,#7345,#7346,#7347)); +#7349=FACE_BOUND('',#7348,.F.); +#7350=ORIENTED_EDGE('',*,*,#18310,.T.); +#7351=ORIENTED_EDGE('',*,*,#18311,.T.); +#7352=ORIENTED_EDGE('',*,*,#18312,.T.); +#7353=ORIENTED_EDGE('',*,*,#18313,.T.); +#7354=EDGE_LOOP('',(#7350,#7351,#7352,#7353)); +#7355=FACE_BOUND('',#7354,.F.); +#7356=ORIENTED_EDGE('',*,*,#18314,.T.); +#7357=ORIENTED_EDGE('',*,*,#18315,.T.); +#7358=ORIENTED_EDGE('',*,*,#18316,.T.); +#7359=ORIENTED_EDGE('',*,*,#18317,.T.); +#7360=EDGE_LOOP('',(#7356,#7357,#7358,#7359)); +#7361=FACE_BOUND('',#7360,.F.); +#7362=ORIENTED_EDGE('',*,*,#18318,.T.); +#7363=ORIENTED_EDGE('',*,*,#18319,.T.); +#7364=ORIENTED_EDGE('',*,*,#18320,.T.); +#7365=ORIENTED_EDGE('',*,*,#18321,.T.); +#7366=EDGE_LOOP('',(#7362,#7363,#7364,#7365)); +#7367=FACE_BOUND('',#7366,.F.); +#7368=ORIENTED_EDGE('',*,*,#18322,.T.); +#7369=ORIENTED_EDGE('',*,*,#18323,.T.); +#7370=ORIENTED_EDGE('',*,*,#18324,.T.); +#7371=ORIENTED_EDGE('',*,*,#18325,.T.); +#7372=EDGE_LOOP('',(#7368,#7369,#7370,#7371)); +#7373=FACE_BOUND('',#7372,.F.); +#7374=ORIENTED_EDGE('',*,*,#18326,.T.); +#7375=ORIENTED_EDGE('',*,*,#18327,.T.); +#7376=ORIENTED_EDGE('',*,*,#18328,.T.); +#7377=ORIENTED_EDGE('',*,*,#18329,.T.); +#7378=EDGE_LOOP('',(#7374,#7375,#7376,#7377)); +#7379=FACE_BOUND('',#7378,.F.); +#7380=ORIENTED_EDGE('',*,*,#18330,.T.); +#7381=ORIENTED_EDGE('',*,*,#18331,.T.); +#7382=ORIENTED_EDGE('',*,*,#18332,.T.); +#7383=ORIENTED_EDGE('',*,*,#18333,.T.); +#7384=EDGE_LOOP('',(#7380,#7381,#7382,#7383)); +#7385=FACE_BOUND('',#7384,.F.); +#7386=ORIENTED_EDGE('',*,*,#18334,.T.); +#7387=ORIENTED_EDGE('',*,*,#18335,.T.); +#7388=ORIENTED_EDGE('',*,*,#18336,.T.); +#7389=ORIENTED_EDGE('',*,*,#18337,.T.); +#7390=EDGE_LOOP('',(#7386,#7387,#7388,#7389)); +#7391=FACE_BOUND('',#7390,.F.); +#7392=ORIENTED_EDGE('',*,*,#18338,.T.); +#7393=ORIENTED_EDGE('',*,*,#18339,.T.); +#7394=ORIENTED_EDGE('',*,*,#18340,.T.); +#7395=ORIENTED_EDGE('',*,*,#18341,.T.); +#7396=EDGE_LOOP('',(#7392,#7393,#7394,#7395)); +#7397=FACE_BOUND('',#7396,.F.); +#7398=ORIENTED_EDGE('',*,*,#18342,.T.); +#7399=ORIENTED_EDGE('',*,*,#18343,.T.); +#7400=ORIENTED_EDGE('',*,*,#18344,.T.); +#7401=ORIENTED_EDGE('',*,*,#18345,.T.); +#7402=EDGE_LOOP('',(#7398,#7399,#7400,#7401)); +#7403=FACE_BOUND('',#7402,.F.); +#7404=ORIENTED_EDGE('',*,*,#18346,.T.); +#7405=ORIENTED_EDGE('',*,*,#18347,.T.); +#7406=ORIENTED_EDGE('',*,*,#18348,.T.); +#7407=ORIENTED_EDGE('',*,*,#18349,.T.); +#7408=EDGE_LOOP('',(#7404,#7405,#7406,#7407)); +#7409=FACE_BOUND('',#7408,.F.); +#7410=ORIENTED_EDGE('',*,*,#18350,.T.); +#7411=ORIENTED_EDGE('',*,*,#18351,.T.); +#7412=ORIENTED_EDGE('',*,*,#18352,.T.); +#7413=ORIENTED_EDGE('',*,*,#18353,.T.); +#7414=EDGE_LOOP('',(#7410,#7411,#7412,#7413)); +#7415=FACE_BOUND('',#7414,.F.); +#7416=ORIENTED_EDGE('',*,*,#18354,.T.); +#7417=ORIENTED_EDGE('',*,*,#18355,.T.); +#7418=ORIENTED_EDGE('',*,*,#18356,.T.); +#7419=ORIENTED_EDGE('',*,*,#18357,.T.); +#7420=EDGE_LOOP('',(#7416,#7417,#7418,#7419)); +#7421=FACE_BOUND('',#7420,.F.); +#7422=ORIENTED_EDGE('',*,*,#18358,.T.); +#7423=ORIENTED_EDGE('',*,*,#18359,.T.); +#7424=ORIENTED_EDGE('',*,*,#18360,.T.); +#7425=ORIENTED_EDGE('',*,*,#18361,.T.); +#7426=EDGE_LOOP('',(#7422,#7423,#7424,#7425)); +#7427=FACE_BOUND('',#7426,.F.); +#7428=ORIENTED_EDGE('',*,*,#18362,.T.); +#7429=ORIENTED_EDGE('',*,*,#18363,.T.); +#7430=ORIENTED_EDGE('',*,*,#18364,.T.); +#7431=ORIENTED_EDGE('',*,*,#18365,.T.); +#7432=EDGE_LOOP('',(#7428,#7429,#7430,#7431)); +#7433=FACE_BOUND('',#7432,.F.); +#7434=ORIENTED_EDGE('',*,*,#18366,.T.); +#7435=ORIENTED_EDGE('',*,*,#18367,.T.); +#7436=ORIENTED_EDGE('',*,*,#18368,.T.); +#7437=ORIENTED_EDGE('',*,*,#18369,.T.); +#7438=EDGE_LOOP('',(#7434,#7435,#7436,#7437)); +#7439=FACE_BOUND('',#7438,.F.); +#7440=ORIENTED_EDGE('',*,*,#18370,.T.); +#7441=ORIENTED_EDGE('',*,*,#18371,.T.); +#7442=ORIENTED_EDGE('',*,*,#18372,.T.); +#7443=ORIENTED_EDGE('',*,*,#18373,.T.); +#7444=EDGE_LOOP('',(#7440,#7441,#7442,#7443)); +#7445=FACE_BOUND('',#7444,.F.); +#7446=ADVANCED_FACE('',(#7253,#7259,#7265,#7271,#7277,#7283,#7289,#7295,#7301,#7307,#7313,#7319,#7325,#7331,#7337,#7343,#7349,#7355,#7361,#7367,#7373,#7379,#7385,#7391,#7397,#7403,#7409,#7415,#7421,#7427,#7433,#7439,#7445),#7123,.F.); +#7447=AXIS2_PLACEMENT_3D('',#1162,#84,#72); +#7448=PLANE('',#7447); +#7449=ORIENTED_EDGE('',*,*,#18246,.F.); +#7450=ORIENTED_EDGE('',*,*,#18374,.T.); +#7451=ORIENTED_EDGE('',*,*,#18375,.T.); +#7452=ORIENTED_EDGE('',*,*,#18376,.F.); +#7453=EDGE_LOOP('',(#7449,#7450,#7451,#7452)); +#7454=FACE_OUTER_BOUND('',#7453,.F.); +#7455=ADVANCED_FACE('',(#7454),#7448,.T.); +#7456=AXIS2_PLACEMENT_3D('',#1170,#80,#84); +#7457=PLANE('',#7456); +#7458=ORIENTED_EDGE('',*,*,#18249,.F.); +#7459=ORIENTED_EDGE('',*,*,#18377,.T.); +#7460=ORIENTED_EDGE('',*,*,#18378,.T.); +#7461=ORIENTED_EDGE('',*,*,#18374,.F.); +#7462=EDGE_LOOP('',(#7458,#7459,#7460,#7461)); +#7463=FACE_OUTER_BOUND('',#7462,.F.); +#7464=ADVANCED_FACE('',(#7463),#7457,.T.); +#7465=AXIS2_PLACEMENT_3D('',#1168,#76,#80); +#7466=PLANE('',#7465); +#7467=ORIENTED_EDGE('',*,*,#18248,.F.); +#7468=ORIENTED_EDGE('',*,*,#18379,.T.); +#7469=ORIENTED_EDGE('',*,*,#18380,.T.); +#7470=ORIENTED_EDGE('',*,*,#18377,.F.); +#7471=EDGE_LOOP('',(#7467,#7468,#7469,#7470)); +#7472=FACE_OUTER_BOUND('',#7471,.F.); +#7473=ADVANCED_FACE('',(#7472),#7466,.T.); +#7474=AXIS2_PLACEMENT_3D('',#1165,#72,#76); +#7475=PLANE('',#7474); +#7476=ORIENTED_EDGE('',*,*,#18247,.F.); +#7477=ORIENTED_EDGE('',*,*,#18376,.T.); +#7478=ORIENTED_EDGE('',*,*,#18381,.T.); +#7479=ORIENTED_EDGE('',*,*,#18379,.F.); +#7480=EDGE_LOOP('',(#7476,#7477,#7478,#7479)); +#7481=FACE_OUTER_BOUND('',#7480,.F.); +#7482=ADVANCED_FACE('',(#7481),#7475,.T.); +#7483=CARTESIAN_POINT('',(4.08E1,0.E0,-2.332E1)); +#7484=AXIS2_PLACEMENT_3D('',#7483,#918,#76); +#7485=PLANE('',#7484); +#7486=ORIENTED_EDGE('',*,*,#18375,.F.); +#7487=ORIENTED_EDGE('',*,*,#18378,.F.); +#7488=ORIENTED_EDGE('',*,*,#18380,.F.); +#7489=ORIENTED_EDGE('',*,*,#18381,.F.); +#7490=EDGE_LOOP('',(#7486,#7487,#7488,#7489)); +#7491=FACE_OUTER_BOUND('',#7490,.F.); +#7492=ADVANCED_FACE('',(#7491),#7485,.T.); +#7493=AXIS2_PLACEMENT_3D('',#1172,#84,#72); +#7494=PLANE('',#7493); +#7495=ORIENTED_EDGE('',*,*,#18250,.F.); +#7496=ORIENTED_EDGE('',*,*,#18382,.T.); +#7497=ORIENTED_EDGE('',*,*,#18383,.T.); +#7498=ORIENTED_EDGE('',*,*,#18384,.F.); +#7499=EDGE_LOOP('',(#7495,#7496,#7497,#7498)); +#7500=FACE_OUTER_BOUND('',#7499,.F.); +#7501=ADVANCED_FACE('',(#7500),#7494,.T.); +#7502=AXIS2_PLACEMENT_3D('',#1178,#80,#84); +#7503=PLANE('',#7502); +#7504=ORIENTED_EDGE('',*,*,#18253,.F.); +#7505=ORIENTED_EDGE('',*,*,#18385,.T.); +#7506=ORIENTED_EDGE('',*,*,#18386,.T.); +#7507=ORIENTED_EDGE('',*,*,#18382,.F.); +#7508=EDGE_LOOP('',(#7504,#7505,#7506,#7507)); +#7509=FACE_OUTER_BOUND('',#7508,.F.); +#7510=ADVANCED_FACE('',(#7509),#7503,.T.); +#7511=AXIS2_PLACEMENT_3D('',#1176,#76,#80); +#7512=PLANE('',#7511); +#7513=ORIENTED_EDGE('',*,*,#18252,.F.); +#7514=ORIENTED_EDGE('',*,*,#18387,.T.); +#7515=ORIENTED_EDGE('',*,*,#18388,.T.); +#7516=ORIENTED_EDGE('',*,*,#18385,.F.); +#7517=EDGE_LOOP('',(#7513,#7514,#7515,#7516)); +#7518=FACE_OUTER_BOUND('',#7517,.F.); +#7519=ADVANCED_FACE('',(#7518),#7512,.T.); +#7520=AXIS2_PLACEMENT_3D('',#1174,#72,#76); +#7521=PLANE('',#7520); +#7522=ORIENTED_EDGE('',*,*,#18251,.F.); +#7523=ORIENTED_EDGE('',*,*,#18384,.T.); +#7524=ORIENTED_EDGE('',*,*,#18389,.T.); +#7525=ORIENTED_EDGE('',*,*,#18387,.F.); +#7526=EDGE_LOOP('',(#7522,#7523,#7524,#7525)); +#7527=FACE_OUTER_BOUND('',#7526,.F.); +#7528=ADVANCED_FACE('',(#7527),#7521,.T.); +#7529=ORIENTED_EDGE('',*,*,#18383,.F.); +#7530=ORIENTED_EDGE('',*,*,#18386,.F.); +#7531=ORIENTED_EDGE('',*,*,#18388,.F.); +#7532=ORIENTED_EDGE('',*,*,#18389,.F.); +#7533=EDGE_LOOP('',(#7529,#7530,#7531,#7532)); +#7534=FACE_OUTER_BOUND('',#7533,.F.); +#7535=ADVANCED_FACE('',(#7534),#7485,.T.); +#7536=AXIS2_PLACEMENT_3D('',#1180,#84,#72); +#7537=PLANE('',#7536); +#7538=ORIENTED_EDGE('',*,*,#18254,.F.); +#7539=ORIENTED_EDGE('',*,*,#18390,.T.); +#7540=ORIENTED_EDGE('',*,*,#18391,.T.); +#7541=ORIENTED_EDGE('',*,*,#18392,.F.); +#7542=EDGE_LOOP('',(#7538,#7539,#7540,#7541)); +#7543=FACE_OUTER_BOUND('',#7542,.F.); +#7544=ADVANCED_FACE('',(#7543),#7537,.T.); +#7545=AXIS2_PLACEMENT_3D('',#1186,#80,#84); +#7546=PLANE('',#7545); +#7547=ORIENTED_EDGE('',*,*,#18257,.F.); +#7548=ORIENTED_EDGE('',*,*,#18393,.T.); +#7549=ORIENTED_EDGE('',*,*,#18394,.T.); +#7550=ORIENTED_EDGE('',*,*,#18390,.F.); +#7551=EDGE_LOOP('',(#7547,#7548,#7549,#7550)); +#7552=FACE_OUTER_BOUND('',#7551,.F.); +#7553=ADVANCED_FACE('',(#7552),#7546,.T.); +#7554=AXIS2_PLACEMENT_3D('',#1184,#76,#80); +#7555=PLANE('',#7554); +#7556=ORIENTED_EDGE('',*,*,#18256,.F.); +#7557=ORIENTED_EDGE('',*,*,#18395,.T.); +#7558=ORIENTED_EDGE('',*,*,#18396,.T.); +#7559=ORIENTED_EDGE('',*,*,#18393,.F.); +#7560=EDGE_LOOP('',(#7556,#7557,#7558,#7559)); +#7561=FACE_OUTER_BOUND('',#7560,.F.); +#7562=ADVANCED_FACE('',(#7561),#7555,.T.); +#7563=AXIS2_PLACEMENT_3D('',#1182,#72,#76); +#7564=PLANE('',#7563); +#7565=ORIENTED_EDGE('',*,*,#18255,.F.); +#7566=ORIENTED_EDGE('',*,*,#18392,.T.); +#7567=ORIENTED_EDGE('',*,*,#18397,.T.); +#7568=ORIENTED_EDGE('',*,*,#18395,.F.); +#7569=EDGE_LOOP('',(#7565,#7566,#7567,#7568)); +#7570=FACE_OUTER_BOUND('',#7569,.F.); +#7571=ADVANCED_FACE('',(#7570),#7564,.T.); +#7572=ORIENTED_EDGE('',*,*,#18391,.F.); +#7573=ORIENTED_EDGE('',*,*,#18394,.F.); +#7574=ORIENTED_EDGE('',*,*,#18396,.F.); +#7575=ORIENTED_EDGE('',*,*,#18397,.F.); +#7576=EDGE_LOOP('',(#7572,#7573,#7574,#7575)); +#7577=FACE_OUTER_BOUND('',#7576,.F.); +#7578=ADVANCED_FACE('',(#7577),#7485,.T.); +#7579=AXIS2_PLACEMENT_3D('',#1188,#84,#72); +#7580=PLANE('',#7579); +#7581=ORIENTED_EDGE('',*,*,#18258,.F.); +#7582=ORIENTED_EDGE('',*,*,#18398,.T.); +#7583=ORIENTED_EDGE('',*,*,#18399,.T.); +#7584=ORIENTED_EDGE('',*,*,#18400,.F.); +#7585=EDGE_LOOP('',(#7581,#7582,#7583,#7584)); +#7586=FACE_OUTER_BOUND('',#7585,.F.); +#7587=ADVANCED_FACE('',(#7586),#7580,.T.); +#7588=AXIS2_PLACEMENT_3D('',#1194,#80,#84); +#7589=PLANE('',#7588); +#7590=ORIENTED_EDGE('',*,*,#18261,.F.); +#7591=ORIENTED_EDGE('',*,*,#18401,.T.); +#7592=ORIENTED_EDGE('',*,*,#18402,.T.); +#7593=ORIENTED_EDGE('',*,*,#18398,.F.); +#7594=EDGE_LOOP('',(#7590,#7591,#7592,#7593)); +#7595=FACE_OUTER_BOUND('',#7594,.F.); +#7596=ADVANCED_FACE('',(#7595),#7589,.T.); +#7597=AXIS2_PLACEMENT_3D('',#1192,#76,#80); +#7598=PLANE('',#7597); +#7599=ORIENTED_EDGE('',*,*,#18260,.F.); +#7600=ORIENTED_EDGE('',*,*,#18403,.T.); +#7601=ORIENTED_EDGE('',*,*,#18404,.T.); +#7602=ORIENTED_EDGE('',*,*,#18401,.F.); +#7603=EDGE_LOOP('',(#7599,#7600,#7601,#7602)); +#7604=FACE_OUTER_BOUND('',#7603,.F.); +#7605=ADVANCED_FACE('',(#7604),#7598,.T.); +#7606=AXIS2_PLACEMENT_3D('',#1190,#72,#76); +#7607=PLANE('',#7606); +#7608=ORIENTED_EDGE('',*,*,#18259,.F.); +#7609=ORIENTED_EDGE('',*,*,#18400,.T.); +#7610=ORIENTED_EDGE('',*,*,#18405,.T.); +#7611=ORIENTED_EDGE('',*,*,#18403,.F.); +#7612=EDGE_LOOP('',(#7608,#7609,#7610,#7611)); +#7613=FACE_OUTER_BOUND('',#7612,.F.); +#7614=ADVANCED_FACE('',(#7613),#7607,.T.); +#7615=ORIENTED_EDGE('',*,*,#18399,.F.); +#7616=ORIENTED_EDGE('',*,*,#18402,.F.); +#7617=ORIENTED_EDGE('',*,*,#18404,.F.); +#7618=ORIENTED_EDGE('',*,*,#18405,.F.); +#7619=EDGE_LOOP('',(#7615,#7616,#7617,#7618)); +#7620=FACE_OUTER_BOUND('',#7619,.F.); +#7621=ADVANCED_FACE('',(#7620),#7485,.T.); +#7622=AXIS2_PLACEMENT_3D('',#1196,#84,#72); +#7623=PLANE('',#7622); +#7624=ORIENTED_EDGE('',*,*,#18262,.F.); +#7625=ORIENTED_EDGE('',*,*,#18406,.T.); +#7626=ORIENTED_EDGE('',*,*,#18407,.T.); +#7627=ORIENTED_EDGE('',*,*,#18408,.F.); +#7628=EDGE_LOOP('',(#7624,#7625,#7626,#7627)); +#7629=FACE_OUTER_BOUND('',#7628,.F.); +#7630=ADVANCED_FACE('',(#7629),#7623,.T.); +#7631=AXIS2_PLACEMENT_3D('',#1202,#80,#84); +#7632=PLANE('',#7631); +#7633=ORIENTED_EDGE('',*,*,#18265,.F.); +#7634=ORIENTED_EDGE('',*,*,#18409,.T.); +#7635=ORIENTED_EDGE('',*,*,#18410,.T.); +#7636=ORIENTED_EDGE('',*,*,#18406,.F.); +#7637=EDGE_LOOP('',(#7633,#7634,#7635,#7636)); +#7638=FACE_OUTER_BOUND('',#7637,.F.); +#7639=ADVANCED_FACE('',(#7638),#7632,.T.); +#7640=AXIS2_PLACEMENT_3D('',#1200,#76,#80); +#7641=PLANE('',#7640); +#7642=ORIENTED_EDGE('',*,*,#18264,.F.); +#7643=ORIENTED_EDGE('',*,*,#18411,.T.); +#7644=ORIENTED_EDGE('',*,*,#18412,.T.); +#7645=ORIENTED_EDGE('',*,*,#18409,.F.); +#7646=EDGE_LOOP('',(#7642,#7643,#7644,#7645)); +#7647=FACE_OUTER_BOUND('',#7646,.F.); +#7648=ADVANCED_FACE('',(#7647),#7641,.T.); +#7649=AXIS2_PLACEMENT_3D('',#1198,#72,#76); +#7650=PLANE('',#7649); +#7651=ORIENTED_EDGE('',*,*,#18263,.F.); +#7652=ORIENTED_EDGE('',*,*,#18408,.T.); +#7653=ORIENTED_EDGE('',*,*,#18413,.T.); +#7654=ORIENTED_EDGE('',*,*,#18411,.F.); +#7655=EDGE_LOOP('',(#7651,#7652,#7653,#7654)); +#7656=FACE_OUTER_BOUND('',#7655,.F.); +#7657=ADVANCED_FACE('',(#7656),#7650,.T.); +#7658=ORIENTED_EDGE('',*,*,#18407,.F.); +#7659=ORIENTED_EDGE('',*,*,#18410,.F.); +#7660=ORIENTED_EDGE('',*,*,#18412,.F.); +#7661=ORIENTED_EDGE('',*,*,#18413,.F.); +#7662=EDGE_LOOP('',(#7658,#7659,#7660,#7661)); +#7663=FACE_OUTER_BOUND('',#7662,.F.); +#7664=ADVANCED_FACE('',(#7663),#7485,.T.); +#7665=AXIS2_PLACEMENT_3D('',#1204,#84,#72); +#7666=PLANE('',#7665); +#7667=ORIENTED_EDGE('',*,*,#18266,.F.); +#7668=ORIENTED_EDGE('',*,*,#18414,.T.); +#7669=ORIENTED_EDGE('',*,*,#18415,.T.); +#7670=ORIENTED_EDGE('',*,*,#18416,.F.); +#7671=EDGE_LOOP('',(#7667,#7668,#7669,#7670)); +#7672=FACE_OUTER_BOUND('',#7671,.F.); +#7673=ADVANCED_FACE('',(#7672),#7666,.T.); +#7674=AXIS2_PLACEMENT_3D('',#1210,#80,#84); +#7675=PLANE('',#7674); +#7676=ORIENTED_EDGE('',*,*,#18269,.F.); +#7677=ORIENTED_EDGE('',*,*,#18417,.T.); +#7678=ORIENTED_EDGE('',*,*,#18418,.T.); +#7679=ORIENTED_EDGE('',*,*,#18414,.F.); +#7680=EDGE_LOOP('',(#7676,#7677,#7678,#7679)); +#7681=FACE_OUTER_BOUND('',#7680,.F.); +#7682=ADVANCED_FACE('',(#7681),#7675,.T.); +#7683=AXIS2_PLACEMENT_3D('',#1208,#76,#80); +#7684=PLANE('',#7683); +#7685=ORIENTED_EDGE('',*,*,#18268,.F.); +#7686=ORIENTED_EDGE('',*,*,#18419,.T.); +#7687=ORIENTED_EDGE('',*,*,#18420,.T.); +#7688=ORIENTED_EDGE('',*,*,#18417,.F.); +#7689=EDGE_LOOP('',(#7685,#7686,#7687,#7688)); +#7690=FACE_OUTER_BOUND('',#7689,.F.); +#7691=ADVANCED_FACE('',(#7690),#7684,.T.); +#7692=AXIS2_PLACEMENT_3D('',#1206,#72,#76); +#7693=PLANE('',#7692); +#7694=ORIENTED_EDGE('',*,*,#18267,.F.); +#7695=ORIENTED_EDGE('',*,*,#18416,.T.); +#7696=ORIENTED_EDGE('',*,*,#18421,.T.); +#7697=ORIENTED_EDGE('',*,*,#18419,.F.); +#7698=EDGE_LOOP('',(#7694,#7695,#7696,#7697)); +#7699=FACE_OUTER_BOUND('',#7698,.F.); +#7700=ADVANCED_FACE('',(#7699),#7693,.T.); +#7701=ORIENTED_EDGE('',*,*,#18415,.F.); +#7702=ORIENTED_EDGE('',*,*,#18418,.F.); +#7703=ORIENTED_EDGE('',*,*,#18420,.F.); +#7704=ORIENTED_EDGE('',*,*,#18421,.F.); +#7705=EDGE_LOOP('',(#7701,#7702,#7703,#7704)); +#7706=FACE_OUTER_BOUND('',#7705,.F.); +#7707=ADVANCED_FACE('',(#7706),#7485,.T.); +#7708=AXIS2_PLACEMENT_3D('',#1212,#84,#72); +#7709=PLANE('',#7708); +#7710=ORIENTED_EDGE('',*,*,#18270,.F.); +#7711=ORIENTED_EDGE('',*,*,#18422,.T.); +#7712=ORIENTED_EDGE('',*,*,#18423,.T.); +#7713=ORIENTED_EDGE('',*,*,#18424,.F.); +#7714=EDGE_LOOP('',(#7710,#7711,#7712,#7713)); +#7715=FACE_OUTER_BOUND('',#7714,.F.); +#7716=ADVANCED_FACE('',(#7715),#7709,.T.); +#7717=AXIS2_PLACEMENT_3D('',#1218,#80,#84); +#7718=PLANE('',#7717); +#7719=ORIENTED_EDGE('',*,*,#18273,.F.); +#7720=ORIENTED_EDGE('',*,*,#18425,.T.); +#7721=ORIENTED_EDGE('',*,*,#18426,.T.); +#7722=ORIENTED_EDGE('',*,*,#18422,.F.); +#7723=EDGE_LOOP('',(#7719,#7720,#7721,#7722)); +#7724=FACE_OUTER_BOUND('',#7723,.F.); +#7725=ADVANCED_FACE('',(#7724),#7718,.T.); +#7726=AXIS2_PLACEMENT_3D('',#1216,#76,#80); +#7727=PLANE('',#7726); +#7728=ORIENTED_EDGE('',*,*,#18272,.F.); +#7729=ORIENTED_EDGE('',*,*,#18427,.T.); +#7730=ORIENTED_EDGE('',*,*,#18428,.T.); +#7731=ORIENTED_EDGE('',*,*,#18425,.F.); +#7732=EDGE_LOOP('',(#7728,#7729,#7730,#7731)); +#7733=FACE_OUTER_BOUND('',#7732,.F.); +#7734=ADVANCED_FACE('',(#7733),#7727,.T.); +#7735=AXIS2_PLACEMENT_3D('',#1214,#72,#76); +#7736=PLANE('',#7735); +#7737=ORIENTED_EDGE('',*,*,#18271,.F.); +#7738=ORIENTED_EDGE('',*,*,#18424,.T.); +#7739=ORIENTED_EDGE('',*,*,#18429,.T.); +#7740=ORIENTED_EDGE('',*,*,#18427,.F.); +#7741=EDGE_LOOP('',(#7737,#7738,#7739,#7740)); +#7742=FACE_OUTER_BOUND('',#7741,.F.); +#7743=ADVANCED_FACE('',(#7742),#7736,.T.); +#7744=ORIENTED_EDGE('',*,*,#18423,.F.); +#7745=ORIENTED_EDGE('',*,*,#18426,.F.); +#7746=ORIENTED_EDGE('',*,*,#18428,.F.); +#7747=ORIENTED_EDGE('',*,*,#18429,.F.); +#7748=EDGE_LOOP('',(#7744,#7745,#7746,#7747)); +#7749=FACE_OUTER_BOUND('',#7748,.F.); +#7750=ADVANCED_FACE('',(#7749),#7485,.T.); +#7751=AXIS2_PLACEMENT_3D('',#1220,#84,#72); +#7752=PLANE('',#7751); +#7753=ORIENTED_EDGE('',*,*,#18274,.F.); +#7754=ORIENTED_EDGE('',*,*,#18430,.T.); +#7755=ORIENTED_EDGE('',*,*,#18431,.T.); +#7756=ORIENTED_EDGE('',*,*,#18432,.F.); +#7757=EDGE_LOOP('',(#7753,#7754,#7755,#7756)); +#7758=FACE_OUTER_BOUND('',#7757,.F.); +#7759=ADVANCED_FACE('',(#7758),#7752,.T.); +#7760=AXIS2_PLACEMENT_3D('',#1226,#80,#84); +#7761=PLANE('',#7760); +#7762=ORIENTED_EDGE('',*,*,#18277,.F.); +#7763=ORIENTED_EDGE('',*,*,#18433,.T.); +#7764=ORIENTED_EDGE('',*,*,#18434,.T.); +#7765=ORIENTED_EDGE('',*,*,#18430,.F.); +#7766=EDGE_LOOP('',(#7762,#7763,#7764,#7765)); +#7767=FACE_OUTER_BOUND('',#7766,.F.); +#7768=ADVANCED_FACE('',(#7767),#7761,.T.); +#7769=AXIS2_PLACEMENT_3D('',#1224,#76,#80); +#7770=PLANE('',#7769); +#7771=ORIENTED_EDGE('',*,*,#18276,.F.); +#7772=ORIENTED_EDGE('',*,*,#18435,.T.); +#7773=ORIENTED_EDGE('',*,*,#18436,.T.); +#7774=ORIENTED_EDGE('',*,*,#18433,.F.); +#7775=EDGE_LOOP('',(#7771,#7772,#7773,#7774)); +#7776=FACE_OUTER_BOUND('',#7775,.F.); +#7777=ADVANCED_FACE('',(#7776),#7770,.T.); +#7778=AXIS2_PLACEMENT_3D('',#1222,#72,#76); +#7779=PLANE('',#7778); +#7780=ORIENTED_EDGE('',*,*,#18275,.F.); +#7781=ORIENTED_EDGE('',*,*,#18432,.T.); +#7782=ORIENTED_EDGE('',*,*,#18437,.T.); +#7783=ORIENTED_EDGE('',*,*,#18435,.F.); +#7784=EDGE_LOOP('',(#7780,#7781,#7782,#7783)); +#7785=FACE_OUTER_BOUND('',#7784,.F.); +#7786=ADVANCED_FACE('',(#7785),#7779,.T.); +#7787=ORIENTED_EDGE('',*,*,#18431,.F.); +#7788=ORIENTED_EDGE('',*,*,#18434,.F.); +#7789=ORIENTED_EDGE('',*,*,#18436,.F.); +#7790=ORIENTED_EDGE('',*,*,#18437,.F.); +#7791=EDGE_LOOP('',(#7787,#7788,#7789,#7790)); +#7792=FACE_OUTER_BOUND('',#7791,.F.); +#7793=ADVANCED_FACE('',(#7792),#7485,.T.); +#7794=AXIS2_PLACEMENT_3D('',#1228,#84,#72); +#7795=PLANE('',#7794); +#7796=ORIENTED_EDGE('',*,*,#18278,.F.); +#7797=ORIENTED_EDGE('',*,*,#18438,.T.); +#7798=ORIENTED_EDGE('',*,*,#18439,.T.); +#7799=ORIENTED_EDGE('',*,*,#18440,.F.); +#7800=EDGE_LOOP('',(#7796,#7797,#7798,#7799)); +#7801=FACE_OUTER_BOUND('',#7800,.F.); +#7802=ADVANCED_FACE('',(#7801),#7795,.T.); +#7803=AXIS2_PLACEMENT_3D('',#1234,#80,#84); +#7804=PLANE('',#7803); +#7805=ORIENTED_EDGE('',*,*,#18281,.F.); +#7806=ORIENTED_EDGE('',*,*,#18441,.T.); +#7807=ORIENTED_EDGE('',*,*,#18442,.T.); +#7808=ORIENTED_EDGE('',*,*,#18438,.F.); +#7809=EDGE_LOOP('',(#7805,#7806,#7807,#7808)); +#7810=FACE_OUTER_BOUND('',#7809,.F.); +#7811=ADVANCED_FACE('',(#7810),#7804,.T.); +#7812=AXIS2_PLACEMENT_3D('',#1232,#76,#80); +#7813=PLANE('',#7812); +#7814=ORIENTED_EDGE('',*,*,#18280,.F.); +#7815=ORIENTED_EDGE('',*,*,#18443,.T.); +#7816=ORIENTED_EDGE('',*,*,#18444,.T.); +#7817=ORIENTED_EDGE('',*,*,#18441,.F.); +#7818=EDGE_LOOP('',(#7814,#7815,#7816,#7817)); +#7819=FACE_OUTER_BOUND('',#7818,.F.); +#7820=ADVANCED_FACE('',(#7819),#7813,.T.); +#7821=AXIS2_PLACEMENT_3D('',#1230,#72,#76); +#7822=PLANE('',#7821); +#7823=ORIENTED_EDGE('',*,*,#18279,.F.); +#7824=ORIENTED_EDGE('',*,*,#18440,.T.); +#7825=ORIENTED_EDGE('',*,*,#18445,.T.); +#7826=ORIENTED_EDGE('',*,*,#18443,.F.); +#7827=EDGE_LOOP('',(#7823,#7824,#7825,#7826)); +#7828=FACE_OUTER_BOUND('',#7827,.F.); +#7829=ADVANCED_FACE('',(#7828),#7822,.T.); +#7830=ORIENTED_EDGE('',*,*,#18439,.F.); +#7831=ORIENTED_EDGE('',*,*,#18442,.F.); +#7832=ORIENTED_EDGE('',*,*,#18444,.F.); +#7833=ORIENTED_EDGE('',*,*,#18445,.F.); +#7834=EDGE_LOOP('',(#7830,#7831,#7832,#7833)); +#7835=FACE_OUTER_BOUND('',#7834,.F.); +#7836=ADVANCED_FACE('',(#7835),#7485,.T.); +#7837=AXIS2_PLACEMENT_3D('',#1236,#84,#72); +#7838=PLANE('',#7837); +#7839=ORIENTED_EDGE('',*,*,#18282,.F.); +#7840=ORIENTED_EDGE('',*,*,#18446,.T.); +#7841=ORIENTED_EDGE('',*,*,#18447,.T.); +#7842=ORIENTED_EDGE('',*,*,#18448,.F.); +#7843=EDGE_LOOP('',(#7839,#7840,#7841,#7842)); +#7844=FACE_OUTER_BOUND('',#7843,.F.); +#7845=ADVANCED_FACE('',(#7844),#7838,.T.); +#7846=AXIS2_PLACEMENT_3D('',#1242,#80,#84); +#7847=PLANE('',#7846); +#7848=ORIENTED_EDGE('',*,*,#18285,.F.); +#7849=ORIENTED_EDGE('',*,*,#18449,.T.); +#7850=ORIENTED_EDGE('',*,*,#18450,.T.); +#7851=ORIENTED_EDGE('',*,*,#18446,.F.); +#7852=EDGE_LOOP('',(#7848,#7849,#7850,#7851)); +#7853=FACE_OUTER_BOUND('',#7852,.F.); +#7854=ADVANCED_FACE('',(#7853),#7847,.T.); +#7855=AXIS2_PLACEMENT_3D('',#1240,#76,#80); +#7856=PLANE('',#7855); +#7857=ORIENTED_EDGE('',*,*,#18284,.F.); +#7858=ORIENTED_EDGE('',*,*,#18451,.T.); +#7859=ORIENTED_EDGE('',*,*,#18452,.T.); +#7860=ORIENTED_EDGE('',*,*,#18449,.F.); +#7861=EDGE_LOOP('',(#7857,#7858,#7859,#7860)); +#7862=FACE_OUTER_BOUND('',#7861,.F.); +#7863=ADVANCED_FACE('',(#7862),#7856,.T.); +#7864=AXIS2_PLACEMENT_3D('',#1238,#72,#76); +#7865=PLANE('',#7864); +#7866=ORIENTED_EDGE('',*,*,#18283,.F.); +#7867=ORIENTED_EDGE('',*,*,#18448,.T.); +#7868=ORIENTED_EDGE('',*,*,#18453,.T.); +#7869=ORIENTED_EDGE('',*,*,#18451,.F.); +#7870=EDGE_LOOP('',(#7866,#7867,#7868,#7869)); +#7871=FACE_OUTER_BOUND('',#7870,.F.); +#7872=ADVANCED_FACE('',(#7871),#7865,.T.); +#7873=ORIENTED_EDGE('',*,*,#18447,.F.); +#7874=ORIENTED_EDGE('',*,*,#18450,.F.); +#7875=ORIENTED_EDGE('',*,*,#18452,.F.); +#7876=ORIENTED_EDGE('',*,*,#18453,.F.); +#7877=EDGE_LOOP('',(#7873,#7874,#7875,#7876)); +#7878=FACE_OUTER_BOUND('',#7877,.F.); +#7879=ADVANCED_FACE('',(#7878),#7485,.T.); +#7880=AXIS2_PLACEMENT_3D('',#1244,#84,#72); +#7881=PLANE('',#7880); +#7882=ORIENTED_EDGE('',*,*,#18286,.F.); +#7883=ORIENTED_EDGE('',*,*,#18454,.T.); +#7884=ORIENTED_EDGE('',*,*,#18455,.T.); +#7885=ORIENTED_EDGE('',*,*,#18456,.F.); +#7886=EDGE_LOOP('',(#7882,#7883,#7884,#7885)); +#7887=FACE_OUTER_BOUND('',#7886,.F.); +#7888=ADVANCED_FACE('',(#7887),#7881,.T.); +#7889=AXIS2_PLACEMENT_3D('',#1250,#80,#84); +#7890=PLANE('',#7889); +#7891=ORIENTED_EDGE('',*,*,#18289,.F.); +#7892=ORIENTED_EDGE('',*,*,#18457,.T.); +#7893=ORIENTED_EDGE('',*,*,#18458,.T.); +#7894=ORIENTED_EDGE('',*,*,#18454,.F.); +#7895=EDGE_LOOP('',(#7891,#7892,#7893,#7894)); +#7896=FACE_OUTER_BOUND('',#7895,.F.); +#7897=ADVANCED_FACE('',(#7896),#7890,.T.); +#7898=AXIS2_PLACEMENT_3D('',#1248,#76,#80); +#7899=PLANE('',#7898); +#7900=ORIENTED_EDGE('',*,*,#18288,.F.); +#7901=ORIENTED_EDGE('',*,*,#18459,.T.); +#7902=ORIENTED_EDGE('',*,*,#18460,.T.); +#7903=ORIENTED_EDGE('',*,*,#18457,.F.); +#7904=EDGE_LOOP('',(#7900,#7901,#7902,#7903)); +#7905=FACE_OUTER_BOUND('',#7904,.F.); +#7906=ADVANCED_FACE('',(#7905),#7899,.T.); +#7907=AXIS2_PLACEMENT_3D('',#1246,#72,#76); +#7908=PLANE('',#7907); +#7909=ORIENTED_EDGE('',*,*,#18287,.F.); +#7910=ORIENTED_EDGE('',*,*,#18456,.T.); +#7911=ORIENTED_EDGE('',*,*,#18461,.T.); +#7912=ORIENTED_EDGE('',*,*,#18459,.F.); +#7913=EDGE_LOOP('',(#7909,#7910,#7911,#7912)); +#7914=FACE_OUTER_BOUND('',#7913,.F.); +#7915=ADVANCED_FACE('',(#7914),#7908,.T.); +#7916=ORIENTED_EDGE('',*,*,#18455,.F.); +#7917=ORIENTED_EDGE('',*,*,#18458,.F.); +#7918=ORIENTED_EDGE('',*,*,#18460,.F.); +#7919=ORIENTED_EDGE('',*,*,#18461,.F.); +#7920=EDGE_LOOP('',(#7916,#7917,#7918,#7919)); +#7921=FACE_OUTER_BOUND('',#7920,.F.); +#7922=ADVANCED_FACE('',(#7921),#7485,.T.); +#7923=AXIS2_PLACEMENT_3D('',#1252,#84,#72); +#7924=PLANE('',#7923); +#7925=ORIENTED_EDGE('',*,*,#18290,.F.); +#7926=ORIENTED_EDGE('',*,*,#18462,.T.); +#7927=ORIENTED_EDGE('',*,*,#18463,.T.); +#7928=ORIENTED_EDGE('',*,*,#18464,.F.); +#7929=EDGE_LOOP('',(#7925,#7926,#7927,#7928)); +#7930=FACE_OUTER_BOUND('',#7929,.F.); +#7931=ADVANCED_FACE('',(#7930),#7924,.T.); +#7932=AXIS2_PLACEMENT_3D('',#1258,#80,#84); +#7933=PLANE('',#7932); +#7934=ORIENTED_EDGE('',*,*,#18293,.F.); +#7935=ORIENTED_EDGE('',*,*,#18465,.T.); +#7936=ORIENTED_EDGE('',*,*,#18466,.T.); +#7937=ORIENTED_EDGE('',*,*,#18462,.F.); +#7938=EDGE_LOOP('',(#7934,#7935,#7936,#7937)); +#7939=FACE_OUTER_BOUND('',#7938,.F.); +#7940=ADVANCED_FACE('',(#7939),#7933,.T.); +#7941=AXIS2_PLACEMENT_3D('',#1256,#76,#80); +#7942=PLANE('',#7941); +#7943=ORIENTED_EDGE('',*,*,#18292,.F.); +#7944=ORIENTED_EDGE('',*,*,#18467,.T.); +#7945=ORIENTED_EDGE('',*,*,#18468,.T.); +#7946=ORIENTED_EDGE('',*,*,#18465,.F.); +#7947=EDGE_LOOP('',(#7943,#7944,#7945,#7946)); +#7948=FACE_OUTER_BOUND('',#7947,.F.); +#7949=ADVANCED_FACE('',(#7948),#7942,.T.); +#7950=AXIS2_PLACEMENT_3D('',#1254,#72,#76); +#7951=PLANE('',#7950); +#7952=ORIENTED_EDGE('',*,*,#18291,.F.); +#7953=ORIENTED_EDGE('',*,*,#18464,.T.); +#7954=ORIENTED_EDGE('',*,*,#18469,.T.); +#7955=ORIENTED_EDGE('',*,*,#18467,.F.); +#7956=EDGE_LOOP('',(#7952,#7953,#7954,#7955)); +#7957=FACE_OUTER_BOUND('',#7956,.F.); +#7958=ADVANCED_FACE('',(#7957),#7951,.T.); +#7959=ORIENTED_EDGE('',*,*,#18463,.F.); +#7960=ORIENTED_EDGE('',*,*,#18466,.F.); +#7961=ORIENTED_EDGE('',*,*,#18468,.F.); +#7962=ORIENTED_EDGE('',*,*,#18469,.F.); +#7963=EDGE_LOOP('',(#7959,#7960,#7961,#7962)); +#7964=FACE_OUTER_BOUND('',#7963,.F.); +#7965=ADVANCED_FACE('',(#7964),#7485,.T.); +#7966=AXIS2_PLACEMENT_3D('',#1260,#84,#72); +#7967=PLANE('',#7966); +#7968=ORIENTED_EDGE('',*,*,#18294,.F.); +#7969=ORIENTED_EDGE('',*,*,#18470,.T.); +#7970=ORIENTED_EDGE('',*,*,#18471,.T.); +#7971=ORIENTED_EDGE('',*,*,#18472,.F.); +#7972=EDGE_LOOP('',(#7968,#7969,#7970,#7971)); +#7973=FACE_OUTER_BOUND('',#7972,.F.); +#7974=ADVANCED_FACE('',(#7973),#7967,.T.); +#7975=AXIS2_PLACEMENT_3D('',#1268,#80,#84); +#7976=PLANE('',#7975); +#7977=ORIENTED_EDGE('',*,*,#18297,.F.); +#7978=ORIENTED_EDGE('',*,*,#18473,.T.); +#7979=ORIENTED_EDGE('',*,*,#18474,.T.); +#7980=ORIENTED_EDGE('',*,*,#18470,.F.); +#7981=EDGE_LOOP('',(#7977,#7978,#7979,#7980)); +#7982=FACE_OUTER_BOUND('',#7981,.F.); +#7983=ADVANCED_FACE('',(#7982),#7976,.T.); +#7984=DIRECTION('',(-1.E0,-1.110223024625E-14,0.E0)); +#7985=AXIS2_PLACEMENT_3D('',#1266,#7984,#1264); +#7986=PLANE('',#7985); +#7987=ORIENTED_EDGE('',*,*,#18296,.F.); +#7988=ORIENTED_EDGE('',*,*,#18475,.T.); +#7989=ORIENTED_EDGE('',*,*,#18476,.T.); +#7990=ORIENTED_EDGE('',*,*,#18473,.F.); +#7991=EDGE_LOOP('',(#7987,#7988,#7989,#7990)); +#7992=FACE_OUTER_BOUND('',#7991,.F.); +#7993=ADVANCED_FACE('',(#7992),#7986,.T.); +#7994=AXIS2_PLACEMENT_3D('',#1262,#72,#76); +#7995=PLANE('',#7994); +#7996=ORIENTED_EDGE('',*,*,#18295,.F.); +#7997=ORIENTED_EDGE('',*,*,#18472,.T.); +#7998=ORIENTED_EDGE('',*,*,#18477,.T.); +#7999=ORIENTED_EDGE('',*,*,#18475,.F.); +#8000=EDGE_LOOP('',(#7996,#7997,#7998,#7999)); +#8001=FACE_OUTER_BOUND('',#8000,.F.); +#8002=ADVANCED_FACE('',(#8001),#7995,.T.); +#8003=ORIENTED_EDGE('',*,*,#18471,.F.); +#8004=ORIENTED_EDGE('',*,*,#18474,.F.); +#8005=ORIENTED_EDGE('',*,*,#18476,.F.); +#8006=ORIENTED_EDGE('',*,*,#18477,.F.); +#8007=EDGE_LOOP('',(#8003,#8004,#8005,#8006)); +#8008=FACE_OUTER_BOUND('',#8007,.F.); +#8009=ADVANCED_FACE('',(#8008),#7485,.T.); +#8010=AXIS2_PLACEMENT_3D('',#1270,#84,#72); +#8011=PLANE('',#8010); +#8012=ORIENTED_EDGE('',*,*,#18298,.F.); +#8013=ORIENTED_EDGE('',*,*,#18478,.T.); +#8014=ORIENTED_EDGE('',*,*,#18479,.T.); +#8015=ORIENTED_EDGE('',*,*,#18480,.F.); +#8016=EDGE_LOOP('',(#8012,#8013,#8014,#8015)); +#8017=FACE_OUTER_BOUND('',#8016,.F.); +#8018=ADVANCED_FACE('',(#8017),#8011,.T.); +#8019=AXIS2_PLACEMENT_3D('',#1276,#80,#84); +#8020=PLANE('',#8019); +#8021=ORIENTED_EDGE('',*,*,#18301,.F.); +#8022=ORIENTED_EDGE('',*,*,#18481,.T.); +#8023=ORIENTED_EDGE('',*,*,#18482,.T.); +#8024=ORIENTED_EDGE('',*,*,#18478,.F.); +#8025=EDGE_LOOP('',(#8021,#8022,#8023,#8024)); +#8026=FACE_OUTER_BOUND('',#8025,.F.); +#8027=ADVANCED_FACE('',(#8026),#8020,.T.); +#8028=AXIS2_PLACEMENT_3D('',#1274,#76,#80); +#8029=PLANE('',#8028); +#8030=ORIENTED_EDGE('',*,*,#18300,.F.); +#8031=ORIENTED_EDGE('',*,*,#18483,.T.); +#8032=ORIENTED_EDGE('',*,*,#18484,.T.); +#8033=ORIENTED_EDGE('',*,*,#18481,.F.); +#8034=EDGE_LOOP('',(#8030,#8031,#8032,#8033)); +#8035=FACE_OUTER_BOUND('',#8034,.F.); +#8036=ADVANCED_FACE('',(#8035),#8029,.T.); +#8037=AXIS2_PLACEMENT_3D('',#1272,#72,#76); +#8038=PLANE('',#8037); +#8039=ORIENTED_EDGE('',*,*,#18299,.F.); +#8040=ORIENTED_EDGE('',*,*,#18480,.T.); +#8041=ORIENTED_EDGE('',*,*,#18485,.T.); +#8042=ORIENTED_EDGE('',*,*,#18483,.F.); +#8043=EDGE_LOOP('',(#8039,#8040,#8041,#8042)); +#8044=FACE_OUTER_BOUND('',#8043,.F.); +#8045=ADVANCED_FACE('',(#8044),#8038,.T.); +#8046=ORIENTED_EDGE('',*,*,#18479,.F.); +#8047=ORIENTED_EDGE('',*,*,#18482,.F.); +#8048=ORIENTED_EDGE('',*,*,#18484,.F.); +#8049=ORIENTED_EDGE('',*,*,#18485,.F.); +#8050=EDGE_LOOP('',(#8046,#8047,#8048,#8049)); +#8051=FACE_OUTER_BOUND('',#8050,.F.); +#8052=ADVANCED_FACE('',(#8051),#7485,.T.); +#8053=AXIS2_PLACEMENT_3D('',#1278,#84,#72); +#8054=PLANE('',#8053); +#8055=ORIENTED_EDGE('',*,*,#18302,.F.); +#8056=ORIENTED_EDGE('',*,*,#18486,.T.); +#8057=ORIENTED_EDGE('',*,*,#18487,.T.); +#8058=ORIENTED_EDGE('',*,*,#18488,.F.); +#8059=EDGE_LOOP('',(#8055,#8056,#8057,#8058)); +#8060=FACE_OUTER_BOUND('',#8059,.F.); +#8061=ADVANCED_FACE('',(#8060),#8054,.T.); +#8062=AXIS2_PLACEMENT_3D('',#1284,#80,#84); +#8063=PLANE('',#8062); +#8064=ORIENTED_EDGE('',*,*,#18305,.F.); +#8065=ORIENTED_EDGE('',*,*,#18489,.T.); +#8066=ORIENTED_EDGE('',*,*,#18490,.T.); +#8067=ORIENTED_EDGE('',*,*,#18486,.F.); +#8068=EDGE_LOOP('',(#8064,#8065,#8066,#8067)); +#8069=FACE_OUTER_BOUND('',#8068,.F.); +#8070=ADVANCED_FACE('',(#8069),#8063,.T.); +#8071=AXIS2_PLACEMENT_3D('',#1282,#76,#80); +#8072=PLANE('',#8071); +#8073=ORIENTED_EDGE('',*,*,#18304,.F.); +#8074=ORIENTED_EDGE('',*,*,#18491,.T.); +#8075=ORIENTED_EDGE('',*,*,#18492,.T.); +#8076=ORIENTED_EDGE('',*,*,#18489,.F.); +#8077=EDGE_LOOP('',(#8073,#8074,#8075,#8076)); +#8078=FACE_OUTER_BOUND('',#8077,.F.); +#8079=ADVANCED_FACE('',(#8078),#8072,.T.); +#8080=AXIS2_PLACEMENT_3D('',#1280,#72,#76); +#8081=PLANE('',#8080); +#8082=ORIENTED_EDGE('',*,*,#18303,.F.); +#8083=ORIENTED_EDGE('',*,*,#18488,.T.); +#8084=ORIENTED_EDGE('',*,*,#18493,.T.); +#8085=ORIENTED_EDGE('',*,*,#18491,.F.); +#8086=EDGE_LOOP('',(#8082,#8083,#8084,#8085)); +#8087=FACE_OUTER_BOUND('',#8086,.F.); +#8088=ADVANCED_FACE('',(#8087),#8081,.T.); +#8089=ORIENTED_EDGE('',*,*,#18487,.F.); +#8090=ORIENTED_EDGE('',*,*,#18490,.F.); +#8091=ORIENTED_EDGE('',*,*,#18492,.F.); +#8092=ORIENTED_EDGE('',*,*,#18493,.F.); +#8093=EDGE_LOOP('',(#8089,#8090,#8091,#8092)); +#8094=FACE_OUTER_BOUND('',#8093,.F.); +#8095=ADVANCED_FACE('',(#8094),#7485,.T.); +#8096=AXIS2_PLACEMENT_3D('',#1286,#84,#72); +#8097=PLANE('',#8096); +#8098=ORIENTED_EDGE('',*,*,#18306,.F.); +#8099=ORIENTED_EDGE('',*,*,#18494,.T.); +#8100=ORIENTED_EDGE('',*,*,#18495,.T.); +#8101=ORIENTED_EDGE('',*,*,#18496,.F.); +#8102=EDGE_LOOP('',(#8098,#8099,#8100,#8101)); +#8103=FACE_OUTER_BOUND('',#8102,.F.); +#8104=ADVANCED_FACE('',(#8103),#8097,.T.); +#8105=AXIS2_PLACEMENT_3D('',#1292,#80,#84); +#8106=PLANE('',#8105); +#8107=ORIENTED_EDGE('',*,*,#18309,.F.); +#8108=ORIENTED_EDGE('',*,*,#18497,.T.); +#8109=ORIENTED_EDGE('',*,*,#18498,.T.); +#8110=ORIENTED_EDGE('',*,*,#18494,.F.); +#8111=EDGE_LOOP('',(#8107,#8108,#8109,#8110)); +#8112=FACE_OUTER_BOUND('',#8111,.F.); +#8113=ADVANCED_FACE('',(#8112),#8106,.T.); +#8114=AXIS2_PLACEMENT_3D('',#1290,#76,#80); +#8115=PLANE('',#8114); +#8116=ORIENTED_EDGE('',*,*,#18308,.F.); +#8117=ORIENTED_EDGE('',*,*,#18499,.T.); +#8118=ORIENTED_EDGE('',*,*,#18500,.T.); +#8119=ORIENTED_EDGE('',*,*,#18497,.F.); +#8120=EDGE_LOOP('',(#8116,#8117,#8118,#8119)); +#8121=FACE_OUTER_BOUND('',#8120,.F.); +#8122=ADVANCED_FACE('',(#8121),#8115,.T.); +#8123=AXIS2_PLACEMENT_3D('',#1288,#72,#76); +#8124=PLANE('',#8123); +#8125=ORIENTED_EDGE('',*,*,#18307,.F.); +#8126=ORIENTED_EDGE('',*,*,#18496,.T.); +#8127=ORIENTED_EDGE('',*,*,#18501,.T.); +#8128=ORIENTED_EDGE('',*,*,#18499,.F.); +#8129=EDGE_LOOP('',(#8125,#8126,#8127,#8128)); +#8130=FACE_OUTER_BOUND('',#8129,.F.); +#8131=ADVANCED_FACE('',(#8130),#8124,.T.); +#8132=ORIENTED_EDGE('',*,*,#18495,.F.); +#8133=ORIENTED_EDGE('',*,*,#18498,.F.); +#8134=ORIENTED_EDGE('',*,*,#18500,.F.); +#8135=ORIENTED_EDGE('',*,*,#18501,.F.); +#8136=EDGE_LOOP('',(#8132,#8133,#8134,#8135)); +#8137=FACE_OUTER_BOUND('',#8136,.F.); +#8138=ADVANCED_FACE('',(#8137),#7485,.T.); +#8139=AXIS2_PLACEMENT_3D('',#1294,#84,#72); +#8140=PLANE('',#8139); +#8141=ORIENTED_EDGE('',*,*,#18310,.F.); +#8142=ORIENTED_EDGE('',*,*,#18502,.T.); +#8143=ORIENTED_EDGE('',*,*,#18503,.T.); +#8144=ORIENTED_EDGE('',*,*,#18504,.F.); +#8145=EDGE_LOOP('',(#8141,#8142,#8143,#8144)); +#8146=FACE_OUTER_BOUND('',#8145,.F.); +#8147=ADVANCED_FACE('',(#8146),#8140,.T.); +#8148=AXIS2_PLACEMENT_3D('',#1300,#80,#84); +#8149=PLANE('',#8148); +#8150=ORIENTED_EDGE('',*,*,#18313,.F.); +#8151=ORIENTED_EDGE('',*,*,#18505,.T.); +#8152=ORIENTED_EDGE('',*,*,#18506,.T.); +#8153=ORIENTED_EDGE('',*,*,#18502,.F.); +#8154=EDGE_LOOP('',(#8150,#8151,#8152,#8153)); +#8155=FACE_OUTER_BOUND('',#8154,.F.); +#8156=ADVANCED_FACE('',(#8155),#8149,.T.); +#8157=AXIS2_PLACEMENT_3D('',#1298,#76,#80); +#8158=PLANE('',#8157); +#8159=ORIENTED_EDGE('',*,*,#18312,.F.); +#8160=ORIENTED_EDGE('',*,*,#18507,.T.); +#8161=ORIENTED_EDGE('',*,*,#18508,.T.); +#8162=ORIENTED_EDGE('',*,*,#18505,.F.); +#8163=EDGE_LOOP('',(#8159,#8160,#8161,#8162)); +#8164=FACE_OUTER_BOUND('',#8163,.F.); +#8165=ADVANCED_FACE('',(#8164),#8158,.T.); +#8166=AXIS2_PLACEMENT_3D('',#1296,#72,#76); +#8167=PLANE('',#8166); +#8168=ORIENTED_EDGE('',*,*,#18311,.F.); +#8169=ORIENTED_EDGE('',*,*,#18504,.T.); +#8170=ORIENTED_EDGE('',*,*,#18509,.T.); +#8171=ORIENTED_EDGE('',*,*,#18507,.F.); +#8172=EDGE_LOOP('',(#8168,#8169,#8170,#8171)); +#8173=FACE_OUTER_BOUND('',#8172,.F.); +#8174=ADVANCED_FACE('',(#8173),#8167,.T.); +#8175=ORIENTED_EDGE('',*,*,#18503,.F.); +#8176=ORIENTED_EDGE('',*,*,#18506,.F.); +#8177=ORIENTED_EDGE('',*,*,#18508,.F.); +#8178=ORIENTED_EDGE('',*,*,#18509,.F.); +#8179=EDGE_LOOP('',(#8175,#8176,#8177,#8178)); +#8180=FACE_OUTER_BOUND('',#8179,.F.); +#8181=ADVANCED_FACE('',(#8180),#7485,.T.); +#8182=AXIS2_PLACEMENT_3D('',#1302,#84,#72); +#8183=PLANE('',#8182); +#8184=ORIENTED_EDGE('',*,*,#18314,.F.); +#8185=ORIENTED_EDGE('',*,*,#18510,.T.); +#8186=ORIENTED_EDGE('',*,*,#18511,.T.); +#8187=ORIENTED_EDGE('',*,*,#18512,.F.); +#8188=EDGE_LOOP('',(#8184,#8185,#8186,#8187)); +#8189=FACE_OUTER_BOUND('',#8188,.F.); +#8190=ADVANCED_FACE('',(#8189),#8183,.T.); +#8191=AXIS2_PLACEMENT_3D('',#1308,#80,#84); +#8192=PLANE('',#8191); +#8193=ORIENTED_EDGE('',*,*,#18317,.F.); +#8194=ORIENTED_EDGE('',*,*,#18513,.T.); +#8195=ORIENTED_EDGE('',*,*,#18514,.T.); +#8196=ORIENTED_EDGE('',*,*,#18510,.F.); +#8197=EDGE_LOOP('',(#8193,#8194,#8195,#8196)); +#8198=FACE_OUTER_BOUND('',#8197,.F.); +#8199=ADVANCED_FACE('',(#8198),#8192,.T.); +#8200=AXIS2_PLACEMENT_3D('',#1306,#76,#80); +#8201=PLANE('',#8200); +#8202=ORIENTED_EDGE('',*,*,#18316,.F.); +#8203=ORIENTED_EDGE('',*,*,#18515,.T.); +#8204=ORIENTED_EDGE('',*,*,#18516,.T.); +#8205=ORIENTED_EDGE('',*,*,#18513,.F.); +#8206=EDGE_LOOP('',(#8202,#8203,#8204,#8205)); +#8207=FACE_OUTER_BOUND('',#8206,.F.); +#8208=ADVANCED_FACE('',(#8207),#8201,.T.); +#8209=AXIS2_PLACEMENT_3D('',#1304,#72,#76); +#8210=PLANE('',#8209); +#8211=ORIENTED_EDGE('',*,*,#18315,.F.); +#8212=ORIENTED_EDGE('',*,*,#18512,.T.); +#8213=ORIENTED_EDGE('',*,*,#18517,.T.); +#8214=ORIENTED_EDGE('',*,*,#18515,.F.); +#8215=EDGE_LOOP('',(#8211,#8212,#8213,#8214)); +#8216=FACE_OUTER_BOUND('',#8215,.F.); +#8217=ADVANCED_FACE('',(#8216),#8210,.T.); +#8218=ORIENTED_EDGE('',*,*,#18511,.F.); +#8219=ORIENTED_EDGE('',*,*,#18514,.F.); +#8220=ORIENTED_EDGE('',*,*,#18516,.F.); +#8221=ORIENTED_EDGE('',*,*,#18517,.F.); +#8222=EDGE_LOOP('',(#8218,#8219,#8220,#8221)); +#8223=FACE_OUTER_BOUND('',#8222,.F.); +#8224=ADVANCED_FACE('',(#8223),#7485,.T.); +#8225=AXIS2_PLACEMENT_3D('',#1310,#84,#72); +#8226=PLANE('',#8225); +#8227=ORIENTED_EDGE('',*,*,#18318,.F.); +#8228=ORIENTED_EDGE('',*,*,#18518,.T.); +#8229=ORIENTED_EDGE('',*,*,#18519,.T.); +#8230=ORIENTED_EDGE('',*,*,#18520,.F.); +#8231=EDGE_LOOP('',(#8227,#8228,#8229,#8230)); +#8232=FACE_OUTER_BOUND('',#8231,.F.); +#8233=ADVANCED_FACE('',(#8232),#8226,.T.); +#8234=AXIS2_PLACEMENT_3D('',#1316,#80,#84); +#8235=PLANE('',#8234); +#8236=ORIENTED_EDGE('',*,*,#18321,.F.); +#8237=ORIENTED_EDGE('',*,*,#18521,.T.); +#8238=ORIENTED_EDGE('',*,*,#18522,.T.); +#8239=ORIENTED_EDGE('',*,*,#18518,.F.); +#8240=EDGE_LOOP('',(#8236,#8237,#8238,#8239)); +#8241=FACE_OUTER_BOUND('',#8240,.F.); +#8242=ADVANCED_FACE('',(#8241),#8235,.T.); +#8243=AXIS2_PLACEMENT_3D('',#1314,#76,#80); +#8244=PLANE('',#8243); +#8245=ORIENTED_EDGE('',*,*,#18320,.F.); +#8246=ORIENTED_EDGE('',*,*,#18523,.T.); +#8247=ORIENTED_EDGE('',*,*,#18524,.T.); +#8248=ORIENTED_EDGE('',*,*,#18521,.F.); +#8249=EDGE_LOOP('',(#8245,#8246,#8247,#8248)); +#8250=FACE_OUTER_BOUND('',#8249,.F.); +#8251=ADVANCED_FACE('',(#8250),#8244,.T.); +#8252=AXIS2_PLACEMENT_3D('',#1312,#72,#76); +#8253=PLANE('',#8252); +#8254=ORIENTED_EDGE('',*,*,#18319,.F.); +#8255=ORIENTED_EDGE('',*,*,#18520,.T.); +#8256=ORIENTED_EDGE('',*,*,#18525,.T.); +#8257=ORIENTED_EDGE('',*,*,#18523,.F.); +#8258=EDGE_LOOP('',(#8254,#8255,#8256,#8257)); +#8259=FACE_OUTER_BOUND('',#8258,.F.); +#8260=ADVANCED_FACE('',(#8259),#8253,.T.); +#8261=ORIENTED_EDGE('',*,*,#18519,.F.); +#8262=ORIENTED_EDGE('',*,*,#18522,.F.); +#8263=ORIENTED_EDGE('',*,*,#18524,.F.); +#8264=ORIENTED_EDGE('',*,*,#18525,.F.); +#8265=EDGE_LOOP('',(#8261,#8262,#8263,#8264)); +#8266=FACE_OUTER_BOUND('',#8265,.F.); +#8267=ADVANCED_FACE('',(#8266),#7485,.T.); +#8268=AXIS2_PLACEMENT_3D('',#1318,#84,#72); +#8269=PLANE('',#8268); +#8270=ORIENTED_EDGE('',*,*,#18322,.F.); +#8271=ORIENTED_EDGE('',*,*,#18526,.T.); +#8272=ORIENTED_EDGE('',*,*,#18527,.T.); +#8273=ORIENTED_EDGE('',*,*,#18528,.F.); +#8274=EDGE_LOOP('',(#8270,#8271,#8272,#8273)); +#8275=FACE_OUTER_BOUND('',#8274,.F.); +#8276=ADVANCED_FACE('',(#8275),#8269,.T.); +#8277=AXIS2_PLACEMENT_3D('',#1324,#80,#84); +#8278=PLANE('',#8277); +#8279=ORIENTED_EDGE('',*,*,#18325,.F.); +#8280=ORIENTED_EDGE('',*,*,#18529,.T.); +#8281=ORIENTED_EDGE('',*,*,#18530,.T.); +#8282=ORIENTED_EDGE('',*,*,#18526,.F.); +#8283=EDGE_LOOP('',(#8279,#8280,#8281,#8282)); +#8284=FACE_OUTER_BOUND('',#8283,.F.); +#8285=ADVANCED_FACE('',(#8284),#8278,.T.); +#8286=AXIS2_PLACEMENT_3D('',#1322,#76,#80); +#8287=PLANE('',#8286); +#8288=ORIENTED_EDGE('',*,*,#18324,.F.); +#8289=ORIENTED_EDGE('',*,*,#18531,.T.); +#8290=ORIENTED_EDGE('',*,*,#18532,.T.); +#8291=ORIENTED_EDGE('',*,*,#18529,.F.); +#8292=EDGE_LOOP('',(#8288,#8289,#8290,#8291)); +#8293=FACE_OUTER_BOUND('',#8292,.F.); +#8294=ADVANCED_FACE('',(#8293),#8287,.T.); +#8295=AXIS2_PLACEMENT_3D('',#1320,#72,#76); +#8296=PLANE('',#8295); +#8297=ORIENTED_EDGE('',*,*,#18323,.F.); +#8298=ORIENTED_EDGE('',*,*,#18528,.T.); +#8299=ORIENTED_EDGE('',*,*,#18533,.T.); +#8300=ORIENTED_EDGE('',*,*,#18531,.F.); +#8301=EDGE_LOOP('',(#8297,#8298,#8299,#8300)); +#8302=FACE_OUTER_BOUND('',#8301,.F.); +#8303=ADVANCED_FACE('',(#8302),#8296,.T.); +#8304=ORIENTED_EDGE('',*,*,#18527,.F.); +#8305=ORIENTED_EDGE('',*,*,#18530,.F.); +#8306=ORIENTED_EDGE('',*,*,#18532,.F.); +#8307=ORIENTED_EDGE('',*,*,#18533,.F.); +#8308=EDGE_LOOP('',(#8304,#8305,#8306,#8307)); +#8309=FACE_OUTER_BOUND('',#8308,.F.); +#8310=ADVANCED_FACE('',(#8309),#7485,.T.); +#8311=AXIS2_PLACEMENT_3D('',#1326,#84,#72); +#8312=PLANE('',#8311); +#8313=ORIENTED_EDGE('',*,*,#18326,.F.); +#8314=ORIENTED_EDGE('',*,*,#18534,.T.); +#8315=ORIENTED_EDGE('',*,*,#18535,.T.); +#8316=ORIENTED_EDGE('',*,*,#18536,.F.); +#8317=EDGE_LOOP('',(#8313,#8314,#8315,#8316)); +#8318=FACE_OUTER_BOUND('',#8317,.F.); +#8319=ADVANCED_FACE('',(#8318),#8312,.T.); +#8320=AXIS2_PLACEMENT_3D('',#1332,#80,#84); +#8321=PLANE('',#8320); +#8322=ORIENTED_EDGE('',*,*,#18329,.F.); +#8323=ORIENTED_EDGE('',*,*,#18537,.T.); +#8324=ORIENTED_EDGE('',*,*,#18538,.T.); +#8325=ORIENTED_EDGE('',*,*,#18534,.F.); +#8326=EDGE_LOOP('',(#8322,#8323,#8324,#8325)); +#8327=FACE_OUTER_BOUND('',#8326,.F.); +#8328=ADVANCED_FACE('',(#8327),#8321,.T.); +#8329=AXIS2_PLACEMENT_3D('',#1330,#76,#80); +#8330=PLANE('',#8329); +#8331=ORIENTED_EDGE('',*,*,#18328,.F.); +#8332=ORIENTED_EDGE('',*,*,#18539,.T.); +#8333=ORIENTED_EDGE('',*,*,#18540,.T.); +#8334=ORIENTED_EDGE('',*,*,#18537,.F.); +#8335=EDGE_LOOP('',(#8331,#8332,#8333,#8334)); +#8336=FACE_OUTER_BOUND('',#8335,.F.); +#8337=ADVANCED_FACE('',(#8336),#8330,.T.); +#8338=AXIS2_PLACEMENT_3D('',#1328,#72,#76); +#8339=PLANE('',#8338); +#8340=ORIENTED_EDGE('',*,*,#18327,.F.); +#8341=ORIENTED_EDGE('',*,*,#18536,.T.); +#8342=ORIENTED_EDGE('',*,*,#18541,.T.); +#8343=ORIENTED_EDGE('',*,*,#18539,.F.); +#8344=EDGE_LOOP('',(#8340,#8341,#8342,#8343)); +#8345=FACE_OUTER_BOUND('',#8344,.F.); +#8346=ADVANCED_FACE('',(#8345),#8339,.T.); +#8347=ORIENTED_EDGE('',*,*,#18535,.F.); +#8348=ORIENTED_EDGE('',*,*,#18538,.F.); +#8349=ORIENTED_EDGE('',*,*,#18540,.F.); +#8350=ORIENTED_EDGE('',*,*,#18541,.F.); +#8351=EDGE_LOOP('',(#8347,#8348,#8349,#8350)); +#8352=FACE_OUTER_BOUND('',#8351,.F.); +#8353=ADVANCED_FACE('',(#8352),#7485,.T.); +#8354=AXIS2_PLACEMENT_3D('',#1334,#84,#72); +#8355=PLANE('',#8354); +#8356=ORIENTED_EDGE('',*,*,#18330,.F.); +#8357=ORIENTED_EDGE('',*,*,#18542,.T.); +#8358=ORIENTED_EDGE('',*,*,#18543,.T.); +#8359=ORIENTED_EDGE('',*,*,#18544,.F.); +#8360=EDGE_LOOP('',(#8356,#8357,#8358,#8359)); +#8361=FACE_OUTER_BOUND('',#8360,.F.); +#8362=ADVANCED_FACE('',(#8361),#8355,.T.); +#8363=AXIS2_PLACEMENT_3D('',#1340,#80,#84); +#8364=PLANE('',#8363); +#8365=ORIENTED_EDGE('',*,*,#18333,.F.); +#8366=ORIENTED_EDGE('',*,*,#18545,.T.); +#8367=ORIENTED_EDGE('',*,*,#18546,.T.); +#8368=ORIENTED_EDGE('',*,*,#18542,.F.); +#8369=EDGE_LOOP('',(#8365,#8366,#8367,#8368)); +#8370=FACE_OUTER_BOUND('',#8369,.F.); +#8371=ADVANCED_FACE('',(#8370),#8364,.T.); +#8372=AXIS2_PLACEMENT_3D('',#1338,#76,#80); +#8373=PLANE('',#8372); +#8374=ORIENTED_EDGE('',*,*,#18332,.F.); +#8375=ORIENTED_EDGE('',*,*,#18547,.T.); +#8376=ORIENTED_EDGE('',*,*,#18548,.T.); +#8377=ORIENTED_EDGE('',*,*,#18545,.F.); +#8378=EDGE_LOOP('',(#8374,#8375,#8376,#8377)); +#8379=FACE_OUTER_BOUND('',#8378,.F.); +#8380=ADVANCED_FACE('',(#8379),#8373,.T.); +#8381=AXIS2_PLACEMENT_3D('',#1336,#72,#76); +#8382=PLANE('',#8381); +#8383=ORIENTED_EDGE('',*,*,#18331,.F.); +#8384=ORIENTED_EDGE('',*,*,#18544,.T.); +#8385=ORIENTED_EDGE('',*,*,#18549,.T.); +#8386=ORIENTED_EDGE('',*,*,#18547,.F.); +#8387=EDGE_LOOP('',(#8383,#8384,#8385,#8386)); +#8388=FACE_OUTER_BOUND('',#8387,.F.); +#8389=ADVANCED_FACE('',(#8388),#8382,.T.); +#8390=ORIENTED_EDGE('',*,*,#18543,.F.); +#8391=ORIENTED_EDGE('',*,*,#18546,.F.); +#8392=ORIENTED_EDGE('',*,*,#18548,.F.); +#8393=ORIENTED_EDGE('',*,*,#18549,.F.); +#8394=EDGE_LOOP('',(#8390,#8391,#8392,#8393)); +#8395=FACE_OUTER_BOUND('',#8394,.F.); +#8396=ADVANCED_FACE('',(#8395),#7485,.T.); +#8397=AXIS2_PLACEMENT_3D('',#1342,#84,#72); +#8398=PLANE('',#8397); +#8399=ORIENTED_EDGE('',*,*,#18334,.F.); +#8400=ORIENTED_EDGE('',*,*,#18550,.T.); +#8401=ORIENTED_EDGE('',*,*,#18551,.T.); +#8402=ORIENTED_EDGE('',*,*,#18552,.F.); +#8403=EDGE_LOOP('',(#8399,#8400,#8401,#8402)); +#8404=FACE_OUTER_BOUND('',#8403,.F.); +#8405=ADVANCED_FACE('',(#8404),#8398,.T.); +#8406=AXIS2_PLACEMENT_3D('',#1348,#80,#84); +#8407=PLANE('',#8406); +#8408=ORIENTED_EDGE('',*,*,#18337,.F.); +#8409=ORIENTED_EDGE('',*,*,#18553,.T.); +#8410=ORIENTED_EDGE('',*,*,#18554,.T.); +#8411=ORIENTED_EDGE('',*,*,#18550,.F.); +#8412=EDGE_LOOP('',(#8408,#8409,#8410,#8411)); +#8413=FACE_OUTER_BOUND('',#8412,.F.); +#8414=ADVANCED_FACE('',(#8413),#8407,.T.); +#8415=AXIS2_PLACEMENT_3D('',#1346,#76,#80); +#8416=PLANE('',#8415); +#8417=ORIENTED_EDGE('',*,*,#18336,.F.); +#8418=ORIENTED_EDGE('',*,*,#18555,.T.); +#8419=ORIENTED_EDGE('',*,*,#18556,.T.); +#8420=ORIENTED_EDGE('',*,*,#18553,.F.); +#8421=EDGE_LOOP('',(#8417,#8418,#8419,#8420)); +#8422=FACE_OUTER_BOUND('',#8421,.F.); +#8423=ADVANCED_FACE('',(#8422),#8416,.T.); +#8424=AXIS2_PLACEMENT_3D('',#1344,#72,#76); +#8425=PLANE('',#8424); +#8426=ORIENTED_EDGE('',*,*,#18335,.F.); +#8427=ORIENTED_EDGE('',*,*,#18552,.T.); +#8428=ORIENTED_EDGE('',*,*,#18557,.T.); +#8429=ORIENTED_EDGE('',*,*,#18555,.F.); +#8430=EDGE_LOOP('',(#8426,#8427,#8428,#8429)); +#8431=FACE_OUTER_BOUND('',#8430,.F.); +#8432=ADVANCED_FACE('',(#8431),#8425,.T.); +#8433=ORIENTED_EDGE('',*,*,#18551,.F.); +#8434=ORIENTED_EDGE('',*,*,#18554,.F.); +#8435=ORIENTED_EDGE('',*,*,#18556,.F.); +#8436=ORIENTED_EDGE('',*,*,#18557,.F.); +#8437=EDGE_LOOP('',(#8433,#8434,#8435,#8436)); +#8438=FACE_OUTER_BOUND('',#8437,.F.); +#8439=ADVANCED_FACE('',(#8438),#7485,.T.); +#8440=AXIS2_PLACEMENT_3D('',#1350,#84,#72); +#8441=PLANE('',#8440); +#8442=ORIENTED_EDGE('',*,*,#18338,.F.); +#8443=ORIENTED_EDGE('',*,*,#18558,.T.); +#8444=ORIENTED_EDGE('',*,*,#18559,.T.); +#8445=ORIENTED_EDGE('',*,*,#18560,.F.); +#8446=EDGE_LOOP('',(#8442,#8443,#8444,#8445)); +#8447=FACE_OUTER_BOUND('',#8446,.F.); +#8448=ADVANCED_FACE('',(#8447),#8441,.T.); +#8449=AXIS2_PLACEMENT_3D('',#1356,#80,#84); +#8450=PLANE('',#8449); +#8451=ORIENTED_EDGE('',*,*,#18341,.F.); +#8452=ORIENTED_EDGE('',*,*,#18561,.T.); +#8453=ORIENTED_EDGE('',*,*,#18562,.T.); +#8454=ORIENTED_EDGE('',*,*,#18558,.F.); +#8455=EDGE_LOOP('',(#8451,#8452,#8453,#8454)); +#8456=FACE_OUTER_BOUND('',#8455,.F.); +#8457=ADVANCED_FACE('',(#8456),#8450,.T.); +#8458=AXIS2_PLACEMENT_3D('',#1354,#76,#80); +#8459=PLANE('',#8458); +#8460=ORIENTED_EDGE('',*,*,#18340,.F.); +#8461=ORIENTED_EDGE('',*,*,#18563,.T.); +#8462=ORIENTED_EDGE('',*,*,#18564,.T.); +#8463=ORIENTED_EDGE('',*,*,#18561,.F.); +#8464=EDGE_LOOP('',(#8460,#8461,#8462,#8463)); +#8465=FACE_OUTER_BOUND('',#8464,.F.); +#8466=ADVANCED_FACE('',(#8465),#8459,.T.); +#8467=AXIS2_PLACEMENT_3D('',#1352,#72,#76); +#8468=PLANE('',#8467); +#8469=ORIENTED_EDGE('',*,*,#18339,.F.); +#8470=ORIENTED_EDGE('',*,*,#18560,.T.); +#8471=ORIENTED_EDGE('',*,*,#18565,.T.); +#8472=ORIENTED_EDGE('',*,*,#18563,.F.); +#8473=EDGE_LOOP('',(#8469,#8470,#8471,#8472)); +#8474=FACE_OUTER_BOUND('',#8473,.F.); +#8475=ADVANCED_FACE('',(#8474),#8468,.T.); +#8476=ORIENTED_EDGE('',*,*,#18559,.F.); +#8477=ORIENTED_EDGE('',*,*,#18562,.F.); +#8478=ORIENTED_EDGE('',*,*,#18564,.F.); +#8479=ORIENTED_EDGE('',*,*,#18565,.F.); +#8480=EDGE_LOOP('',(#8476,#8477,#8478,#8479)); +#8481=FACE_OUTER_BOUND('',#8480,.F.); +#8482=ADVANCED_FACE('',(#8481),#7485,.T.); +#8483=AXIS2_PLACEMENT_3D('',#1358,#84,#72); +#8484=PLANE('',#8483); +#8485=ORIENTED_EDGE('',*,*,#18342,.F.); +#8486=ORIENTED_EDGE('',*,*,#18566,.T.); +#8487=ORIENTED_EDGE('',*,*,#18567,.T.); +#8488=ORIENTED_EDGE('',*,*,#18568,.F.); +#8489=EDGE_LOOP('',(#8485,#8486,#8487,#8488)); +#8490=FACE_OUTER_BOUND('',#8489,.F.); +#8491=ADVANCED_FACE('',(#8490),#8484,.T.); +#8492=AXIS2_PLACEMENT_3D('',#1364,#80,#84); +#8493=PLANE('',#8492); +#8494=ORIENTED_EDGE('',*,*,#18345,.F.); +#8495=ORIENTED_EDGE('',*,*,#18569,.T.); +#8496=ORIENTED_EDGE('',*,*,#18570,.T.); +#8497=ORIENTED_EDGE('',*,*,#18566,.F.); +#8498=EDGE_LOOP('',(#8494,#8495,#8496,#8497)); +#8499=FACE_OUTER_BOUND('',#8498,.F.); +#8500=ADVANCED_FACE('',(#8499),#8493,.T.); +#8501=AXIS2_PLACEMENT_3D('',#1362,#76,#80); +#8502=PLANE('',#8501); +#8503=ORIENTED_EDGE('',*,*,#18344,.F.); +#8504=ORIENTED_EDGE('',*,*,#18571,.T.); +#8505=ORIENTED_EDGE('',*,*,#18572,.T.); +#8506=ORIENTED_EDGE('',*,*,#18569,.F.); +#8507=EDGE_LOOP('',(#8503,#8504,#8505,#8506)); +#8508=FACE_OUTER_BOUND('',#8507,.F.); +#8509=ADVANCED_FACE('',(#8508),#8502,.T.); +#8510=AXIS2_PLACEMENT_3D('',#1360,#72,#76); +#8511=PLANE('',#8510); +#8512=ORIENTED_EDGE('',*,*,#18343,.F.); +#8513=ORIENTED_EDGE('',*,*,#18568,.T.); +#8514=ORIENTED_EDGE('',*,*,#18573,.T.); +#8515=ORIENTED_EDGE('',*,*,#18571,.F.); +#8516=EDGE_LOOP('',(#8512,#8513,#8514,#8515)); +#8517=FACE_OUTER_BOUND('',#8516,.F.); +#8518=ADVANCED_FACE('',(#8517),#8511,.T.); +#8519=ORIENTED_EDGE('',*,*,#18567,.F.); +#8520=ORIENTED_EDGE('',*,*,#18570,.F.); +#8521=ORIENTED_EDGE('',*,*,#18572,.F.); +#8522=ORIENTED_EDGE('',*,*,#18573,.F.); +#8523=EDGE_LOOP('',(#8519,#8520,#8521,#8522)); +#8524=FACE_OUTER_BOUND('',#8523,.F.); +#8525=ADVANCED_FACE('',(#8524),#7485,.T.); +#8526=AXIS2_PLACEMENT_3D('',#1366,#84,#72); +#8527=PLANE('',#8526); +#8528=ORIENTED_EDGE('',*,*,#18346,.F.); +#8529=ORIENTED_EDGE('',*,*,#18574,.T.); +#8530=ORIENTED_EDGE('',*,*,#18575,.T.); +#8531=ORIENTED_EDGE('',*,*,#18576,.F.); +#8532=EDGE_LOOP('',(#8528,#8529,#8530,#8531)); +#8533=FACE_OUTER_BOUND('',#8532,.F.); +#8534=ADVANCED_FACE('',(#8533),#8527,.T.); +#8535=AXIS2_PLACEMENT_3D('',#1372,#80,#84); +#8536=PLANE('',#8535); +#8537=ORIENTED_EDGE('',*,*,#18349,.F.); +#8538=ORIENTED_EDGE('',*,*,#18577,.T.); +#8539=ORIENTED_EDGE('',*,*,#18578,.T.); +#8540=ORIENTED_EDGE('',*,*,#18574,.F.); +#8541=EDGE_LOOP('',(#8537,#8538,#8539,#8540)); +#8542=FACE_OUTER_BOUND('',#8541,.F.); +#8543=ADVANCED_FACE('',(#8542),#8536,.T.); +#8544=AXIS2_PLACEMENT_3D('',#1370,#76,#80); +#8545=PLANE('',#8544); +#8546=ORIENTED_EDGE('',*,*,#18348,.F.); +#8547=ORIENTED_EDGE('',*,*,#18579,.T.); +#8548=ORIENTED_EDGE('',*,*,#18580,.T.); +#8549=ORIENTED_EDGE('',*,*,#18577,.F.); +#8550=EDGE_LOOP('',(#8546,#8547,#8548,#8549)); +#8551=FACE_OUTER_BOUND('',#8550,.F.); +#8552=ADVANCED_FACE('',(#8551),#8545,.T.); +#8553=AXIS2_PLACEMENT_3D('',#1368,#72,#76); +#8554=PLANE('',#8553); +#8555=ORIENTED_EDGE('',*,*,#18347,.F.); +#8556=ORIENTED_EDGE('',*,*,#18576,.T.); +#8557=ORIENTED_EDGE('',*,*,#18581,.T.); +#8558=ORIENTED_EDGE('',*,*,#18579,.F.); +#8559=EDGE_LOOP('',(#8555,#8556,#8557,#8558)); +#8560=FACE_OUTER_BOUND('',#8559,.F.); +#8561=ADVANCED_FACE('',(#8560),#8554,.T.); +#8562=ORIENTED_EDGE('',*,*,#18575,.F.); +#8563=ORIENTED_EDGE('',*,*,#18578,.F.); +#8564=ORIENTED_EDGE('',*,*,#18580,.F.); +#8565=ORIENTED_EDGE('',*,*,#18581,.F.); +#8566=EDGE_LOOP('',(#8562,#8563,#8564,#8565)); +#8567=FACE_OUTER_BOUND('',#8566,.F.); +#8568=ADVANCED_FACE('',(#8567),#7485,.T.); +#8569=AXIS2_PLACEMENT_3D('',#1374,#84,#72); +#8570=PLANE('',#8569); +#8571=ORIENTED_EDGE('',*,*,#18350,.F.); +#8572=ORIENTED_EDGE('',*,*,#18582,.T.); +#8573=ORIENTED_EDGE('',*,*,#18583,.T.); +#8574=ORIENTED_EDGE('',*,*,#18584,.F.); +#8575=EDGE_LOOP('',(#8571,#8572,#8573,#8574)); +#8576=FACE_OUTER_BOUND('',#8575,.F.); +#8577=ADVANCED_FACE('',(#8576),#8570,.T.); +#8578=AXIS2_PLACEMENT_3D('',#1380,#80,#84); +#8579=PLANE('',#8578); +#8580=ORIENTED_EDGE('',*,*,#18353,.F.); +#8581=ORIENTED_EDGE('',*,*,#18585,.T.); +#8582=ORIENTED_EDGE('',*,*,#18586,.T.); +#8583=ORIENTED_EDGE('',*,*,#18582,.F.); +#8584=EDGE_LOOP('',(#8580,#8581,#8582,#8583)); +#8585=FACE_OUTER_BOUND('',#8584,.F.); +#8586=ADVANCED_FACE('',(#8585),#8579,.T.); +#8587=AXIS2_PLACEMENT_3D('',#1378,#76,#80); +#8588=PLANE('',#8587); +#8589=ORIENTED_EDGE('',*,*,#18352,.F.); +#8590=ORIENTED_EDGE('',*,*,#18587,.T.); +#8591=ORIENTED_EDGE('',*,*,#18588,.T.); +#8592=ORIENTED_EDGE('',*,*,#18585,.F.); +#8593=EDGE_LOOP('',(#8589,#8590,#8591,#8592)); +#8594=FACE_OUTER_BOUND('',#8593,.F.); +#8595=ADVANCED_FACE('',(#8594),#8588,.T.); +#8596=AXIS2_PLACEMENT_3D('',#1376,#72,#76); +#8597=PLANE('',#8596); +#8598=ORIENTED_EDGE('',*,*,#18351,.F.); +#8599=ORIENTED_EDGE('',*,*,#18584,.T.); +#8600=ORIENTED_EDGE('',*,*,#18589,.T.); +#8601=ORIENTED_EDGE('',*,*,#18587,.F.); +#8602=EDGE_LOOP('',(#8598,#8599,#8600,#8601)); +#8603=FACE_OUTER_BOUND('',#8602,.F.); +#8604=ADVANCED_FACE('',(#8603),#8597,.T.); +#8605=ORIENTED_EDGE('',*,*,#18583,.F.); +#8606=ORIENTED_EDGE('',*,*,#18586,.F.); +#8607=ORIENTED_EDGE('',*,*,#18588,.F.); +#8608=ORIENTED_EDGE('',*,*,#18589,.F.); +#8609=EDGE_LOOP('',(#8605,#8606,#8607,#8608)); +#8610=FACE_OUTER_BOUND('',#8609,.F.); +#8611=ADVANCED_FACE('',(#8610),#7485,.T.); +#8612=AXIS2_PLACEMENT_3D('',#1382,#84,#72); +#8613=PLANE('',#8612); +#8614=ORIENTED_EDGE('',*,*,#18354,.F.); +#8615=ORIENTED_EDGE('',*,*,#18590,.T.); +#8616=ORIENTED_EDGE('',*,*,#18591,.T.); +#8617=ORIENTED_EDGE('',*,*,#18592,.F.); +#8618=EDGE_LOOP('',(#8614,#8615,#8616,#8617)); +#8619=FACE_OUTER_BOUND('',#8618,.F.); +#8620=ADVANCED_FACE('',(#8619),#8613,.T.); +#8621=AXIS2_PLACEMENT_3D('',#1388,#80,#84); +#8622=PLANE('',#8621); +#8623=ORIENTED_EDGE('',*,*,#18357,.F.); +#8624=ORIENTED_EDGE('',*,*,#18593,.T.); +#8625=ORIENTED_EDGE('',*,*,#18594,.T.); +#8626=ORIENTED_EDGE('',*,*,#18590,.F.); +#8627=EDGE_LOOP('',(#8623,#8624,#8625,#8626)); +#8628=FACE_OUTER_BOUND('',#8627,.F.); +#8629=ADVANCED_FACE('',(#8628),#8622,.T.); +#8630=AXIS2_PLACEMENT_3D('',#1386,#76,#80); +#8631=PLANE('',#8630); +#8632=ORIENTED_EDGE('',*,*,#18356,.F.); +#8633=ORIENTED_EDGE('',*,*,#18595,.T.); +#8634=ORIENTED_EDGE('',*,*,#18596,.T.); +#8635=ORIENTED_EDGE('',*,*,#18593,.F.); +#8636=EDGE_LOOP('',(#8632,#8633,#8634,#8635)); +#8637=FACE_OUTER_BOUND('',#8636,.F.); +#8638=ADVANCED_FACE('',(#8637),#8631,.T.); +#8639=AXIS2_PLACEMENT_3D('',#1384,#72,#76); +#8640=PLANE('',#8639); +#8641=ORIENTED_EDGE('',*,*,#18355,.F.); +#8642=ORIENTED_EDGE('',*,*,#18592,.T.); +#8643=ORIENTED_EDGE('',*,*,#18597,.T.); +#8644=ORIENTED_EDGE('',*,*,#18595,.F.); +#8645=EDGE_LOOP('',(#8641,#8642,#8643,#8644)); +#8646=FACE_OUTER_BOUND('',#8645,.F.); +#8647=ADVANCED_FACE('',(#8646),#8640,.T.); +#8648=ORIENTED_EDGE('',*,*,#18591,.F.); +#8649=ORIENTED_EDGE('',*,*,#18594,.F.); +#8650=ORIENTED_EDGE('',*,*,#18596,.F.); +#8651=ORIENTED_EDGE('',*,*,#18597,.F.); +#8652=EDGE_LOOP('',(#8648,#8649,#8650,#8651)); +#8653=FACE_OUTER_BOUND('',#8652,.F.); +#8654=ADVANCED_FACE('',(#8653),#7485,.T.); +#8655=AXIS2_PLACEMENT_3D('',#1390,#84,#72); +#8656=PLANE('',#8655); +#8657=ORIENTED_EDGE('',*,*,#18358,.F.); +#8658=ORIENTED_EDGE('',*,*,#18598,.T.); +#8659=ORIENTED_EDGE('',*,*,#18599,.T.); +#8660=ORIENTED_EDGE('',*,*,#18600,.F.); +#8661=EDGE_LOOP('',(#8657,#8658,#8659,#8660)); +#8662=FACE_OUTER_BOUND('',#8661,.F.); +#8663=ADVANCED_FACE('',(#8662),#8656,.T.); +#8664=AXIS2_PLACEMENT_3D('',#1396,#80,#84); +#8665=PLANE('',#8664); +#8666=ORIENTED_EDGE('',*,*,#18361,.F.); +#8667=ORIENTED_EDGE('',*,*,#18601,.T.); +#8668=ORIENTED_EDGE('',*,*,#18602,.T.); +#8669=ORIENTED_EDGE('',*,*,#18598,.F.); +#8670=EDGE_LOOP('',(#8666,#8667,#8668,#8669)); +#8671=FACE_OUTER_BOUND('',#8670,.F.); +#8672=ADVANCED_FACE('',(#8671),#8665,.T.); +#8673=AXIS2_PLACEMENT_3D('',#1394,#76,#80); +#8674=PLANE('',#8673); +#8675=ORIENTED_EDGE('',*,*,#18360,.F.); +#8676=ORIENTED_EDGE('',*,*,#18603,.T.); +#8677=ORIENTED_EDGE('',*,*,#18604,.T.); +#8678=ORIENTED_EDGE('',*,*,#18601,.F.); +#8679=EDGE_LOOP('',(#8675,#8676,#8677,#8678)); +#8680=FACE_OUTER_BOUND('',#8679,.F.); +#8681=ADVANCED_FACE('',(#8680),#8674,.T.); +#8682=AXIS2_PLACEMENT_3D('',#1392,#72,#76); +#8683=PLANE('',#8682); +#8684=ORIENTED_EDGE('',*,*,#18359,.F.); +#8685=ORIENTED_EDGE('',*,*,#18600,.T.); +#8686=ORIENTED_EDGE('',*,*,#18605,.T.); +#8687=ORIENTED_EDGE('',*,*,#18603,.F.); +#8688=EDGE_LOOP('',(#8684,#8685,#8686,#8687)); +#8689=FACE_OUTER_BOUND('',#8688,.F.); +#8690=ADVANCED_FACE('',(#8689),#8683,.T.); +#8691=ORIENTED_EDGE('',*,*,#18599,.F.); +#8692=ORIENTED_EDGE('',*,*,#18602,.F.); +#8693=ORIENTED_EDGE('',*,*,#18604,.F.); +#8694=ORIENTED_EDGE('',*,*,#18605,.F.); +#8695=EDGE_LOOP('',(#8691,#8692,#8693,#8694)); +#8696=FACE_OUTER_BOUND('',#8695,.F.); +#8697=ADVANCED_FACE('',(#8696),#7485,.T.); +#8698=AXIS2_PLACEMENT_3D('',#1398,#84,#72); +#8699=PLANE('',#8698); +#8700=ORIENTED_EDGE('',*,*,#18362,.F.); +#8701=ORIENTED_EDGE('',*,*,#18606,.T.); +#8702=ORIENTED_EDGE('',*,*,#18607,.T.); +#8703=ORIENTED_EDGE('',*,*,#18608,.F.); +#8704=EDGE_LOOP('',(#8700,#8701,#8702,#8703)); +#8705=FACE_OUTER_BOUND('',#8704,.F.); +#8706=ADVANCED_FACE('',(#8705),#8699,.T.); +#8707=AXIS2_PLACEMENT_3D('',#1404,#80,#84); +#8708=PLANE('',#8707); +#8709=ORIENTED_EDGE('',*,*,#18365,.F.); +#8710=ORIENTED_EDGE('',*,*,#18609,.T.); +#8711=ORIENTED_EDGE('',*,*,#18610,.T.); +#8712=ORIENTED_EDGE('',*,*,#18606,.F.); +#8713=EDGE_LOOP('',(#8709,#8710,#8711,#8712)); +#8714=FACE_OUTER_BOUND('',#8713,.F.); +#8715=ADVANCED_FACE('',(#8714),#8708,.T.); +#8716=AXIS2_PLACEMENT_3D('',#1402,#76,#80); +#8717=PLANE('',#8716); +#8718=ORIENTED_EDGE('',*,*,#18364,.F.); +#8719=ORIENTED_EDGE('',*,*,#18611,.T.); +#8720=ORIENTED_EDGE('',*,*,#18612,.T.); +#8721=ORIENTED_EDGE('',*,*,#18609,.F.); +#8722=EDGE_LOOP('',(#8718,#8719,#8720,#8721)); +#8723=FACE_OUTER_BOUND('',#8722,.F.); +#8724=ADVANCED_FACE('',(#8723),#8717,.T.); +#8725=AXIS2_PLACEMENT_3D('',#1400,#72,#76); +#8726=PLANE('',#8725); +#8727=ORIENTED_EDGE('',*,*,#18363,.F.); +#8728=ORIENTED_EDGE('',*,*,#18608,.T.); +#8729=ORIENTED_EDGE('',*,*,#18613,.T.); +#8730=ORIENTED_EDGE('',*,*,#18611,.F.); +#8731=EDGE_LOOP('',(#8727,#8728,#8729,#8730)); +#8732=FACE_OUTER_BOUND('',#8731,.F.); +#8733=ADVANCED_FACE('',(#8732),#8726,.T.); +#8734=ORIENTED_EDGE('',*,*,#18607,.F.); +#8735=ORIENTED_EDGE('',*,*,#18610,.F.); +#8736=ORIENTED_EDGE('',*,*,#18612,.F.); +#8737=ORIENTED_EDGE('',*,*,#18613,.F.); +#8738=EDGE_LOOP('',(#8734,#8735,#8736,#8737)); +#8739=FACE_OUTER_BOUND('',#8738,.F.); +#8740=ADVANCED_FACE('',(#8739),#7485,.T.); +#8741=AXIS2_PLACEMENT_3D('',#1406,#84,#72); +#8742=PLANE('',#8741); +#8743=ORIENTED_EDGE('',*,*,#18366,.F.); +#8744=ORIENTED_EDGE('',*,*,#18614,.T.); +#8745=ORIENTED_EDGE('',*,*,#18615,.T.); +#8746=ORIENTED_EDGE('',*,*,#18616,.F.); +#8747=EDGE_LOOP('',(#8743,#8744,#8745,#8746)); +#8748=FACE_OUTER_BOUND('',#8747,.F.); +#8749=ADVANCED_FACE('',(#8748),#8742,.T.); +#8750=AXIS2_PLACEMENT_3D('',#1412,#80,#84); +#8751=PLANE('',#8750); +#8752=ORIENTED_EDGE('',*,*,#18369,.F.); +#8753=ORIENTED_EDGE('',*,*,#18617,.T.); +#8754=ORIENTED_EDGE('',*,*,#18618,.T.); +#8755=ORIENTED_EDGE('',*,*,#18614,.F.); +#8756=EDGE_LOOP('',(#8752,#8753,#8754,#8755)); +#8757=FACE_OUTER_BOUND('',#8756,.F.); +#8758=ADVANCED_FACE('',(#8757),#8751,.T.); +#8759=AXIS2_PLACEMENT_3D('',#1410,#76,#80); +#8760=PLANE('',#8759); +#8761=ORIENTED_EDGE('',*,*,#18368,.F.); +#8762=ORIENTED_EDGE('',*,*,#18619,.T.); +#8763=ORIENTED_EDGE('',*,*,#18620,.T.); +#8764=ORIENTED_EDGE('',*,*,#18617,.F.); +#8765=EDGE_LOOP('',(#8761,#8762,#8763,#8764)); +#8766=FACE_OUTER_BOUND('',#8765,.F.); +#8767=ADVANCED_FACE('',(#8766),#8760,.T.); +#8768=AXIS2_PLACEMENT_3D('',#1408,#72,#76); +#8769=PLANE('',#8768); +#8770=ORIENTED_EDGE('',*,*,#18367,.F.); +#8771=ORIENTED_EDGE('',*,*,#18616,.T.); +#8772=ORIENTED_EDGE('',*,*,#18621,.T.); +#8773=ORIENTED_EDGE('',*,*,#18619,.F.); +#8774=EDGE_LOOP('',(#8770,#8771,#8772,#8773)); +#8775=FACE_OUTER_BOUND('',#8774,.F.); +#8776=ADVANCED_FACE('',(#8775),#8769,.T.); +#8777=ORIENTED_EDGE('',*,*,#18615,.F.); +#8778=ORIENTED_EDGE('',*,*,#18618,.F.); +#8779=ORIENTED_EDGE('',*,*,#18620,.F.); +#8780=ORIENTED_EDGE('',*,*,#18621,.F.); +#8781=EDGE_LOOP('',(#8777,#8778,#8779,#8780)); +#8782=FACE_OUTER_BOUND('',#8781,.F.); +#8783=ADVANCED_FACE('',(#8782),#7485,.T.); +#8784=AXIS2_PLACEMENT_3D('',#1414,#84,#72); +#8785=PLANE('',#8784); +#8786=ORIENTED_EDGE('',*,*,#18370,.F.); +#8787=ORIENTED_EDGE('',*,*,#18622,.T.); +#8788=ORIENTED_EDGE('',*,*,#18623,.T.); +#8789=ORIENTED_EDGE('',*,*,#18624,.F.); +#8790=EDGE_LOOP('',(#8786,#8787,#8788,#8789)); +#8791=FACE_OUTER_BOUND('',#8790,.F.); +#8792=ADVANCED_FACE('',(#8791),#8785,.T.); +#8793=AXIS2_PLACEMENT_3D('',#1420,#80,#84); +#8794=PLANE('',#8793); +#8795=ORIENTED_EDGE('',*,*,#18373,.F.); +#8796=ORIENTED_EDGE('',*,*,#18625,.T.); +#8797=ORIENTED_EDGE('',*,*,#18626,.T.); +#8798=ORIENTED_EDGE('',*,*,#18622,.F.); +#8799=EDGE_LOOP('',(#8795,#8796,#8797,#8798)); +#8800=FACE_OUTER_BOUND('',#8799,.F.); +#8801=ADVANCED_FACE('',(#8800),#8794,.T.); +#8802=AXIS2_PLACEMENT_3D('',#1418,#76,#80); +#8803=PLANE('',#8802); +#8804=ORIENTED_EDGE('',*,*,#18372,.F.); +#8805=ORIENTED_EDGE('',*,*,#18627,.T.); +#8806=ORIENTED_EDGE('',*,*,#18628,.T.); +#8807=ORIENTED_EDGE('',*,*,#18625,.F.); +#8808=EDGE_LOOP('',(#8804,#8805,#8806,#8807)); +#8809=FACE_OUTER_BOUND('',#8808,.F.); +#8810=ADVANCED_FACE('',(#8809),#8803,.T.); +#8811=AXIS2_PLACEMENT_3D('',#1416,#72,#76); +#8812=PLANE('',#8811); +#8813=ORIENTED_EDGE('',*,*,#18371,.F.); +#8814=ORIENTED_EDGE('',*,*,#18624,.T.); +#8815=ORIENTED_EDGE('',*,*,#18629,.T.); +#8816=ORIENTED_EDGE('',*,*,#18627,.F.); +#8817=EDGE_LOOP('',(#8813,#8814,#8815,#8816)); +#8818=FACE_OUTER_BOUND('',#8817,.F.); +#8819=ADVANCED_FACE('',(#8818),#8812,.T.); +#8820=ORIENTED_EDGE('',*,*,#18623,.F.); +#8821=ORIENTED_EDGE('',*,*,#18626,.F.); +#8822=ORIENTED_EDGE('',*,*,#18628,.F.); +#8823=ORIENTED_EDGE('',*,*,#18629,.F.); +#8824=EDGE_LOOP('',(#8820,#8821,#8822,#8823)); +#8825=FACE_OUTER_BOUND('',#8824,.F.); +#8826=ADVANCED_FACE('',(#8825),#7485,.T.); +#8827=CARTESIAN_POINT('',(-1.873E1,4.13E0,-1.055E1)); +#8828=AXIS2_PLACEMENT_3D('',#8827,#84,#72); +#8829=PLANE('',#8828); +#8830=ORIENTED_EDGE('',*,*,#18150,.T.); +#8831=ORIENTED_EDGE('',*,*,#18630,.T.); +#8832=ORIENTED_EDGE('',*,*,#18631,.T.); +#8833=ORIENTED_EDGE('',*,*,#18632,.F.); +#8834=ORIENTED_EDGE('',*,*,#18151,.F.); +#8835=EDGE_LOOP('',(#8830,#8831,#8832,#8833,#8834)); +#8836=FACE_OUTER_BOUND('',#8835,.F.); +#8837=ADVANCED_FACE('',(#8836),#8829,.T.); +#8838=CARTESIAN_POINT('',(-1.937E1,4.13E0,-1.055E1)); +#8839=AXIS2_PLACEMENT_3D('',#8838,#80,#84); +#8840=PLANE('',#8839); +#8841=ORIENTED_EDGE('',*,*,#18149,.F.); +#8842=ORIENTED_EDGE('',*,*,#18633,.T.); +#8843=ORIENTED_EDGE('',*,*,#18634,.T.); +#8844=ORIENTED_EDGE('',*,*,#18630,.F.); +#8845=EDGE_LOOP('',(#8841,#8842,#8843,#8844)); +#8846=FACE_OUTER_BOUND('',#8845,.F.); +#8847=ADVANCED_FACE('',(#8846),#8840,.T.); +#8848=AXIS2_PLACEMENT_3D('',#1970,#76,#80); +#8849=PLANE('',#8848); +#8850=ORIENTED_EDGE('',*,*,#18148,.F.); +#8851=ORIENTED_EDGE('',*,*,#18153,.T.); +#8852=ORIENTED_EDGE('',*,*,#18635,.T.); +#8853=ORIENTED_EDGE('',*,*,#18636,.T.); +#8854=ORIENTED_EDGE('',*,*,#18633,.F.); +#8855=EDGE_LOOP('',(#8850,#8851,#8852,#8853,#8854)); +#8856=FACE_OUTER_BOUND('',#8855,.F.); +#8857=ADVANCED_FACE('',(#8856),#8849,.T.); +#8858=AXIS2_PLACEMENT_3D('',#1424,#72,#76); +#8859=PLANE('',#8858); +#8860=ORIENTED_EDGE('',*,*,#18152,.F.); +#8861=ORIENTED_EDGE('',*,*,#18632,.T.); +#8862=ORIENTED_EDGE('',*,*,#18637,.T.); +#8863=ORIENTED_EDGE('',*,*,#18635,.F.); +#8864=EDGE_LOOP('',(#8860,#8861,#8862,#8863)); +#8865=FACE_OUTER_BOUND('',#8864,.F.); +#8866=ADVANCED_FACE('',(#8865),#8859,.T.); +#8867=ORIENTED_EDGE('',*,*,#18631,.F.); +#8868=ORIENTED_EDGE('',*,*,#18634,.F.); +#8869=ORIENTED_EDGE('',*,*,#18636,.F.); +#8870=ORIENTED_EDGE('',*,*,#18637,.F.); +#8871=EDGE_LOOP('',(#8867,#8868,#8869,#8870)); +#8872=FACE_OUTER_BOUND('',#8871,.F.); +#8873=ADVANCED_FACE('',(#8872),#7485,.T.); +#8874=CARTESIAN_POINT('',(-2.127E1,4.13E0,-1.055E1)); +#8875=AXIS2_PLACEMENT_3D('',#8874,#84,#72); +#8876=PLANE('',#8875); +#8877=ORIENTED_EDGE('',*,*,#18146,.T.); +#8878=ORIENTED_EDGE('',*,*,#18638,.T.); +#8879=ORIENTED_EDGE('',*,*,#18639,.T.); +#8880=ORIENTED_EDGE('',*,*,#18640,.F.); +#8881=ORIENTED_EDGE('',*,*,#18154,.F.); +#8882=EDGE_LOOP('',(#8877,#8878,#8879,#8880,#8881)); +#8883=FACE_OUTER_BOUND('',#8882,.F.); +#8884=ADVANCED_FACE('',(#8883),#8876,.T.); +#8885=CARTESIAN_POINT('',(-2.191E1,4.13E0,-1.055E1)); +#8886=AXIS2_PLACEMENT_3D('',#8885,#80,#84); +#8887=PLANE('',#8886); +#8888=ORIENTED_EDGE('',*,*,#18145,.F.); +#8889=ORIENTED_EDGE('',*,*,#18641,.T.); +#8890=ORIENTED_EDGE('',*,*,#18642,.T.); +#8891=ORIENTED_EDGE('',*,*,#18638,.F.); +#8892=EDGE_LOOP('',(#8888,#8889,#8890,#8891)); +#8893=FACE_OUTER_BOUND('',#8892,.F.); +#8894=ADVANCED_FACE('',(#8893),#8887,.T.); +#8895=AXIS2_PLACEMENT_3D('',#1984,#76,#80); +#8896=PLANE('',#8895); +#8897=ORIENTED_EDGE('',*,*,#18144,.F.); +#8898=ORIENTED_EDGE('',*,*,#18156,.T.); +#8899=ORIENTED_EDGE('',*,*,#18643,.T.); +#8900=ORIENTED_EDGE('',*,*,#18644,.T.); +#8901=ORIENTED_EDGE('',*,*,#18641,.F.); +#8902=EDGE_LOOP('',(#8897,#8898,#8899,#8900,#8901)); +#8903=FACE_OUTER_BOUND('',#8902,.F.); +#8904=ADVANCED_FACE('',(#8903),#8896,.T.); +#8905=AXIS2_PLACEMENT_3D('',#1430,#72,#76); +#8906=PLANE('',#8905); +#8907=ORIENTED_EDGE('',*,*,#18155,.F.); +#8908=ORIENTED_EDGE('',*,*,#18640,.T.); +#8909=ORIENTED_EDGE('',*,*,#18645,.T.); +#8910=ORIENTED_EDGE('',*,*,#18643,.F.); +#8911=EDGE_LOOP('',(#8907,#8908,#8909,#8910)); +#8912=FACE_OUTER_BOUND('',#8911,.F.); +#8913=ADVANCED_FACE('',(#8912),#8906,.T.); +#8914=ORIENTED_EDGE('',*,*,#18639,.F.); +#8915=ORIENTED_EDGE('',*,*,#18642,.F.); +#8916=ORIENTED_EDGE('',*,*,#18644,.F.); +#8917=ORIENTED_EDGE('',*,*,#18645,.F.); +#8918=EDGE_LOOP('',(#8914,#8915,#8916,#8917)); +#8919=FACE_OUTER_BOUND('',#8918,.F.); +#8920=ADVANCED_FACE('',(#8919),#7485,.T.); +#8921=CARTESIAN_POINT('',(-2.381E1,4.13E0,-1.055E1)); +#8922=AXIS2_PLACEMENT_3D('',#8921,#84,#72); +#8923=PLANE('',#8922); +#8924=ORIENTED_EDGE('',*,*,#18142,.T.); +#8925=ORIENTED_EDGE('',*,*,#18646,.T.); +#8926=ORIENTED_EDGE('',*,*,#18647,.T.); +#8927=ORIENTED_EDGE('',*,*,#18648,.F.); +#8928=ORIENTED_EDGE('',*,*,#18157,.F.); +#8929=EDGE_LOOP('',(#8924,#8925,#8926,#8927,#8928)); +#8930=FACE_OUTER_BOUND('',#8929,.F.); +#8931=ADVANCED_FACE('',(#8930),#8923,.T.); +#8932=CARTESIAN_POINT('',(-2.445E1,4.13E0,-1.055E1)); +#8933=AXIS2_PLACEMENT_3D('',#8932,#80,#84); +#8934=PLANE('',#8933); +#8935=ORIENTED_EDGE('',*,*,#18141,.F.); +#8936=ORIENTED_EDGE('',*,*,#18649,.T.); +#8937=ORIENTED_EDGE('',*,*,#18650,.T.); +#8938=ORIENTED_EDGE('',*,*,#18646,.F.); +#8939=EDGE_LOOP('',(#8935,#8936,#8937,#8938)); +#8940=FACE_OUTER_BOUND('',#8939,.F.); +#8941=ADVANCED_FACE('',(#8940),#8934,.T.); +#8942=AXIS2_PLACEMENT_3D('',#1998,#76,#80); +#8943=PLANE('',#8942); +#8944=ORIENTED_EDGE('',*,*,#18140,.F.); +#8945=ORIENTED_EDGE('',*,*,#18159,.T.); +#8946=ORIENTED_EDGE('',*,*,#18651,.T.); +#8947=ORIENTED_EDGE('',*,*,#18652,.T.); +#8948=ORIENTED_EDGE('',*,*,#18649,.F.); +#8949=EDGE_LOOP('',(#8944,#8945,#8946,#8947,#8948)); +#8950=FACE_OUTER_BOUND('',#8949,.F.); +#8951=ADVANCED_FACE('',(#8950),#8943,.T.); +#8952=AXIS2_PLACEMENT_3D('',#1435,#72,#76); +#8953=PLANE('',#8952); +#8954=ORIENTED_EDGE('',*,*,#18158,.F.); +#8955=ORIENTED_EDGE('',*,*,#18648,.T.); +#8956=ORIENTED_EDGE('',*,*,#18653,.T.); +#8957=ORIENTED_EDGE('',*,*,#18651,.F.); +#8958=EDGE_LOOP('',(#8954,#8955,#8956,#8957)); +#8959=FACE_OUTER_BOUND('',#8958,.F.); +#8960=ADVANCED_FACE('',(#8959),#8953,.T.); +#8961=ORIENTED_EDGE('',*,*,#18647,.F.); +#8962=ORIENTED_EDGE('',*,*,#18650,.F.); +#8963=ORIENTED_EDGE('',*,*,#18652,.F.); +#8964=ORIENTED_EDGE('',*,*,#18653,.F.); +#8965=EDGE_LOOP('',(#8961,#8962,#8963,#8964)); +#8966=FACE_OUTER_BOUND('',#8965,.F.); +#8967=ADVANCED_FACE('',(#8966),#7485,.T.); +#8968=CARTESIAN_POINT('',(-2.635E1,4.13E0,-1.055E1)); +#8969=AXIS2_PLACEMENT_3D('',#8968,#84,#72); +#8970=PLANE('',#8969); +#8971=ORIENTED_EDGE('',*,*,#18138,.T.); +#8972=ORIENTED_EDGE('',*,*,#18654,.T.); +#8973=ORIENTED_EDGE('',*,*,#18655,.T.); +#8974=ORIENTED_EDGE('',*,*,#18656,.F.); +#8975=ORIENTED_EDGE('',*,*,#18160,.F.); +#8976=EDGE_LOOP('',(#8971,#8972,#8973,#8974,#8975)); +#8977=FACE_OUTER_BOUND('',#8976,.F.); +#8978=ADVANCED_FACE('',(#8977),#8970,.T.); +#8979=CARTESIAN_POINT('',(-2.699E1,4.13E0,-1.055E1)); +#8980=AXIS2_PLACEMENT_3D('',#8979,#80,#84); +#8981=PLANE('',#8980); +#8982=ORIENTED_EDGE('',*,*,#18137,.F.); +#8983=ORIENTED_EDGE('',*,*,#18657,.T.); +#8984=ORIENTED_EDGE('',*,*,#18658,.T.); +#8985=ORIENTED_EDGE('',*,*,#18654,.F.); +#8986=EDGE_LOOP('',(#8982,#8983,#8984,#8985)); +#8987=FACE_OUTER_BOUND('',#8986,.F.); +#8988=ADVANCED_FACE('',(#8987),#8981,.T.); +#8989=AXIS2_PLACEMENT_3D('',#2012,#76,#80); +#8990=PLANE('',#8989); +#8991=ORIENTED_EDGE('',*,*,#18136,.F.); +#8992=ORIENTED_EDGE('',*,*,#18162,.T.); +#8993=ORIENTED_EDGE('',*,*,#18659,.T.); +#8994=ORIENTED_EDGE('',*,*,#18660,.T.); +#8995=ORIENTED_EDGE('',*,*,#18657,.F.); +#8996=EDGE_LOOP('',(#8991,#8992,#8993,#8994,#8995)); +#8997=FACE_OUTER_BOUND('',#8996,.F.); +#8998=ADVANCED_FACE('',(#8997),#8990,.T.); +#8999=AXIS2_PLACEMENT_3D('',#1440,#72,#76); +#9000=PLANE('',#8999); +#9001=ORIENTED_EDGE('',*,*,#18161,.F.); +#9002=ORIENTED_EDGE('',*,*,#18656,.T.); +#9003=ORIENTED_EDGE('',*,*,#18661,.T.); +#9004=ORIENTED_EDGE('',*,*,#18659,.F.); +#9005=EDGE_LOOP('',(#9001,#9002,#9003,#9004)); +#9006=FACE_OUTER_BOUND('',#9005,.F.); +#9007=ADVANCED_FACE('',(#9006),#9000,.T.); +#9008=ORIENTED_EDGE('',*,*,#18655,.F.); +#9009=ORIENTED_EDGE('',*,*,#18658,.F.); +#9010=ORIENTED_EDGE('',*,*,#18660,.F.); +#9011=ORIENTED_EDGE('',*,*,#18661,.F.); +#9012=EDGE_LOOP('',(#9008,#9009,#9010,#9011)); +#9013=FACE_OUTER_BOUND('',#9012,.F.); +#9014=ADVANCED_FACE('',(#9013),#7485,.T.); +#9015=CARTESIAN_POINT('',(-2.889E1,4.13E0,-1.055E1)); +#9016=AXIS2_PLACEMENT_3D('',#9015,#84,#72); +#9017=PLANE('',#9016); +#9018=ORIENTED_EDGE('',*,*,#18134,.T.); +#9019=ORIENTED_EDGE('',*,*,#18662,.T.); +#9020=ORIENTED_EDGE('',*,*,#18663,.T.); +#9021=ORIENTED_EDGE('',*,*,#18664,.F.); +#9022=ORIENTED_EDGE('',*,*,#18163,.F.); +#9023=EDGE_LOOP('',(#9018,#9019,#9020,#9021,#9022)); +#9024=FACE_OUTER_BOUND('',#9023,.F.); +#9025=ADVANCED_FACE('',(#9024),#9017,.T.); +#9026=CARTESIAN_POINT('',(-2.953E1,4.13E0,-1.055E1)); +#9027=AXIS2_PLACEMENT_3D('',#9026,#80,#84); +#9028=PLANE('',#9027); +#9029=ORIENTED_EDGE('',*,*,#18133,.F.); +#9030=ORIENTED_EDGE('',*,*,#18665,.T.); +#9031=ORIENTED_EDGE('',*,*,#18666,.T.); +#9032=ORIENTED_EDGE('',*,*,#18662,.F.); +#9033=EDGE_LOOP('',(#9029,#9030,#9031,#9032)); +#9034=FACE_OUTER_BOUND('',#9033,.F.); +#9035=ADVANCED_FACE('',(#9034),#9028,.T.); +#9036=AXIS2_PLACEMENT_3D('',#2026,#76,#80); +#9037=PLANE('',#9036); +#9038=ORIENTED_EDGE('',*,*,#18132,.F.); +#9039=ORIENTED_EDGE('',*,*,#18165,.T.); +#9040=ORIENTED_EDGE('',*,*,#18667,.T.); +#9041=ORIENTED_EDGE('',*,*,#18668,.T.); +#9042=ORIENTED_EDGE('',*,*,#18665,.F.); +#9043=EDGE_LOOP('',(#9038,#9039,#9040,#9041,#9042)); +#9044=FACE_OUTER_BOUND('',#9043,.F.); +#9045=ADVANCED_FACE('',(#9044),#9037,.T.); +#9046=AXIS2_PLACEMENT_3D('',#1445,#72,#76); +#9047=PLANE('',#9046); +#9048=ORIENTED_EDGE('',*,*,#18164,.F.); +#9049=ORIENTED_EDGE('',*,*,#18664,.T.); +#9050=ORIENTED_EDGE('',*,*,#18669,.T.); +#9051=ORIENTED_EDGE('',*,*,#18667,.F.); +#9052=EDGE_LOOP('',(#9048,#9049,#9050,#9051)); +#9053=FACE_OUTER_BOUND('',#9052,.F.); +#9054=ADVANCED_FACE('',(#9053),#9047,.T.); +#9055=ORIENTED_EDGE('',*,*,#18663,.F.); +#9056=ORIENTED_EDGE('',*,*,#18666,.F.); +#9057=ORIENTED_EDGE('',*,*,#18668,.F.); +#9058=ORIENTED_EDGE('',*,*,#18669,.F.); +#9059=EDGE_LOOP('',(#9055,#9056,#9057,#9058)); +#9060=FACE_OUTER_BOUND('',#9059,.F.); +#9061=ADVANCED_FACE('',(#9060),#7485,.T.); +#9062=CARTESIAN_POINT('',(-3.143E1,4.13E0,-1.055E1)); +#9063=AXIS2_PLACEMENT_3D('',#9062,#84,#72); +#9064=PLANE('',#9063); +#9065=ORIENTED_EDGE('',*,*,#18130,.T.); +#9066=ORIENTED_EDGE('',*,*,#18670,.T.); +#9067=ORIENTED_EDGE('',*,*,#18671,.T.); +#9068=ORIENTED_EDGE('',*,*,#18672,.F.); +#9069=ORIENTED_EDGE('',*,*,#18166,.F.); +#9070=EDGE_LOOP('',(#9065,#9066,#9067,#9068,#9069)); +#9071=FACE_OUTER_BOUND('',#9070,.F.); +#9072=ADVANCED_FACE('',(#9071),#9064,.T.); +#9073=CARTESIAN_POINT('',(-3.207E1,4.13E0,-1.055E1)); +#9074=AXIS2_PLACEMENT_3D('',#9073,#80,#84); +#9075=PLANE('',#9074); +#9076=ORIENTED_EDGE('',*,*,#18129,.F.); +#9077=ORIENTED_EDGE('',*,*,#18673,.T.); +#9078=ORIENTED_EDGE('',*,*,#18674,.T.); +#9079=ORIENTED_EDGE('',*,*,#18670,.F.); +#9080=EDGE_LOOP('',(#9076,#9077,#9078,#9079)); +#9081=FACE_OUTER_BOUND('',#9080,.F.); +#9082=ADVANCED_FACE('',(#9081),#9075,.T.); +#9083=AXIS2_PLACEMENT_3D('',#2040,#76,#80); +#9084=PLANE('',#9083); +#9085=ORIENTED_EDGE('',*,*,#18128,.F.); +#9086=ORIENTED_EDGE('',*,*,#18168,.T.); +#9087=ORIENTED_EDGE('',*,*,#18675,.T.); +#9088=ORIENTED_EDGE('',*,*,#18676,.T.); +#9089=ORIENTED_EDGE('',*,*,#18673,.F.); +#9090=EDGE_LOOP('',(#9085,#9086,#9087,#9088,#9089)); +#9091=FACE_OUTER_BOUND('',#9090,.F.); +#9092=ADVANCED_FACE('',(#9091),#9084,.T.); +#9093=AXIS2_PLACEMENT_3D('',#1450,#72,#76); +#9094=PLANE('',#9093); +#9095=ORIENTED_EDGE('',*,*,#18167,.F.); +#9096=ORIENTED_EDGE('',*,*,#18672,.T.); +#9097=ORIENTED_EDGE('',*,*,#18677,.T.); +#9098=ORIENTED_EDGE('',*,*,#18675,.F.); +#9099=EDGE_LOOP('',(#9095,#9096,#9097,#9098)); +#9100=FACE_OUTER_BOUND('',#9099,.F.); +#9101=ADVANCED_FACE('',(#9100),#9094,.T.); +#9102=ORIENTED_EDGE('',*,*,#18671,.F.); +#9103=ORIENTED_EDGE('',*,*,#18674,.F.); +#9104=ORIENTED_EDGE('',*,*,#18676,.F.); +#9105=ORIENTED_EDGE('',*,*,#18677,.F.); +#9106=EDGE_LOOP('',(#9102,#9103,#9104,#9105)); +#9107=FACE_OUTER_BOUND('',#9106,.F.); +#9108=ADVANCED_FACE('',(#9107),#7485,.T.); +#9109=CARTESIAN_POINT('',(-3.397E1,4.13E0,-1.055E1)); +#9110=AXIS2_PLACEMENT_3D('',#9109,#84,#72); +#9111=PLANE('',#9110); +#9112=ORIENTED_EDGE('',*,*,#18126,.T.); +#9113=ORIENTED_EDGE('',*,*,#18678,.T.); +#9114=ORIENTED_EDGE('',*,*,#18679,.T.); +#9115=ORIENTED_EDGE('',*,*,#18680,.F.); +#9116=ORIENTED_EDGE('',*,*,#18169,.F.); +#9117=EDGE_LOOP('',(#9112,#9113,#9114,#9115,#9116)); +#9118=FACE_OUTER_BOUND('',#9117,.F.); +#9119=ADVANCED_FACE('',(#9118),#9111,.T.); +#9120=CARTESIAN_POINT('',(-3.461E1,4.13E0,-1.055E1)); +#9121=AXIS2_PLACEMENT_3D('',#9120,#80,#84); +#9122=PLANE('',#9121); +#9123=ORIENTED_EDGE('',*,*,#18125,.F.); +#9124=ORIENTED_EDGE('',*,*,#18681,.T.); +#9125=ORIENTED_EDGE('',*,*,#18682,.T.); +#9126=ORIENTED_EDGE('',*,*,#18678,.F.); +#9127=EDGE_LOOP('',(#9123,#9124,#9125,#9126)); +#9128=FACE_OUTER_BOUND('',#9127,.F.); +#9129=ADVANCED_FACE('',(#9128),#9122,.T.); +#9130=AXIS2_PLACEMENT_3D('',#2054,#76,#80); +#9131=PLANE('',#9130); +#9132=ORIENTED_EDGE('',*,*,#18124,.F.); +#9133=ORIENTED_EDGE('',*,*,#18171,.T.); +#9134=ORIENTED_EDGE('',*,*,#18683,.T.); +#9135=ORIENTED_EDGE('',*,*,#18684,.T.); +#9136=ORIENTED_EDGE('',*,*,#18681,.F.); +#9137=EDGE_LOOP('',(#9132,#9133,#9134,#9135,#9136)); +#9138=FACE_OUTER_BOUND('',#9137,.F.); +#9139=ADVANCED_FACE('',(#9138),#9131,.T.); +#9140=AXIS2_PLACEMENT_3D('',#1455,#72,#76); +#9141=PLANE('',#9140); +#9142=ORIENTED_EDGE('',*,*,#18170,.F.); +#9143=ORIENTED_EDGE('',*,*,#18680,.T.); +#9144=ORIENTED_EDGE('',*,*,#18685,.T.); +#9145=ORIENTED_EDGE('',*,*,#18683,.F.); +#9146=EDGE_LOOP('',(#9142,#9143,#9144,#9145)); +#9147=FACE_OUTER_BOUND('',#9146,.F.); +#9148=ADVANCED_FACE('',(#9147),#9141,.T.); +#9149=ORIENTED_EDGE('',*,*,#18679,.F.); +#9150=ORIENTED_EDGE('',*,*,#18682,.F.); +#9151=ORIENTED_EDGE('',*,*,#18684,.F.); +#9152=ORIENTED_EDGE('',*,*,#18685,.F.); +#9153=EDGE_LOOP('',(#9149,#9150,#9151,#9152)); +#9154=FACE_OUTER_BOUND('',#9153,.F.); +#9155=ADVANCED_FACE('',(#9154),#7485,.T.); +#9156=CARTESIAN_POINT('',(-3.651E1,4.13E0,-1.055E1)); +#9157=AXIS2_PLACEMENT_3D('',#9156,#84,#72); +#9158=PLANE('',#9157); +#9159=ORIENTED_EDGE('',*,*,#18122,.T.); +#9160=ORIENTED_EDGE('',*,*,#18686,.T.); +#9161=ORIENTED_EDGE('',*,*,#18687,.T.); +#9162=ORIENTED_EDGE('',*,*,#18688,.F.); +#9163=ORIENTED_EDGE('',*,*,#18172,.F.); +#9164=EDGE_LOOP('',(#9159,#9160,#9161,#9162,#9163)); +#9165=FACE_OUTER_BOUND('',#9164,.F.); +#9166=ADVANCED_FACE('',(#9165),#9158,.T.); +#9167=CARTESIAN_POINT('',(-3.715E1,4.13E0,-1.055E1)); +#9168=AXIS2_PLACEMENT_3D('',#9167,#80,#84); +#9169=PLANE('',#9168); +#9170=ORIENTED_EDGE('',*,*,#18121,.F.); +#9171=ORIENTED_EDGE('',*,*,#18689,.T.); +#9172=ORIENTED_EDGE('',*,*,#18690,.T.); +#9173=ORIENTED_EDGE('',*,*,#18686,.F.); +#9174=EDGE_LOOP('',(#9170,#9171,#9172,#9173)); +#9175=FACE_OUTER_BOUND('',#9174,.F.); +#9176=ADVANCED_FACE('',(#9175),#9169,.T.); +#9177=AXIS2_PLACEMENT_3D('',#2068,#76,#80); +#9178=PLANE('',#9177); +#9179=ORIENTED_EDGE('',*,*,#18120,.F.); +#9180=ORIENTED_EDGE('',*,*,#18174,.T.); +#9181=ORIENTED_EDGE('',*,*,#18691,.T.); +#9182=ORIENTED_EDGE('',*,*,#18692,.T.); +#9183=ORIENTED_EDGE('',*,*,#18689,.F.); +#9184=EDGE_LOOP('',(#9179,#9180,#9181,#9182,#9183)); +#9185=FACE_OUTER_BOUND('',#9184,.F.); +#9186=ADVANCED_FACE('',(#9185),#9178,.T.); +#9187=AXIS2_PLACEMENT_3D('',#1460,#72,#76); +#9188=PLANE('',#9187); +#9189=ORIENTED_EDGE('',*,*,#18173,.F.); +#9190=ORIENTED_EDGE('',*,*,#18688,.T.); +#9191=ORIENTED_EDGE('',*,*,#18693,.T.); +#9192=ORIENTED_EDGE('',*,*,#18691,.F.); +#9193=EDGE_LOOP('',(#9189,#9190,#9191,#9192)); +#9194=FACE_OUTER_BOUND('',#9193,.F.); +#9195=ADVANCED_FACE('',(#9194),#9188,.T.); +#9196=ORIENTED_EDGE('',*,*,#18687,.F.); +#9197=ORIENTED_EDGE('',*,*,#18690,.F.); +#9198=ORIENTED_EDGE('',*,*,#18692,.F.); +#9199=ORIENTED_EDGE('',*,*,#18693,.F.); +#9200=EDGE_LOOP('',(#9196,#9197,#9198,#9199)); +#9201=FACE_OUTER_BOUND('',#9200,.F.); +#9202=ADVANCED_FACE('',(#9201),#7485,.T.); +#9203=CARTESIAN_POINT('',(-3.905E1,4.13E0,-1.055E1)); +#9204=AXIS2_PLACEMENT_3D('',#9203,#84,#72); +#9205=PLANE('',#9204); +#9206=ORIENTED_EDGE('',*,*,#18118,.T.); +#9207=ORIENTED_EDGE('',*,*,#18694,.T.); +#9208=ORIENTED_EDGE('',*,*,#18695,.T.); +#9209=ORIENTED_EDGE('',*,*,#18696,.F.); +#9210=ORIENTED_EDGE('',*,*,#18175,.F.); +#9211=EDGE_LOOP('',(#9206,#9207,#9208,#9209,#9210)); +#9212=FACE_OUTER_BOUND('',#9211,.F.); +#9213=ADVANCED_FACE('',(#9212),#9205,.T.); +#9214=CARTESIAN_POINT('',(-3.969E1,4.13E0,-1.055E1)); +#9215=AXIS2_PLACEMENT_3D('',#9214,#80,#84); +#9216=PLANE('',#9215); +#9217=ORIENTED_EDGE('',*,*,#18117,.F.); +#9218=ORIENTED_EDGE('',*,*,#18697,.T.); +#9219=ORIENTED_EDGE('',*,*,#18698,.T.); +#9220=ORIENTED_EDGE('',*,*,#18694,.F.); +#9221=EDGE_LOOP('',(#9217,#9218,#9219,#9220)); +#9222=FACE_OUTER_BOUND('',#9221,.F.); +#9223=ADVANCED_FACE('',(#9222),#9216,.T.); +#9224=AXIS2_PLACEMENT_3D('',#2082,#76,#80); +#9225=PLANE('',#9224); +#9226=ORIENTED_EDGE('',*,*,#18116,.F.); +#9227=ORIENTED_EDGE('',*,*,#18177,.T.); +#9228=ORIENTED_EDGE('',*,*,#18699,.T.); +#9229=ORIENTED_EDGE('',*,*,#18700,.T.); +#9230=ORIENTED_EDGE('',*,*,#18697,.F.); +#9231=EDGE_LOOP('',(#9226,#9227,#9228,#9229,#9230)); +#9232=FACE_OUTER_BOUND('',#9231,.F.); +#9233=ADVANCED_FACE('',(#9232),#9225,.T.); +#9234=AXIS2_PLACEMENT_3D('',#1465,#72,#76); +#9235=PLANE('',#9234); +#9236=ORIENTED_EDGE('',*,*,#18176,.F.); +#9237=ORIENTED_EDGE('',*,*,#18696,.T.); +#9238=ORIENTED_EDGE('',*,*,#18701,.T.); +#9239=ORIENTED_EDGE('',*,*,#18699,.F.); +#9240=EDGE_LOOP('',(#9236,#9237,#9238,#9239)); +#9241=FACE_OUTER_BOUND('',#9240,.F.); +#9242=ADVANCED_FACE('',(#9241),#9235,.T.); +#9243=ORIENTED_EDGE('',*,*,#18695,.F.); +#9244=ORIENTED_EDGE('',*,*,#18698,.F.); +#9245=ORIENTED_EDGE('',*,*,#18700,.F.); +#9246=ORIENTED_EDGE('',*,*,#18701,.F.); +#9247=EDGE_LOOP('',(#9243,#9244,#9245,#9246)); +#9248=FACE_OUTER_BOUND('',#9247,.F.); +#9249=ADVANCED_FACE('',(#9248),#7485,.T.); +#9250=AXIS2_PLACEMENT_3D('',#2093,#928,#921); +#9251=PLANE('',#9250); +#9252=ORIENTED_EDGE('',*,*,#18020,.T.); +#9253=ORIENTED_EDGE('',*,*,#18702,.F.); +#9254=ORIENTED_EDGE('',*,*,#18178,.T.); +#9255=ORIENTED_EDGE('',*,*,#18008,.T.); +#9256=EDGE_LOOP('',(#9252,#9253,#9254,#9255)); +#9257=FACE_OUTER_BOUND('',#9256,.F.); +#9258=ADVANCED_FACE('',(#9257),#9251,.F.); +#9259=AXIS2_PLACEMENT_3D('',#82,#84,#80); +#9260=PLANE('',#9259); +#9261=ORIENTED_EDGE('',*,*,#18019,.F.); +#9262=ORIENTED_EDGE('',*,*,#18703,.F.); +#9263=ORIENTED_EDGE('',*,*,#17590,.T.); +#9264=ORIENTED_EDGE('',*,*,#18704,.T.); +#9265=ORIENTED_EDGE('',*,*,#18012,.F.); +#9266=ORIENTED_EDGE('',*,*,#18114,.T.); +#9267=ORIENTED_EDGE('',*,*,#18179,.T.); +#9268=ORIENTED_EDGE('',*,*,#18702,.T.); +#9269=EDGE_LOOP('',(#9261,#9262,#9263,#9264,#9265,#9266,#9267,#9268)); +#9270=FACE_OUTER_BOUND('',#9269,.F.); +#9271=ADVANCED_FACE('',(#9270),#9260,.T.); +#9272=AXIS2_PLACEMENT_3D('',#86,#72,#84); +#9273=PLANE('',#9272); +#9274=ORIENTED_EDGE('',*,*,#17591,.T.); +#9275=ORIENTED_EDGE('',*,*,#18703,.T.); +#9276=ORIENTED_EDGE('',*,*,#18018,.F.); +#9277=ORIENTED_EDGE('',*,*,#18705,.F.); +#9278=EDGE_LOOP('',(#9274,#9275,#9276,#9277)); +#9279=FACE_OUTER_BOUND('',#9278,.F.); +#9280=ADVANCED_FACE('',(#9279),#9273,.T.); +#9281=AXIS2_PLACEMENT_3D('',#88,#84,#80); +#9282=PLANE('',#9281); +#9283=ORIENTED_EDGE('',*,*,#18706,.T.); +#9284=ORIENTED_EDGE('',*,*,#18707,.T.); +#9285=ORIENTED_EDGE('',*,*,#18708,.T.); +#9286=ORIENTED_EDGE('',*,*,#18023,.F.); +#9287=ORIENTED_EDGE('',*,*,#18709,.F.); +#9288=ORIENTED_EDGE('',*,*,#17592,.T.); +#9289=ORIENTED_EDGE('',*,*,#18705,.T.); +#9290=ORIENTED_EDGE('',*,*,#18017,.F.); +#9291=EDGE_LOOP('',(#9283,#9284,#9285,#9286,#9287,#9288,#9289,#9290)); +#9292=FACE_OUTER_BOUND('',#9291,.F.); +#9293=ADVANCED_FACE('',(#9292),#9282,.T.); +#9294=CARTESIAN_POINT('',(4.08E1,-3.55E-1,-1.105E1)); +#9295=AXIS2_PLACEMENT_3D('',#9294,#80,#2100); +#9296=PLANE('',#9295); +#9297=ORIENTED_EDGE('',*,*,#18016,.F.); +#9298=ORIENTED_EDGE('',*,*,#18710,.T.); +#9299=ORIENTED_EDGE('',*,*,#18711,.F.); +#9300=ORIENTED_EDGE('',*,*,#18706,.F.); +#9301=EDGE_LOOP('',(#9297,#9298,#9299,#9300)); +#9302=FACE_OUTER_BOUND('',#9301,.F.); +#9303=ADVANCED_FACE('',(#9302),#9296,.F.); +#9304=AXIS2_PLACEMENT_3D('',#93,#76,#72); +#9305=PLANE('',#9304); +#9306=ORIENTED_EDGE('',*,*,#18710,.F.); +#9307=ORIENTED_EDGE('',*,*,#18015,.F.); +#9308=ORIENTED_EDGE('',*,*,#18712,.F.); +#9309=ORIENTED_EDGE('',*,*,#17594,.T.); +#9310=ORIENTED_EDGE('',*,*,#18713,.T.); +#9311=ORIENTED_EDGE('',*,*,#18021,.F.); +#9312=ORIENTED_EDGE('',*,*,#18714,.F.); +#9313=ORIENTED_EDGE('',*,*,#18715,.F.); +#9314=EDGE_LOOP('',(#9306,#9307,#9308,#9309,#9310,#9311,#9312,#9313)); +#9315=FACE_OUTER_BOUND('',#9314,.F.); +#9316=ADVANCED_FACE('',(#9315),#9305,.T.); +#9317=AXIS2_PLACEMENT_3D('',#96,#72,#84); +#9318=PLANE('',#9317); +#9319=ORIENTED_EDGE('',*,*,#17595,.T.); +#9320=ORIENTED_EDGE('',*,*,#18712,.T.); +#9321=ORIENTED_EDGE('',*,*,#18014,.F.); +#9322=ORIENTED_EDGE('',*,*,#18006,.F.); +#9323=EDGE_LOOP('',(#9319,#9320,#9321,#9322)); +#9324=FACE_OUTER_BOUND('',#9323,.F.); +#9325=ADVANCED_FACE('',(#9324),#9318,.T.); +#9326=AXIS2_PLACEMENT_3D('',#91,#72,#84); +#9327=PLANE('',#9326); +#9328=ORIENTED_EDGE('',*,*,#17593,.T.); +#9329=ORIENTED_EDGE('',*,*,#18709,.T.); +#9330=ORIENTED_EDGE('',*,*,#18022,.F.); +#9331=ORIENTED_EDGE('',*,*,#18713,.F.); +#9332=EDGE_LOOP('',(#9328,#9329,#9330,#9331)); +#9333=FACE_OUTER_BOUND('',#9332,.F.); +#9334=ADVANCED_FACE('',(#9333),#9327,.T.); +#9335=CARTESIAN_POINT('',(4.08E1,-4.095E0,-1.055E1)); +#9336=AXIS2_PLACEMENT_3D('',#9335,#928,#921); +#9337=PLANE('',#9336); +#9338=ORIENTED_EDGE('',*,*,#18716,.T.); +#9339=ORIENTED_EDGE('',*,*,#18717,.T.); +#9340=ORIENTED_EDGE('',*,*,#18718,.F.); +#9341=ORIENTED_EDGE('',*,*,#18719,.T.); +#9342=ORIENTED_EDGE('',*,*,#18720,.T.); +#9343=ORIENTED_EDGE('',*,*,#18721,.T.); +#9344=ORIENTED_EDGE('',*,*,#18722,.F.); +#9345=ORIENTED_EDGE('',*,*,#18723,.T.); +#9346=ORIENTED_EDGE('',*,*,#18724,.T.); +#9347=ORIENTED_EDGE('',*,*,#18725,.T.); +#9348=ORIENTED_EDGE('',*,*,#18726,.F.); +#9349=ORIENTED_EDGE('',*,*,#18727,.T.); +#9350=ORIENTED_EDGE('',*,*,#18728,.T.); +#9351=ORIENTED_EDGE('',*,*,#18729,.T.); +#9352=ORIENTED_EDGE('',*,*,#18730,.F.); +#9353=ORIENTED_EDGE('',*,*,#18731,.T.); +#9354=ORIENTED_EDGE('',*,*,#18732,.T.); +#9355=ORIENTED_EDGE('',*,*,#18733,.T.); +#9356=ORIENTED_EDGE('',*,*,#18734,.F.); +#9357=ORIENTED_EDGE('',*,*,#18735,.T.); +#9358=ORIENTED_EDGE('',*,*,#18736,.T.); +#9359=ORIENTED_EDGE('',*,*,#18737,.T.); +#9360=ORIENTED_EDGE('',*,*,#18738,.F.); +#9361=ORIENTED_EDGE('',*,*,#18739,.T.); +#9362=ORIENTED_EDGE('',*,*,#18740,.T.); +#9363=ORIENTED_EDGE('',*,*,#18741,.T.); +#9364=ORIENTED_EDGE('',*,*,#18742,.F.); +#9365=ORIENTED_EDGE('',*,*,#18743,.T.); +#9366=ORIENTED_EDGE('',*,*,#18744,.T.); +#9367=ORIENTED_EDGE('',*,*,#18745,.T.); +#9368=ORIENTED_EDGE('',*,*,#18746,.F.); +#9369=ORIENTED_EDGE('',*,*,#18747,.T.); +#9370=ORIENTED_EDGE('',*,*,#18748,.T.); +#9371=ORIENTED_EDGE('',*,*,#18749,.T.); +#9372=ORIENTED_EDGE('',*,*,#18750,.F.); +#9373=ORIENTED_EDGE('',*,*,#18751,.T.); +#9374=ORIENTED_EDGE('',*,*,#18752,.T.); +#9375=ORIENTED_EDGE('',*,*,#18753,.T.); +#9376=ORIENTED_EDGE('',*,*,#18754,.F.); +#9377=ORIENTED_EDGE('',*,*,#18755,.T.); +#9378=ORIENTED_EDGE('',*,*,#18756,.T.); +#9379=ORIENTED_EDGE('',*,*,#18757,.T.); +#9380=ORIENTED_EDGE('',*,*,#18758,.F.); +#9381=ORIENTED_EDGE('',*,*,#18759,.T.); +#9382=ORIENTED_EDGE('',*,*,#18760,.T.); +#9383=ORIENTED_EDGE('',*,*,#18761,.T.); +#9384=ORIENTED_EDGE('',*,*,#18762,.F.); +#9385=ORIENTED_EDGE('',*,*,#18763,.T.); +#9386=ORIENTED_EDGE('',*,*,#18764,.T.); +#9387=ORIENTED_EDGE('',*,*,#18765,.T.); +#9388=ORIENTED_EDGE('',*,*,#18766,.F.); +#9389=ORIENTED_EDGE('',*,*,#18767,.T.); +#9390=ORIENTED_EDGE('',*,*,#18768,.T.); +#9391=ORIENTED_EDGE('',*,*,#18769,.T.); +#9392=ORIENTED_EDGE('',*,*,#18770,.F.); +#9393=ORIENTED_EDGE('',*,*,#18771,.T.); +#9394=ORIENTED_EDGE('',*,*,#18772,.T.); +#9395=ORIENTED_EDGE('',*,*,#18773,.T.); +#9396=ORIENTED_EDGE('',*,*,#18774,.F.); +#9397=ORIENTED_EDGE('',*,*,#18775,.T.); +#9398=ORIENTED_EDGE('',*,*,#18776,.T.); +#9399=ORIENTED_EDGE('',*,*,#18777,.T.); +#9400=ORIENTED_EDGE('',*,*,#18778,.F.); +#9401=ORIENTED_EDGE('',*,*,#18779,.T.); +#9402=ORIENTED_EDGE('',*,*,#18780,.T.); +#9403=ORIENTED_EDGE('',*,*,#18781,.T.); +#9404=ORIENTED_EDGE('',*,*,#18782,.F.); +#9405=ORIENTED_EDGE('',*,*,#18783,.T.); +#9406=ORIENTED_EDGE('',*,*,#18784,.T.); +#9407=ORIENTED_EDGE('',*,*,#18785,.T.); +#9408=ORIENTED_EDGE('',*,*,#18786,.F.); +#9409=ORIENTED_EDGE('',*,*,#18787,.T.); +#9410=ORIENTED_EDGE('',*,*,#18788,.T.); +#9411=ORIENTED_EDGE('',*,*,#18789,.T.); +#9412=ORIENTED_EDGE('',*,*,#18790,.F.); +#9413=ORIENTED_EDGE('',*,*,#18791,.T.); +#9414=ORIENTED_EDGE('',*,*,#18792,.T.); +#9415=ORIENTED_EDGE('',*,*,#18793,.T.); +#9416=ORIENTED_EDGE('',*,*,#18794,.F.); +#9417=ORIENTED_EDGE('',*,*,#18795,.T.); +#9418=ORIENTED_EDGE('',*,*,#18714,.T.); +#9419=ORIENTED_EDGE('',*,*,#18024,.T.); +#9420=ORIENTED_EDGE('',*,*,#18708,.F.); +#9421=ORIENTED_EDGE('',*,*,#18796,.T.); +#9422=EDGE_LOOP('',(#9338,#9339,#9340,#9341,#9342,#9343,#9344,#9345,#9346,#9347,#9348,#9349,#9350,#9351,#9352,#9353,#9354,#9355,#9356,#9357,#9358,#9359,#9360,#9361,#9362,#9363,#9364,#9365,#9366,#9367,#9368,#9369,#9370,#9371,#9372,#9373,#9374,#9375,#9376,#9377,#9378,#9379,#9380,#9381,#9382,#9383,#9384,#9385,#9386,#9387,#9388,#9389,#9390,#9391,#9392,#9393,#9394,#9395,#9396,#9397,#9398,#9399,#9400,#9401,#9402,#9403,#9404,#9405,#9406,#9407,#9408,#9409,#9410,#9411,#9412,#9413,#9414,#9415,#9416,#9417,#9418,#9419,#9420,#9421)); +#9423=FACE_OUTER_BOUND('',#9422,.F.); +#9424=ADVANCED_FACE('',(#9423),#9337,.F.); +#9425=AXIS2_PLACEMENT_3D('',#2261,#84,#72); +#9426=PLANE('',#9425); +#9427=ORIENTED_EDGE('',*,*,#18797,.T.); +#9428=ORIENTED_EDGE('',*,*,#18798,.T.); +#9429=ORIENTED_EDGE('',*,*,#18799,.T.); +#9430=ORIENTED_EDGE('',*,*,#18800,.F.); +#9431=ORIENTED_EDGE('',*,*,#18716,.F.); +#9432=EDGE_LOOP('',(#9427,#9428,#9429,#9430,#9431)); +#9433=FACE_OUTER_BOUND('',#9432,.F.); +#9434=ADVANCED_FACE('',(#9433),#9426,.T.); +#9435=CARTESIAN_POINT('',(4.08E1,-3.55E-1,-1.055E1)); +#9436=AXIS2_PLACEMENT_3D('',#9435,#2100,#72); +#9437=PLANE('',#9436); +#9438=ORIENTED_EDGE('',*,*,#18797,.F.); +#9439=ORIENTED_EDGE('',*,*,#18796,.F.); +#9440=ORIENTED_EDGE('',*,*,#18707,.F.); +#9441=ORIENTED_EDGE('',*,*,#18711,.T.); +#9442=ORIENTED_EDGE('',*,*,#18715,.T.); +#9443=ORIENTED_EDGE('',*,*,#18795,.F.); +#9444=ORIENTED_EDGE('',*,*,#18801,.T.); +#9445=ORIENTED_EDGE('',*,*,#18802,.T.); +#9446=ORIENTED_EDGE('',*,*,#18803,.F.); +#9447=ORIENTED_EDGE('',*,*,#18791,.F.); +#9448=ORIENTED_EDGE('',*,*,#18804,.T.); +#9449=ORIENTED_EDGE('',*,*,#18805,.T.); +#9450=ORIENTED_EDGE('',*,*,#18806,.F.); +#9451=ORIENTED_EDGE('',*,*,#18787,.F.); +#9452=ORIENTED_EDGE('',*,*,#18807,.T.); +#9453=ORIENTED_EDGE('',*,*,#18808,.T.); +#9454=ORIENTED_EDGE('',*,*,#18809,.F.); +#9455=ORIENTED_EDGE('',*,*,#18783,.F.); +#9456=ORIENTED_EDGE('',*,*,#18810,.T.); +#9457=ORIENTED_EDGE('',*,*,#18811,.T.); +#9458=ORIENTED_EDGE('',*,*,#18812,.F.); +#9459=ORIENTED_EDGE('',*,*,#18779,.F.); +#9460=ORIENTED_EDGE('',*,*,#18813,.T.); +#9461=ORIENTED_EDGE('',*,*,#18814,.T.); +#9462=ORIENTED_EDGE('',*,*,#18815,.F.); +#9463=ORIENTED_EDGE('',*,*,#18775,.F.); +#9464=ORIENTED_EDGE('',*,*,#18816,.T.); +#9465=ORIENTED_EDGE('',*,*,#18817,.T.); +#9466=ORIENTED_EDGE('',*,*,#18818,.F.); +#9467=ORIENTED_EDGE('',*,*,#18771,.F.); +#9468=ORIENTED_EDGE('',*,*,#18819,.T.); +#9469=ORIENTED_EDGE('',*,*,#18820,.T.); +#9470=ORIENTED_EDGE('',*,*,#18821,.F.); +#9471=ORIENTED_EDGE('',*,*,#18767,.F.); +#9472=ORIENTED_EDGE('',*,*,#18822,.T.); +#9473=ORIENTED_EDGE('',*,*,#18823,.T.); +#9474=ORIENTED_EDGE('',*,*,#18824,.F.); +#9475=ORIENTED_EDGE('',*,*,#18763,.F.); +#9476=ORIENTED_EDGE('',*,*,#18825,.T.); +#9477=ORIENTED_EDGE('',*,*,#18826,.T.); +#9478=ORIENTED_EDGE('',*,*,#18827,.F.); +#9479=ORIENTED_EDGE('',*,*,#18759,.F.); +#9480=ORIENTED_EDGE('',*,*,#18828,.T.); +#9481=ORIENTED_EDGE('',*,*,#18829,.T.); +#9482=ORIENTED_EDGE('',*,*,#18830,.F.); +#9483=ORIENTED_EDGE('',*,*,#18755,.F.); +#9484=ORIENTED_EDGE('',*,*,#18831,.T.); +#9485=ORIENTED_EDGE('',*,*,#18832,.T.); +#9486=ORIENTED_EDGE('',*,*,#18833,.F.); +#9487=ORIENTED_EDGE('',*,*,#18751,.F.); +#9488=ORIENTED_EDGE('',*,*,#18834,.T.); +#9489=ORIENTED_EDGE('',*,*,#18835,.T.); +#9490=ORIENTED_EDGE('',*,*,#18836,.F.); +#9491=ORIENTED_EDGE('',*,*,#18747,.F.); +#9492=ORIENTED_EDGE('',*,*,#18837,.T.); +#9493=ORIENTED_EDGE('',*,*,#18838,.T.); +#9494=ORIENTED_EDGE('',*,*,#18839,.F.); +#9495=ORIENTED_EDGE('',*,*,#18743,.F.); +#9496=ORIENTED_EDGE('',*,*,#18840,.T.); +#9497=ORIENTED_EDGE('',*,*,#18841,.T.); +#9498=ORIENTED_EDGE('',*,*,#18842,.F.); +#9499=ORIENTED_EDGE('',*,*,#18739,.F.); +#9500=ORIENTED_EDGE('',*,*,#18843,.T.); +#9501=ORIENTED_EDGE('',*,*,#18844,.T.); +#9502=ORIENTED_EDGE('',*,*,#18845,.F.); +#9503=ORIENTED_EDGE('',*,*,#18735,.F.); +#9504=ORIENTED_EDGE('',*,*,#18846,.T.); +#9505=ORIENTED_EDGE('',*,*,#18847,.T.); +#9506=ORIENTED_EDGE('',*,*,#18848,.F.); +#9507=ORIENTED_EDGE('',*,*,#18731,.F.); +#9508=ORIENTED_EDGE('',*,*,#18849,.T.); +#9509=ORIENTED_EDGE('',*,*,#18850,.T.); +#9510=ORIENTED_EDGE('',*,*,#18851,.F.); +#9511=ORIENTED_EDGE('',*,*,#18727,.F.); +#9512=ORIENTED_EDGE('',*,*,#18852,.T.); +#9513=ORIENTED_EDGE('',*,*,#18853,.T.); +#9514=ORIENTED_EDGE('',*,*,#18854,.F.); +#9515=ORIENTED_EDGE('',*,*,#18723,.F.); +#9516=ORIENTED_EDGE('',*,*,#18855,.T.); +#9517=ORIENTED_EDGE('',*,*,#18856,.T.); +#9518=ORIENTED_EDGE('',*,*,#18857,.F.); +#9519=ORIENTED_EDGE('',*,*,#18719,.F.); +#9520=ORIENTED_EDGE('',*,*,#18858,.T.); +#9521=ORIENTED_EDGE('',*,*,#18859,.T.); +#9522=EDGE_LOOP('',(#9438,#9439,#9440,#9441,#9442,#9443,#9444,#9445,#9446,#9447,#9448,#9449,#9450,#9451,#9452,#9453,#9454,#9455,#9456,#9457,#9458,#9459,#9460,#9461,#9462,#9463,#9464,#9465,#9466,#9467,#9468,#9469,#9470,#9471,#9472,#9473,#9474,#9475,#9476,#9477,#9478,#9479,#9480,#9481,#9482,#9483,#9484,#9485,#9486,#9487,#9488,#9489,#9490,#9491,#9492,#9493,#9494,#9495,#9496,#9497,#9498,#9499,#9500,#9501,#9502,#9503,#9504,#9505,#9506,#9507,#9508,#9509,#9510,#9511,#9512,#9513,#9514,#9515,#9516,#9517,#9518,#9519,#9520,#9521)); +#9523=FACE_OUTER_BOUND('',#9522,.F.); +#9524=ORIENTED_EDGE('',*,*,#18860,.T.); +#9525=ORIENTED_EDGE('',*,*,#18861,.T.); +#9526=ORIENTED_EDGE('',*,*,#18862,.T.); +#9527=ORIENTED_EDGE('',*,*,#18863,.T.); +#9528=EDGE_LOOP('',(#9524,#9525,#9526,#9527)); +#9529=FACE_BOUND('',#9528,.F.); +#9530=ORIENTED_EDGE('',*,*,#18864,.T.); +#9531=ORIENTED_EDGE('',*,*,#18865,.T.); +#9532=ORIENTED_EDGE('',*,*,#18866,.T.); +#9533=ORIENTED_EDGE('',*,*,#18867,.T.); +#9534=EDGE_LOOP('',(#9530,#9531,#9532,#9533)); +#9535=FACE_BOUND('',#9534,.F.); +#9536=ORIENTED_EDGE('',*,*,#18868,.T.); +#9537=ORIENTED_EDGE('',*,*,#18869,.T.); +#9538=ORIENTED_EDGE('',*,*,#18870,.T.); +#9539=ORIENTED_EDGE('',*,*,#18871,.T.); +#9540=EDGE_LOOP('',(#9536,#9537,#9538,#9539)); +#9541=FACE_BOUND('',#9540,.F.); +#9542=ORIENTED_EDGE('',*,*,#18872,.T.); +#9543=ORIENTED_EDGE('',*,*,#18873,.T.); +#9544=ORIENTED_EDGE('',*,*,#18874,.T.); +#9545=ORIENTED_EDGE('',*,*,#18875,.T.); +#9546=EDGE_LOOP('',(#9542,#9543,#9544,#9545)); +#9547=FACE_BOUND('',#9546,.F.); +#9548=ORIENTED_EDGE('',*,*,#18876,.T.); +#9549=ORIENTED_EDGE('',*,*,#18877,.T.); +#9550=ORIENTED_EDGE('',*,*,#18878,.T.); +#9551=ORIENTED_EDGE('',*,*,#18879,.T.); +#9552=EDGE_LOOP('',(#9548,#9549,#9550,#9551)); +#9553=FACE_BOUND('',#9552,.F.); +#9554=ORIENTED_EDGE('',*,*,#18880,.T.); +#9555=ORIENTED_EDGE('',*,*,#18881,.T.); +#9556=ORIENTED_EDGE('',*,*,#18882,.T.); +#9557=ORIENTED_EDGE('',*,*,#18883,.T.); +#9558=EDGE_LOOP('',(#9554,#9555,#9556,#9557)); +#9559=FACE_BOUND('',#9558,.F.); +#9560=ORIENTED_EDGE('',*,*,#18884,.T.); +#9561=ORIENTED_EDGE('',*,*,#18885,.T.); +#9562=ORIENTED_EDGE('',*,*,#18886,.T.); +#9563=ORIENTED_EDGE('',*,*,#18887,.T.); +#9564=EDGE_LOOP('',(#9560,#9561,#9562,#9563)); +#9565=FACE_BOUND('',#9564,.F.); +#9566=ORIENTED_EDGE('',*,*,#18888,.T.); +#9567=ORIENTED_EDGE('',*,*,#18889,.T.); +#9568=ORIENTED_EDGE('',*,*,#18890,.T.); +#9569=ORIENTED_EDGE('',*,*,#18891,.T.); +#9570=EDGE_LOOP('',(#9566,#9567,#9568,#9569)); +#9571=FACE_BOUND('',#9570,.F.); +#9572=ORIENTED_EDGE('',*,*,#18892,.T.); +#9573=ORIENTED_EDGE('',*,*,#18893,.T.); +#9574=ORIENTED_EDGE('',*,*,#18894,.T.); +#9575=ORIENTED_EDGE('',*,*,#18895,.T.); +#9576=EDGE_LOOP('',(#9572,#9573,#9574,#9575)); +#9577=FACE_BOUND('',#9576,.F.); +#9578=ORIENTED_EDGE('',*,*,#18896,.T.); +#9579=ORIENTED_EDGE('',*,*,#18897,.T.); +#9580=ORIENTED_EDGE('',*,*,#18898,.T.); +#9581=ORIENTED_EDGE('',*,*,#18899,.T.); +#9582=EDGE_LOOP('',(#9578,#9579,#9580,#9581)); +#9583=FACE_BOUND('',#9582,.F.); +#9584=ORIENTED_EDGE('',*,*,#18900,.T.); +#9585=ORIENTED_EDGE('',*,*,#18901,.T.); +#9586=ORIENTED_EDGE('',*,*,#18902,.T.); +#9587=ORIENTED_EDGE('',*,*,#18903,.T.); +#9588=EDGE_LOOP('',(#9584,#9585,#9586,#9587)); +#9589=FACE_BOUND('',#9588,.F.); +#9590=ORIENTED_EDGE('',*,*,#18904,.T.); +#9591=ORIENTED_EDGE('',*,*,#18905,.T.); +#9592=ORIENTED_EDGE('',*,*,#18906,.T.); +#9593=ORIENTED_EDGE('',*,*,#18907,.T.); +#9594=EDGE_LOOP('',(#9590,#9591,#9592,#9593)); +#9595=FACE_BOUND('',#9594,.F.); +#9596=ORIENTED_EDGE('',*,*,#18908,.T.); +#9597=ORIENTED_EDGE('',*,*,#18909,.T.); +#9598=ORIENTED_EDGE('',*,*,#18910,.T.); +#9599=ORIENTED_EDGE('',*,*,#18911,.T.); +#9600=EDGE_LOOP('',(#9596,#9597,#9598,#9599)); +#9601=FACE_BOUND('',#9600,.F.); +#9602=ORIENTED_EDGE('',*,*,#18912,.T.); +#9603=ORIENTED_EDGE('',*,*,#18913,.T.); +#9604=ORIENTED_EDGE('',*,*,#18914,.T.); +#9605=ORIENTED_EDGE('',*,*,#18915,.T.); +#9606=EDGE_LOOP('',(#9602,#9603,#9604,#9605)); +#9607=FACE_BOUND('',#9606,.F.); +#9608=ORIENTED_EDGE('',*,*,#18916,.T.); +#9609=ORIENTED_EDGE('',*,*,#18917,.T.); +#9610=ORIENTED_EDGE('',*,*,#18918,.T.); +#9611=ORIENTED_EDGE('',*,*,#18919,.T.); +#9612=EDGE_LOOP('',(#9608,#9609,#9610,#9611)); +#9613=FACE_BOUND('',#9612,.F.); +#9614=ORIENTED_EDGE('',*,*,#18920,.T.); +#9615=ORIENTED_EDGE('',*,*,#18921,.T.); +#9616=ORIENTED_EDGE('',*,*,#18922,.T.); +#9617=ORIENTED_EDGE('',*,*,#18923,.T.); +#9618=EDGE_LOOP('',(#9614,#9615,#9616,#9617)); +#9619=FACE_BOUND('',#9618,.F.); +#9620=ORIENTED_EDGE('',*,*,#18924,.T.); +#9621=ORIENTED_EDGE('',*,*,#18925,.T.); +#9622=ORIENTED_EDGE('',*,*,#18926,.T.); +#9623=ORIENTED_EDGE('',*,*,#18927,.T.); +#9624=EDGE_LOOP('',(#9620,#9621,#9622,#9623)); +#9625=FACE_BOUND('',#9624,.F.); +#9626=ORIENTED_EDGE('',*,*,#18928,.T.); +#9627=ORIENTED_EDGE('',*,*,#18929,.T.); +#9628=ORIENTED_EDGE('',*,*,#18930,.T.); +#9629=ORIENTED_EDGE('',*,*,#18931,.T.); +#9630=EDGE_LOOP('',(#9626,#9627,#9628,#9629)); +#9631=FACE_BOUND('',#9630,.F.); +#9632=ORIENTED_EDGE('',*,*,#18932,.T.); +#9633=ORIENTED_EDGE('',*,*,#18933,.T.); +#9634=ORIENTED_EDGE('',*,*,#18934,.T.); +#9635=ORIENTED_EDGE('',*,*,#18935,.T.); +#9636=EDGE_LOOP('',(#9632,#9633,#9634,#9635)); +#9637=FACE_BOUND('',#9636,.F.); +#9638=ADVANCED_FACE('',(#9523,#9529,#9535,#9541,#9547,#9553,#9559,#9565,#9571,#9577,#9583,#9589,#9595,#9601,#9607,#9613,#9619,#9625,#9631,#9637),#9437,.F.); +#9639=AXIS2_PLACEMENT_3D('',#2263,#84,#72); +#9640=PLANE('',#9639); +#9641=ORIENTED_EDGE('',*,*,#18860,.F.); +#9642=ORIENTED_EDGE('',*,*,#18936,.T.); +#9643=ORIENTED_EDGE('',*,*,#18937,.T.); +#9644=ORIENTED_EDGE('',*,*,#18938,.F.); +#9645=EDGE_LOOP('',(#9641,#9642,#9643,#9644)); +#9646=FACE_OUTER_BOUND('',#9645,.F.); +#9647=ADVANCED_FACE('',(#9646),#9640,.T.); +#9648=AXIS2_PLACEMENT_3D('',#2269,#80,#84); +#9649=PLANE('',#9648); +#9650=ORIENTED_EDGE('',*,*,#18863,.F.); +#9651=ORIENTED_EDGE('',*,*,#18939,.T.); +#9652=ORIENTED_EDGE('',*,*,#18940,.T.); +#9653=ORIENTED_EDGE('',*,*,#18936,.F.); +#9654=EDGE_LOOP('',(#9650,#9651,#9652,#9653)); +#9655=FACE_OUTER_BOUND('',#9654,.F.); +#9656=ADVANCED_FACE('',(#9655),#9649,.T.); +#9657=AXIS2_PLACEMENT_3D('',#2267,#76,#80); +#9658=PLANE('',#9657); +#9659=ORIENTED_EDGE('',*,*,#18862,.F.); +#9660=ORIENTED_EDGE('',*,*,#18941,.T.); +#9661=ORIENTED_EDGE('',*,*,#18942,.T.); +#9662=ORIENTED_EDGE('',*,*,#18939,.F.); +#9663=EDGE_LOOP('',(#9659,#9660,#9661,#9662)); +#9664=FACE_OUTER_BOUND('',#9663,.F.); +#9665=ADVANCED_FACE('',(#9664),#9658,.T.); +#9666=AXIS2_PLACEMENT_3D('',#2265,#72,#76); +#9667=PLANE('',#9666); +#9668=ORIENTED_EDGE('',*,*,#18861,.F.); +#9669=ORIENTED_EDGE('',*,*,#18938,.T.); +#9670=ORIENTED_EDGE('',*,*,#18943,.T.); +#9671=ORIENTED_EDGE('',*,*,#18941,.F.); +#9672=EDGE_LOOP('',(#9668,#9669,#9670,#9671)); +#9673=FACE_OUTER_BOUND('',#9672,.F.); +#9674=ADVANCED_FACE('',(#9673),#9667,.T.); +#9675=ORIENTED_EDGE('',*,*,#18937,.F.); +#9676=ORIENTED_EDGE('',*,*,#18940,.F.); +#9677=ORIENTED_EDGE('',*,*,#18942,.F.); +#9678=ORIENTED_EDGE('',*,*,#18943,.F.); +#9679=EDGE_LOOP('',(#9675,#9676,#9677,#9678)); +#9680=FACE_OUTER_BOUND('',#9679,.F.); +#9681=ADVANCED_FACE('',(#9680),#7485,.T.); +#9682=AXIS2_PLACEMENT_3D('',#2271,#84,#72); +#9683=PLANE('',#9682); +#9684=ORIENTED_EDGE('',*,*,#18864,.F.); +#9685=ORIENTED_EDGE('',*,*,#18944,.T.); +#9686=ORIENTED_EDGE('',*,*,#18945,.T.); +#9687=ORIENTED_EDGE('',*,*,#18946,.F.); +#9688=EDGE_LOOP('',(#9684,#9685,#9686,#9687)); +#9689=FACE_OUTER_BOUND('',#9688,.F.); +#9690=ADVANCED_FACE('',(#9689),#9683,.T.); +#9691=AXIS2_PLACEMENT_3D('',#2277,#80,#84); +#9692=PLANE('',#9691); +#9693=ORIENTED_EDGE('',*,*,#18867,.F.); +#9694=ORIENTED_EDGE('',*,*,#18947,.T.); +#9695=ORIENTED_EDGE('',*,*,#18948,.T.); +#9696=ORIENTED_EDGE('',*,*,#18944,.F.); +#9697=EDGE_LOOP('',(#9693,#9694,#9695,#9696)); +#9698=FACE_OUTER_BOUND('',#9697,.F.); +#9699=ADVANCED_FACE('',(#9698),#9692,.T.); +#9700=AXIS2_PLACEMENT_3D('',#2275,#76,#80); +#9701=PLANE('',#9700); +#9702=ORIENTED_EDGE('',*,*,#18866,.F.); +#9703=ORIENTED_EDGE('',*,*,#18949,.T.); +#9704=ORIENTED_EDGE('',*,*,#18950,.T.); +#9705=ORIENTED_EDGE('',*,*,#18947,.F.); +#9706=EDGE_LOOP('',(#9702,#9703,#9704,#9705)); +#9707=FACE_OUTER_BOUND('',#9706,.F.); +#9708=ADVANCED_FACE('',(#9707),#9701,.T.); +#9709=AXIS2_PLACEMENT_3D('',#2273,#72,#76); +#9710=PLANE('',#9709); +#9711=ORIENTED_EDGE('',*,*,#18865,.F.); +#9712=ORIENTED_EDGE('',*,*,#18946,.T.); +#9713=ORIENTED_EDGE('',*,*,#18951,.T.); +#9714=ORIENTED_EDGE('',*,*,#18949,.F.); +#9715=EDGE_LOOP('',(#9711,#9712,#9713,#9714)); +#9716=FACE_OUTER_BOUND('',#9715,.F.); +#9717=ADVANCED_FACE('',(#9716),#9710,.T.); +#9718=ORIENTED_EDGE('',*,*,#18945,.F.); +#9719=ORIENTED_EDGE('',*,*,#18948,.F.); +#9720=ORIENTED_EDGE('',*,*,#18950,.F.); +#9721=ORIENTED_EDGE('',*,*,#18951,.F.); +#9722=EDGE_LOOP('',(#9718,#9719,#9720,#9721)); +#9723=FACE_OUTER_BOUND('',#9722,.F.); +#9724=ADVANCED_FACE('',(#9723),#7485,.T.); +#9725=AXIS2_PLACEMENT_3D('',#2279,#84,#72); +#9726=PLANE('',#9725); +#9727=ORIENTED_EDGE('',*,*,#18868,.F.); +#9728=ORIENTED_EDGE('',*,*,#18952,.T.); +#9729=ORIENTED_EDGE('',*,*,#18953,.T.); +#9730=ORIENTED_EDGE('',*,*,#18954,.F.); +#9731=EDGE_LOOP('',(#9727,#9728,#9729,#9730)); +#9732=FACE_OUTER_BOUND('',#9731,.F.); +#9733=ADVANCED_FACE('',(#9732),#9726,.T.); +#9734=AXIS2_PLACEMENT_3D('',#2285,#80,#84); +#9735=PLANE('',#9734); +#9736=ORIENTED_EDGE('',*,*,#18871,.F.); +#9737=ORIENTED_EDGE('',*,*,#18955,.T.); +#9738=ORIENTED_EDGE('',*,*,#18956,.T.); +#9739=ORIENTED_EDGE('',*,*,#18952,.F.); +#9740=EDGE_LOOP('',(#9736,#9737,#9738,#9739)); +#9741=FACE_OUTER_BOUND('',#9740,.F.); +#9742=ADVANCED_FACE('',(#9741),#9735,.T.); +#9743=AXIS2_PLACEMENT_3D('',#2283,#76,#80); +#9744=PLANE('',#9743); +#9745=ORIENTED_EDGE('',*,*,#18870,.F.); +#9746=ORIENTED_EDGE('',*,*,#18957,.T.); +#9747=ORIENTED_EDGE('',*,*,#18958,.T.); +#9748=ORIENTED_EDGE('',*,*,#18955,.F.); +#9749=EDGE_LOOP('',(#9745,#9746,#9747,#9748)); +#9750=FACE_OUTER_BOUND('',#9749,.F.); +#9751=ADVANCED_FACE('',(#9750),#9744,.T.); +#9752=AXIS2_PLACEMENT_3D('',#2281,#72,#76); +#9753=PLANE('',#9752); +#9754=ORIENTED_EDGE('',*,*,#18869,.F.); +#9755=ORIENTED_EDGE('',*,*,#18954,.T.); +#9756=ORIENTED_EDGE('',*,*,#18959,.T.); +#9757=ORIENTED_EDGE('',*,*,#18957,.F.); +#9758=EDGE_LOOP('',(#9754,#9755,#9756,#9757)); +#9759=FACE_OUTER_BOUND('',#9758,.F.); +#9760=ADVANCED_FACE('',(#9759),#9753,.T.); +#9761=ORIENTED_EDGE('',*,*,#18953,.F.); +#9762=ORIENTED_EDGE('',*,*,#18956,.F.); +#9763=ORIENTED_EDGE('',*,*,#18958,.F.); +#9764=ORIENTED_EDGE('',*,*,#18959,.F.); +#9765=EDGE_LOOP('',(#9761,#9762,#9763,#9764)); +#9766=FACE_OUTER_BOUND('',#9765,.F.); +#9767=ADVANCED_FACE('',(#9766),#7485,.T.); +#9768=AXIS2_PLACEMENT_3D('',#2287,#84,#72); +#9769=PLANE('',#9768); +#9770=ORIENTED_EDGE('',*,*,#18872,.F.); +#9771=ORIENTED_EDGE('',*,*,#18960,.T.); +#9772=ORIENTED_EDGE('',*,*,#18961,.T.); +#9773=ORIENTED_EDGE('',*,*,#18962,.F.); +#9774=EDGE_LOOP('',(#9770,#9771,#9772,#9773)); +#9775=FACE_OUTER_BOUND('',#9774,.F.); +#9776=ADVANCED_FACE('',(#9775),#9769,.T.); +#9777=AXIS2_PLACEMENT_3D('',#2295,#80,#84); +#9778=PLANE('',#9777); +#9779=ORIENTED_EDGE('',*,*,#18875,.F.); +#9780=ORIENTED_EDGE('',*,*,#18963,.T.); +#9781=ORIENTED_EDGE('',*,*,#18964,.T.); +#9782=ORIENTED_EDGE('',*,*,#18960,.F.); +#9783=EDGE_LOOP('',(#9779,#9780,#9781,#9782)); +#9784=FACE_OUTER_BOUND('',#9783,.F.); +#9785=ADVANCED_FACE('',(#9784),#9778,.T.); +#9786=DIRECTION('',(-1.E0,1.110223024625E-14,0.E0)); +#9787=AXIS2_PLACEMENT_3D('',#2293,#9786,#2291); +#9788=PLANE('',#9787); +#9789=ORIENTED_EDGE('',*,*,#18874,.F.); +#9790=ORIENTED_EDGE('',*,*,#18965,.T.); +#9791=ORIENTED_EDGE('',*,*,#18966,.T.); +#9792=ORIENTED_EDGE('',*,*,#18963,.F.); +#9793=EDGE_LOOP('',(#9789,#9790,#9791,#9792)); +#9794=FACE_OUTER_BOUND('',#9793,.F.); +#9795=ADVANCED_FACE('',(#9794),#9788,.T.); +#9796=AXIS2_PLACEMENT_3D('',#2289,#72,#76); +#9797=PLANE('',#9796); +#9798=ORIENTED_EDGE('',*,*,#18873,.F.); +#9799=ORIENTED_EDGE('',*,*,#18962,.T.); +#9800=ORIENTED_EDGE('',*,*,#18967,.T.); +#9801=ORIENTED_EDGE('',*,*,#18965,.F.); +#9802=EDGE_LOOP('',(#9798,#9799,#9800,#9801)); +#9803=FACE_OUTER_BOUND('',#9802,.F.); +#9804=ADVANCED_FACE('',(#9803),#9797,.T.); +#9805=ORIENTED_EDGE('',*,*,#18961,.F.); +#9806=ORIENTED_EDGE('',*,*,#18964,.F.); +#9807=ORIENTED_EDGE('',*,*,#18966,.F.); +#9808=ORIENTED_EDGE('',*,*,#18967,.F.); +#9809=EDGE_LOOP('',(#9805,#9806,#9807,#9808)); +#9810=FACE_OUTER_BOUND('',#9809,.F.); +#9811=ADVANCED_FACE('',(#9810),#7485,.T.); +#9812=AXIS2_PLACEMENT_3D('',#2297,#84,#72); +#9813=PLANE('',#9812); +#9814=ORIENTED_EDGE('',*,*,#18876,.F.); +#9815=ORIENTED_EDGE('',*,*,#18968,.T.); +#9816=ORIENTED_EDGE('',*,*,#18969,.T.); +#9817=ORIENTED_EDGE('',*,*,#18970,.F.); +#9818=EDGE_LOOP('',(#9814,#9815,#9816,#9817)); +#9819=FACE_OUTER_BOUND('',#9818,.F.); +#9820=ADVANCED_FACE('',(#9819),#9813,.T.); +#9821=AXIS2_PLACEMENT_3D('',#2303,#80,#84); +#9822=PLANE('',#9821); +#9823=ORIENTED_EDGE('',*,*,#18879,.F.); +#9824=ORIENTED_EDGE('',*,*,#18971,.T.); +#9825=ORIENTED_EDGE('',*,*,#18972,.T.); +#9826=ORIENTED_EDGE('',*,*,#18968,.F.); +#9827=EDGE_LOOP('',(#9823,#9824,#9825,#9826)); +#9828=FACE_OUTER_BOUND('',#9827,.F.); +#9829=ADVANCED_FACE('',(#9828),#9822,.T.); +#9830=AXIS2_PLACEMENT_3D('',#2301,#76,#80); +#9831=PLANE('',#9830); +#9832=ORIENTED_EDGE('',*,*,#18878,.F.); +#9833=ORIENTED_EDGE('',*,*,#18973,.T.); +#9834=ORIENTED_EDGE('',*,*,#18974,.T.); +#9835=ORIENTED_EDGE('',*,*,#18971,.F.); +#9836=EDGE_LOOP('',(#9832,#9833,#9834,#9835)); +#9837=FACE_OUTER_BOUND('',#9836,.F.); +#9838=ADVANCED_FACE('',(#9837),#9831,.T.); +#9839=AXIS2_PLACEMENT_3D('',#2299,#72,#76); +#9840=PLANE('',#9839); +#9841=ORIENTED_EDGE('',*,*,#18877,.F.); +#9842=ORIENTED_EDGE('',*,*,#18970,.T.); +#9843=ORIENTED_EDGE('',*,*,#18975,.T.); +#9844=ORIENTED_EDGE('',*,*,#18973,.F.); +#9845=EDGE_LOOP('',(#9841,#9842,#9843,#9844)); +#9846=FACE_OUTER_BOUND('',#9845,.F.); +#9847=ADVANCED_FACE('',(#9846),#9840,.T.); +#9848=ORIENTED_EDGE('',*,*,#18969,.F.); +#9849=ORIENTED_EDGE('',*,*,#18972,.F.); +#9850=ORIENTED_EDGE('',*,*,#18974,.F.); +#9851=ORIENTED_EDGE('',*,*,#18975,.F.); +#9852=EDGE_LOOP('',(#9848,#9849,#9850,#9851)); +#9853=FACE_OUTER_BOUND('',#9852,.F.); +#9854=ADVANCED_FACE('',(#9853),#7485,.T.); +#9855=AXIS2_PLACEMENT_3D('',#2305,#84,#72); +#9856=PLANE('',#9855); +#9857=ORIENTED_EDGE('',*,*,#18880,.F.); +#9858=ORIENTED_EDGE('',*,*,#18976,.T.); +#9859=ORIENTED_EDGE('',*,*,#18977,.T.); +#9860=ORIENTED_EDGE('',*,*,#18978,.F.); +#9861=EDGE_LOOP('',(#9857,#9858,#9859,#9860)); +#9862=FACE_OUTER_BOUND('',#9861,.F.); +#9863=ADVANCED_FACE('',(#9862),#9856,.T.); +#9864=AXIS2_PLACEMENT_3D('',#2311,#80,#84); +#9865=PLANE('',#9864); +#9866=ORIENTED_EDGE('',*,*,#18883,.F.); +#9867=ORIENTED_EDGE('',*,*,#18979,.T.); +#9868=ORIENTED_EDGE('',*,*,#18980,.T.); +#9869=ORIENTED_EDGE('',*,*,#18976,.F.); +#9870=EDGE_LOOP('',(#9866,#9867,#9868,#9869)); +#9871=FACE_OUTER_BOUND('',#9870,.F.); +#9872=ADVANCED_FACE('',(#9871),#9865,.T.); +#9873=AXIS2_PLACEMENT_3D('',#2309,#76,#80); +#9874=PLANE('',#9873); +#9875=ORIENTED_EDGE('',*,*,#18882,.F.); +#9876=ORIENTED_EDGE('',*,*,#18981,.T.); +#9877=ORIENTED_EDGE('',*,*,#18982,.T.); +#9878=ORIENTED_EDGE('',*,*,#18979,.F.); +#9879=EDGE_LOOP('',(#9875,#9876,#9877,#9878)); +#9880=FACE_OUTER_BOUND('',#9879,.F.); +#9881=ADVANCED_FACE('',(#9880),#9874,.T.); +#9882=AXIS2_PLACEMENT_3D('',#2307,#72,#76); +#9883=PLANE('',#9882); +#9884=ORIENTED_EDGE('',*,*,#18881,.F.); +#9885=ORIENTED_EDGE('',*,*,#18978,.T.); +#9886=ORIENTED_EDGE('',*,*,#18983,.T.); +#9887=ORIENTED_EDGE('',*,*,#18981,.F.); +#9888=EDGE_LOOP('',(#9884,#9885,#9886,#9887)); +#9889=FACE_OUTER_BOUND('',#9888,.F.); +#9890=ADVANCED_FACE('',(#9889),#9883,.T.); +#9891=ORIENTED_EDGE('',*,*,#18977,.F.); +#9892=ORIENTED_EDGE('',*,*,#18980,.F.); +#9893=ORIENTED_EDGE('',*,*,#18982,.F.); +#9894=ORIENTED_EDGE('',*,*,#18983,.F.); +#9895=EDGE_LOOP('',(#9891,#9892,#9893,#9894)); +#9896=FACE_OUTER_BOUND('',#9895,.F.); +#9897=ADVANCED_FACE('',(#9896),#7485,.T.); +#9898=AXIS2_PLACEMENT_3D('',#2313,#84,#72); +#9899=PLANE('',#9898); +#9900=ORIENTED_EDGE('',*,*,#18884,.F.); +#9901=ORIENTED_EDGE('',*,*,#18984,.T.); +#9902=ORIENTED_EDGE('',*,*,#18985,.T.); +#9903=ORIENTED_EDGE('',*,*,#18986,.F.); +#9904=EDGE_LOOP('',(#9900,#9901,#9902,#9903)); +#9905=FACE_OUTER_BOUND('',#9904,.F.); +#9906=ADVANCED_FACE('',(#9905),#9899,.T.); +#9907=AXIS2_PLACEMENT_3D('',#2319,#80,#84); +#9908=PLANE('',#9907); +#9909=ORIENTED_EDGE('',*,*,#18887,.F.); +#9910=ORIENTED_EDGE('',*,*,#18987,.T.); +#9911=ORIENTED_EDGE('',*,*,#18988,.T.); +#9912=ORIENTED_EDGE('',*,*,#18984,.F.); +#9913=EDGE_LOOP('',(#9909,#9910,#9911,#9912)); +#9914=FACE_OUTER_BOUND('',#9913,.F.); +#9915=ADVANCED_FACE('',(#9914),#9908,.T.); +#9916=AXIS2_PLACEMENT_3D('',#2317,#76,#80); +#9917=PLANE('',#9916); +#9918=ORIENTED_EDGE('',*,*,#18886,.F.); +#9919=ORIENTED_EDGE('',*,*,#18989,.T.); +#9920=ORIENTED_EDGE('',*,*,#18990,.T.); +#9921=ORIENTED_EDGE('',*,*,#18987,.F.); +#9922=EDGE_LOOP('',(#9918,#9919,#9920,#9921)); +#9923=FACE_OUTER_BOUND('',#9922,.F.); +#9924=ADVANCED_FACE('',(#9923),#9917,.T.); +#9925=AXIS2_PLACEMENT_3D('',#2315,#72,#76); +#9926=PLANE('',#9925); +#9927=ORIENTED_EDGE('',*,*,#18885,.F.); +#9928=ORIENTED_EDGE('',*,*,#18986,.T.); +#9929=ORIENTED_EDGE('',*,*,#18991,.T.); +#9930=ORIENTED_EDGE('',*,*,#18989,.F.); +#9931=EDGE_LOOP('',(#9927,#9928,#9929,#9930)); +#9932=FACE_OUTER_BOUND('',#9931,.F.); +#9933=ADVANCED_FACE('',(#9932),#9926,.T.); +#9934=ORIENTED_EDGE('',*,*,#18985,.F.); +#9935=ORIENTED_EDGE('',*,*,#18988,.F.); +#9936=ORIENTED_EDGE('',*,*,#18990,.F.); +#9937=ORIENTED_EDGE('',*,*,#18991,.F.); +#9938=EDGE_LOOP('',(#9934,#9935,#9936,#9937)); +#9939=FACE_OUTER_BOUND('',#9938,.F.); +#9940=ADVANCED_FACE('',(#9939),#7485,.T.); +#9941=AXIS2_PLACEMENT_3D('',#2321,#84,#72); +#9942=PLANE('',#9941); +#9943=ORIENTED_EDGE('',*,*,#18888,.F.); +#9944=ORIENTED_EDGE('',*,*,#18992,.T.); +#9945=ORIENTED_EDGE('',*,*,#18993,.T.); +#9946=ORIENTED_EDGE('',*,*,#18994,.F.); +#9947=EDGE_LOOP('',(#9943,#9944,#9945,#9946)); +#9948=FACE_OUTER_BOUND('',#9947,.F.); +#9949=ADVANCED_FACE('',(#9948),#9942,.T.); +#9950=AXIS2_PLACEMENT_3D('',#2327,#80,#84); +#9951=PLANE('',#9950); +#9952=ORIENTED_EDGE('',*,*,#18891,.F.); +#9953=ORIENTED_EDGE('',*,*,#18995,.T.); +#9954=ORIENTED_EDGE('',*,*,#18996,.T.); +#9955=ORIENTED_EDGE('',*,*,#18992,.F.); +#9956=EDGE_LOOP('',(#9952,#9953,#9954,#9955)); +#9957=FACE_OUTER_BOUND('',#9956,.F.); +#9958=ADVANCED_FACE('',(#9957),#9951,.T.); +#9959=AXIS2_PLACEMENT_3D('',#2325,#76,#80); +#9960=PLANE('',#9959); +#9961=ORIENTED_EDGE('',*,*,#18890,.F.); +#9962=ORIENTED_EDGE('',*,*,#18997,.T.); +#9963=ORIENTED_EDGE('',*,*,#18998,.T.); +#9964=ORIENTED_EDGE('',*,*,#18995,.F.); +#9965=EDGE_LOOP('',(#9961,#9962,#9963,#9964)); +#9966=FACE_OUTER_BOUND('',#9965,.F.); +#9967=ADVANCED_FACE('',(#9966),#9960,.T.); +#9968=AXIS2_PLACEMENT_3D('',#2323,#72,#76); +#9969=PLANE('',#9968); +#9970=ORIENTED_EDGE('',*,*,#18889,.F.); +#9971=ORIENTED_EDGE('',*,*,#18994,.T.); +#9972=ORIENTED_EDGE('',*,*,#18999,.T.); +#9973=ORIENTED_EDGE('',*,*,#18997,.F.); +#9974=EDGE_LOOP('',(#9970,#9971,#9972,#9973)); +#9975=FACE_OUTER_BOUND('',#9974,.F.); +#9976=ADVANCED_FACE('',(#9975),#9969,.T.); +#9977=ORIENTED_EDGE('',*,*,#18993,.F.); +#9978=ORIENTED_EDGE('',*,*,#18996,.F.); +#9979=ORIENTED_EDGE('',*,*,#18998,.F.); +#9980=ORIENTED_EDGE('',*,*,#18999,.F.); +#9981=EDGE_LOOP('',(#9977,#9978,#9979,#9980)); +#9982=FACE_OUTER_BOUND('',#9981,.F.); +#9983=ADVANCED_FACE('',(#9982),#7485,.T.); +#9984=AXIS2_PLACEMENT_3D('',#2329,#84,#72); +#9985=PLANE('',#9984); +#9986=ORIENTED_EDGE('',*,*,#18892,.F.); +#9987=ORIENTED_EDGE('',*,*,#19000,.T.); +#9988=ORIENTED_EDGE('',*,*,#19001,.T.); +#9989=ORIENTED_EDGE('',*,*,#19002,.F.); +#9990=EDGE_LOOP('',(#9986,#9987,#9988,#9989)); +#9991=FACE_OUTER_BOUND('',#9990,.F.); +#9992=ADVANCED_FACE('',(#9991),#9985,.T.); +#9993=AXIS2_PLACEMENT_3D('',#2335,#80,#84); +#9994=PLANE('',#9993); +#9995=ORIENTED_EDGE('',*,*,#18895,.F.); +#9996=ORIENTED_EDGE('',*,*,#19003,.T.); +#9997=ORIENTED_EDGE('',*,*,#19004,.T.); +#9998=ORIENTED_EDGE('',*,*,#19000,.F.); +#9999=EDGE_LOOP('',(#9995,#9996,#9997,#9998)); +#10000=FACE_OUTER_BOUND('',#9999,.F.); +#10001=ADVANCED_FACE('',(#10000),#9994,.T.); +#10002=AXIS2_PLACEMENT_3D('',#2333,#76,#80); +#10003=PLANE('',#10002); +#10004=ORIENTED_EDGE('',*,*,#18894,.F.); +#10005=ORIENTED_EDGE('',*,*,#19005,.T.); +#10006=ORIENTED_EDGE('',*,*,#19006,.T.); +#10007=ORIENTED_EDGE('',*,*,#19003,.F.); +#10008=EDGE_LOOP('',(#10004,#10005,#10006,#10007)); +#10009=FACE_OUTER_BOUND('',#10008,.F.); +#10010=ADVANCED_FACE('',(#10009),#10003,.T.); +#10011=AXIS2_PLACEMENT_3D('',#2331,#72,#76); +#10012=PLANE('',#10011); +#10013=ORIENTED_EDGE('',*,*,#18893,.F.); +#10014=ORIENTED_EDGE('',*,*,#19002,.T.); +#10015=ORIENTED_EDGE('',*,*,#19007,.T.); +#10016=ORIENTED_EDGE('',*,*,#19005,.F.); +#10017=EDGE_LOOP('',(#10013,#10014,#10015,#10016)); +#10018=FACE_OUTER_BOUND('',#10017,.F.); +#10019=ADVANCED_FACE('',(#10018),#10012,.T.); +#10020=ORIENTED_EDGE('',*,*,#19001,.F.); +#10021=ORIENTED_EDGE('',*,*,#19004,.F.); +#10022=ORIENTED_EDGE('',*,*,#19006,.F.); +#10023=ORIENTED_EDGE('',*,*,#19007,.F.); +#10024=EDGE_LOOP('',(#10020,#10021,#10022,#10023)); +#10025=FACE_OUTER_BOUND('',#10024,.F.); +#10026=ADVANCED_FACE('',(#10025),#7485,.T.); +#10027=AXIS2_PLACEMENT_3D('',#2337,#84,#72); +#10028=PLANE('',#10027); +#10029=ORIENTED_EDGE('',*,*,#18896,.F.); +#10030=ORIENTED_EDGE('',*,*,#19008,.T.); +#10031=ORIENTED_EDGE('',*,*,#19009,.T.); +#10032=ORIENTED_EDGE('',*,*,#19010,.F.); +#10033=EDGE_LOOP('',(#10029,#10030,#10031,#10032)); +#10034=FACE_OUTER_BOUND('',#10033,.F.); +#10035=ADVANCED_FACE('',(#10034),#10028,.T.); +#10036=AXIS2_PLACEMENT_3D('',#2343,#80,#84); +#10037=PLANE('',#10036); +#10038=ORIENTED_EDGE('',*,*,#18899,.F.); +#10039=ORIENTED_EDGE('',*,*,#19011,.T.); +#10040=ORIENTED_EDGE('',*,*,#19012,.T.); +#10041=ORIENTED_EDGE('',*,*,#19008,.F.); +#10042=EDGE_LOOP('',(#10038,#10039,#10040,#10041)); +#10043=FACE_OUTER_BOUND('',#10042,.F.); +#10044=ADVANCED_FACE('',(#10043),#10037,.T.); +#10045=AXIS2_PLACEMENT_3D('',#2341,#76,#80); +#10046=PLANE('',#10045); +#10047=ORIENTED_EDGE('',*,*,#18898,.F.); +#10048=ORIENTED_EDGE('',*,*,#19013,.T.); +#10049=ORIENTED_EDGE('',*,*,#19014,.T.); +#10050=ORIENTED_EDGE('',*,*,#19011,.F.); +#10051=EDGE_LOOP('',(#10047,#10048,#10049,#10050)); +#10052=FACE_OUTER_BOUND('',#10051,.F.); +#10053=ADVANCED_FACE('',(#10052),#10046,.T.); +#10054=AXIS2_PLACEMENT_3D('',#2339,#72,#76); +#10055=PLANE('',#10054); +#10056=ORIENTED_EDGE('',*,*,#18897,.F.); +#10057=ORIENTED_EDGE('',*,*,#19010,.T.); +#10058=ORIENTED_EDGE('',*,*,#19015,.T.); +#10059=ORIENTED_EDGE('',*,*,#19013,.F.); +#10060=EDGE_LOOP('',(#10056,#10057,#10058,#10059)); +#10061=FACE_OUTER_BOUND('',#10060,.F.); +#10062=ADVANCED_FACE('',(#10061),#10055,.T.); +#10063=ORIENTED_EDGE('',*,*,#19009,.F.); +#10064=ORIENTED_EDGE('',*,*,#19012,.F.); +#10065=ORIENTED_EDGE('',*,*,#19014,.F.); +#10066=ORIENTED_EDGE('',*,*,#19015,.F.); +#10067=EDGE_LOOP('',(#10063,#10064,#10065,#10066)); +#10068=FACE_OUTER_BOUND('',#10067,.F.); +#10069=ADVANCED_FACE('',(#10068),#7485,.T.); +#10070=AXIS2_PLACEMENT_3D('',#2345,#84,#72); +#10071=PLANE('',#10070); +#10072=ORIENTED_EDGE('',*,*,#18900,.F.); +#10073=ORIENTED_EDGE('',*,*,#19016,.T.); +#10074=ORIENTED_EDGE('',*,*,#19017,.T.); +#10075=ORIENTED_EDGE('',*,*,#19018,.F.); +#10076=EDGE_LOOP('',(#10072,#10073,#10074,#10075)); +#10077=FACE_OUTER_BOUND('',#10076,.F.); +#10078=ADVANCED_FACE('',(#10077),#10071,.T.); +#10079=AXIS2_PLACEMENT_3D('',#2351,#80,#84); +#10080=PLANE('',#10079); +#10081=ORIENTED_EDGE('',*,*,#18903,.F.); +#10082=ORIENTED_EDGE('',*,*,#19019,.T.); +#10083=ORIENTED_EDGE('',*,*,#19020,.T.); +#10084=ORIENTED_EDGE('',*,*,#19016,.F.); +#10085=EDGE_LOOP('',(#10081,#10082,#10083,#10084)); +#10086=FACE_OUTER_BOUND('',#10085,.F.); +#10087=ADVANCED_FACE('',(#10086),#10080,.T.); +#10088=AXIS2_PLACEMENT_3D('',#2349,#76,#80); +#10089=PLANE('',#10088); +#10090=ORIENTED_EDGE('',*,*,#18902,.F.); +#10091=ORIENTED_EDGE('',*,*,#19021,.T.); +#10092=ORIENTED_EDGE('',*,*,#19022,.T.); +#10093=ORIENTED_EDGE('',*,*,#19019,.F.); +#10094=EDGE_LOOP('',(#10090,#10091,#10092,#10093)); +#10095=FACE_OUTER_BOUND('',#10094,.F.); +#10096=ADVANCED_FACE('',(#10095),#10089,.T.); +#10097=AXIS2_PLACEMENT_3D('',#2347,#72,#76); +#10098=PLANE('',#10097); +#10099=ORIENTED_EDGE('',*,*,#18901,.F.); +#10100=ORIENTED_EDGE('',*,*,#19018,.T.); +#10101=ORIENTED_EDGE('',*,*,#19023,.T.); +#10102=ORIENTED_EDGE('',*,*,#19021,.F.); +#10103=EDGE_LOOP('',(#10099,#10100,#10101,#10102)); +#10104=FACE_OUTER_BOUND('',#10103,.F.); +#10105=ADVANCED_FACE('',(#10104),#10098,.T.); +#10106=ORIENTED_EDGE('',*,*,#19017,.F.); +#10107=ORIENTED_EDGE('',*,*,#19020,.F.); +#10108=ORIENTED_EDGE('',*,*,#19022,.F.); +#10109=ORIENTED_EDGE('',*,*,#19023,.F.); +#10110=EDGE_LOOP('',(#10106,#10107,#10108,#10109)); +#10111=FACE_OUTER_BOUND('',#10110,.F.); +#10112=ADVANCED_FACE('',(#10111),#7485,.T.); +#10113=AXIS2_PLACEMENT_3D('',#2353,#84,#72); +#10114=PLANE('',#10113); +#10115=ORIENTED_EDGE('',*,*,#18904,.F.); +#10116=ORIENTED_EDGE('',*,*,#19024,.T.); +#10117=ORIENTED_EDGE('',*,*,#19025,.T.); +#10118=ORIENTED_EDGE('',*,*,#19026,.F.); +#10119=EDGE_LOOP('',(#10115,#10116,#10117,#10118)); +#10120=FACE_OUTER_BOUND('',#10119,.F.); +#10121=ADVANCED_FACE('',(#10120),#10114,.T.); +#10122=AXIS2_PLACEMENT_3D('',#2359,#80,#84); +#10123=PLANE('',#10122); +#10124=ORIENTED_EDGE('',*,*,#18907,.F.); +#10125=ORIENTED_EDGE('',*,*,#19027,.T.); +#10126=ORIENTED_EDGE('',*,*,#19028,.T.); +#10127=ORIENTED_EDGE('',*,*,#19024,.F.); +#10128=EDGE_LOOP('',(#10124,#10125,#10126,#10127)); +#10129=FACE_OUTER_BOUND('',#10128,.F.); +#10130=ADVANCED_FACE('',(#10129),#10123,.T.); +#10131=AXIS2_PLACEMENT_3D('',#2357,#76,#80); +#10132=PLANE('',#10131); +#10133=ORIENTED_EDGE('',*,*,#18906,.F.); +#10134=ORIENTED_EDGE('',*,*,#19029,.T.); +#10135=ORIENTED_EDGE('',*,*,#19030,.T.); +#10136=ORIENTED_EDGE('',*,*,#19027,.F.); +#10137=EDGE_LOOP('',(#10133,#10134,#10135,#10136)); +#10138=FACE_OUTER_BOUND('',#10137,.F.); +#10139=ADVANCED_FACE('',(#10138),#10132,.T.); +#10140=AXIS2_PLACEMENT_3D('',#2355,#72,#76); +#10141=PLANE('',#10140); +#10142=ORIENTED_EDGE('',*,*,#18905,.F.); +#10143=ORIENTED_EDGE('',*,*,#19026,.T.); +#10144=ORIENTED_EDGE('',*,*,#19031,.T.); +#10145=ORIENTED_EDGE('',*,*,#19029,.F.); +#10146=EDGE_LOOP('',(#10142,#10143,#10144,#10145)); +#10147=FACE_OUTER_BOUND('',#10146,.F.); +#10148=ADVANCED_FACE('',(#10147),#10141,.T.); +#10149=ORIENTED_EDGE('',*,*,#19025,.F.); +#10150=ORIENTED_EDGE('',*,*,#19028,.F.); +#10151=ORIENTED_EDGE('',*,*,#19030,.F.); +#10152=ORIENTED_EDGE('',*,*,#19031,.F.); +#10153=EDGE_LOOP('',(#10149,#10150,#10151,#10152)); +#10154=FACE_OUTER_BOUND('',#10153,.F.); +#10155=ADVANCED_FACE('',(#10154),#7485,.T.); +#10156=AXIS2_PLACEMENT_3D('',#2361,#84,#72); +#10157=PLANE('',#10156); +#10158=ORIENTED_EDGE('',*,*,#18908,.F.); +#10159=ORIENTED_EDGE('',*,*,#19032,.T.); +#10160=ORIENTED_EDGE('',*,*,#19033,.T.); +#10161=ORIENTED_EDGE('',*,*,#19034,.F.); +#10162=EDGE_LOOP('',(#10158,#10159,#10160,#10161)); +#10163=FACE_OUTER_BOUND('',#10162,.F.); +#10164=ADVANCED_FACE('',(#10163),#10157,.T.); +#10165=AXIS2_PLACEMENT_3D('',#2367,#80,#84); +#10166=PLANE('',#10165); +#10167=ORIENTED_EDGE('',*,*,#18911,.F.); +#10168=ORIENTED_EDGE('',*,*,#19035,.T.); +#10169=ORIENTED_EDGE('',*,*,#19036,.T.); +#10170=ORIENTED_EDGE('',*,*,#19032,.F.); +#10171=EDGE_LOOP('',(#10167,#10168,#10169,#10170)); +#10172=FACE_OUTER_BOUND('',#10171,.F.); +#10173=ADVANCED_FACE('',(#10172),#10166,.T.); +#10174=AXIS2_PLACEMENT_3D('',#2365,#76,#80); +#10175=PLANE('',#10174); +#10176=ORIENTED_EDGE('',*,*,#18910,.F.); +#10177=ORIENTED_EDGE('',*,*,#19037,.T.); +#10178=ORIENTED_EDGE('',*,*,#19038,.T.); +#10179=ORIENTED_EDGE('',*,*,#19035,.F.); +#10180=EDGE_LOOP('',(#10176,#10177,#10178,#10179)); +#10181=FACE_OUTER_BOUND('',#10180,.F.); +#10182=ADVANCED_FACE('',(#10181),#10175,.T.); +#10183=AXIS2_PLACEMENT_3D('',#2363,#72,#76); +#10184=PLANE('',#10183); +#10185=ORIENTED_EDGE('',*,*,#18909,.F.); +#10186=ORIENTED_EDGE('',*,*,#19034,.T.); +#10187=ORIENTED_EDGE('',*,*,#19039,.T.); +#10188=ORIENTED_EDGE('',*,*,#19037,.F.); +#10189=EDGE_LOOP('',(#10185,#10186,#10187,#10188)); +#10190=FACE_OUTER_BOUND('',#10189,.F.); +#10191=ADVANCED_FACE('',(#10190),#10184,.T.); +#10192=ORIENTED_EDGE('',*,*,#19033,.F.); +#10193=ORIENTED_EDGE('',*,*,#19036,.F.); +#10194=ORIENTED_EDGE('',*,*,#19038,.F.); +#10195=ORIENTED_EDGE('',*,*,#19039,.F.); +#10196=EDGE_LOOP('',(#10192,#10193,#10194,#10195)); +#10197=FACE_OUTER_BOUND('',#10196,.F.); +#10198=ADVANCED_FACE('',(#10197),#7485,.T.); +#10199=AXIS2_PLACEMENT_3D('',#2369,#84,#72); +#10200=PLANE('',#10199); +#10201=ORIENTED_EDGE('',*,*,#18912,.F.); +#10202=ORIENTED_EDGE('',*,*,#19040,.T.); +#10203=ORIENTED_EDGE('',*,*,#19041,.T.); +#10204=ORIENTED_EDGE('',*,*,#19042,.F.); +#10205=EDGE_LOOP('',(#10201,#10202,#10203,#10204)); +#10206=FACE_OUTER_BOUND('',#10205,.F.); +#10207=ADVANCED_FACE('',(#10206),#10200,.T.); +#10208=AXIS2_PLACEMENT_3D('',#2375,#80,#84); +#10209=PLANE('',#10208); +#10210=ORIENTED_EDGE('',*,*,#18915,.F.); +#10211=ORIENTED_EDGE('',*,*,#19043,.T.); +#10212=ORIENTED_EDGE('',*,*,#19044,.T.); +#10213=ORIENTED_EDGE('',*,*,#19040,.F.); +#10214=EDGE_LOOP('',(#10210,#10211,#10212,#10213)); +#10215=FACE_OUTER_BOUND('',#10214,.F.); +#10216=ADVANCED_FACE('',(#10215),#10209,.T.); +#10217=AXIS2_PLACEMENT_3D('',#2373,#76,#80); +#10218=PLANE('',#10217); +#10219=ORIENTED_EDGE('',*,*,#18914,.F.); +#10220=ORIENTED_EDGE('',*,*,#19045,.T.); +#10221=ORIENTED_EDGE('',*,*,#19046,.T.); +#10222=ORIENTED_EDGE('',*,*,#19043,.F.); +#10223=EDGE_LOOP('',(#10219,#10220,#10221,#10222)); +#10224=FACE_OUTER_BOUND('',#10223,.F.); +#10225=ADVANCED_FACE('',(#10224),#10218,.T.); +#10226=AXIS2_PLACEMENT_3D('',#2371,#72,#76); +#10227=PLANE('',#10226); +#10228=ORIENTED_EDGE('',*,*,#18913,.F.); +#10229=ORIENTED_EDGE('',*,*,#19042,.T.); +#10230=ORIENTED_EDGE('',*,*,#19047,.T.); +#10231=ORIENTED_EDGE('',*,*,#19045,.F.); +#10232=EDGE_LOOP('',(#10228,#10229,#10230,#10231)); +#10233=FACE_OUTER_BOUND('',#10232,.F.); +#10234=ADVANCED_FACE('',(#10233),#10227,.T.); +#10235=ORIENTED_EDGE('',*,*,#19041,.F.); +#10236=ORIENTED_EDGE('',*,*,#19044,.F.); +#10237=ORIENTED_EDGE('',*,*,#19046,.F.); +#10238=ORIENTED_EDGE('',*,*,#19047,.F.); +#10239=EDGE_LOOP('',(#10235,#10236,#10237,#10238)); +#10240=FACE_OUTER_BOUND('',#10239,.F.); +#10241=ADVANCED_FACE('',(#10240),#7485,.T.); +#10242=AXIS2_PLACEMENT_3D('',#2377,#84,#72); +#10243=PLANE('',#10242); +#10244=ORIENTED_EDGE('',*,*,#18916,.F.); +#10245=ORIENTED_EDGE('',*,*,#19048,.T.); +#10246=ORIENTED_EDGE('',*,*,#19049,.T.); +#10247=ORIENTED_EDGE('',*,*,#19050,.F.); +#10248=EDGE_LOOP('',(#10244,#10245,#10246,#10247)); +#10249=FACE_OUTER_BOUND('',#10248,.F.); +#10250=ADVANCED_FACE('',(#10249),#10243,.T.); +#10251=AXIS2_PLACEMENT_3D('',#2383,#80,#84); +#10252=PLANE('',#10251); +#10253=ORIENTED_EDGE('',*,*,#18919,.F.); +#10254=ORIENTED_EDGE('',*,*,#19051,.T.); +#10255=ORIENTED_EDGE('',*,*,#19052,.T.); +#10256=ORIENTED_EDGE('',*,*,#19048,.F.); +#10257=EDGE_LOOP('',(#10253,#10254,#10255,#10256)); +#10258=FACE_OUTER_BOUND('',#10257,.F.); +#10259=ADVANCED_FACE('',(#10258),#10252,.T.); +#10260=AXIS2_PLACEMENT_3D('',#2381,#76,#80); +#10261=PLANE('',#10260); +#10262=ORIENTED_EDGE('',*,*,#18918,.F.); +#10263=ORIENTED_EDGE('',*,*,#19053,.T.); +#10264=ORIENTED_EDGE('',*,*,#19054,.T.); +#10265=ORIENTED_EDGE('',*,*,#19051,.F.); +#10266=EDGE_LOOP('',(#10262,#10263,#10264,#10265)); +#10267=FACE_OUTER_BOUND('',#10266,.F.); +#10268=ADVANCED_FACE('',(#10267),#10261,.T.); +#10269=AXIS2_PLACEMENT_3D('',#2379,#72,#76); +#10270=PLANE('',#10269); +#10271=ORIENTED_EDGE('',*,*,#18917,.F.); +#10272=ORIENTED_EDGE('',*,*,#19050,.T.); +#10273=ORIENTED_EDGE('',*,*,#19055,.T.); +#10274=ORIENTED_EDGE('',*,*,#19053,.F.); +#10275=EDGE_LOOP('',(#10271,#10272,#10273,#10274)); +#10276=FACE_OUTER_BOUND('',#10275,.F.); +#10277=ADVANCED_FACE('',(#10276),#10270,.T.); +#10278=ORIENTED_EDGE('',*,*,#19049,.F.); +#10279=ORIENTED_EDGE('',*,*,#19052,.F.); +#10280=ORIENTED_EDGE('',*,*,#19054,.F.); +#10281=ORIENTED_EDGE('',*,*,#19055,.F.); +#10282=EDGE_LOOP('',(#10278,#10279,#10280,#10281)); +#10283=FACE_OUTER_BOUND('',#10282,.F.); +#10284=ADVANCED_FACE('',(#10283),#7485,.T.); +#10285=AXIS2_PLACEMENT_3D('',#2385,#84,#72); +#10286=PLANE('',#10285); +#10287=ORIENTED_EDGE('',*,*,#18920,.F.); +#10288=ORIENTED_EDGE('',*,*,#19056,.T.); +#10289=ORIENTED_EDGE('',*,*,#19057,.T.); +#10290=ORIENTED_EDGE('',*,*,#19058,.F.); +#10291=EDGE_LOOP('',(#10287,#10288,#10289,#10290)); +#10292=FACE_OUTER_BOUND('',#10291,.F.); +#10293=ADVANCED_FACE('',(#10292),#10286,.T.); +#10294=AXIS2_PLACEMENT_3D('',#2391,#80,#84); +#10295=PLANE('',#10294); +#10296=ORIENTED_EDGE('',*,*,#18923,.F.); +#10297=ORIENTED_EDGE('',*,*,#19059,.T.); +#10298=ORIENTED_EDGE('',*,*,#19060,.T.); +#10299=ORIENTED_EDGE('',*,*,#19056,.F.); +#10300=EDGE_LOOP('',(#10296,#10297,#10298,#10299)); +#10301=FACE_OUTER_BOUND('',#10300,.F.); +#10302=ADVANCED_FACE('',(#10301),#10295,.T.); +#10303=AXIS2_PLACEMENT_3D('',#2389,#76,#80); +#10304=PLANE('',#10303); +#10305=ORIENTED_EDGE('',*,*,#18922,.F.); +#10306=ORIENTED_EDGE('',*,*,#19061,.T.); +#10307=ORIENTED_EDGE('',*,*,#19062,.T.); +#10308=ORIENTED_EDGE('',*,*,#19059,.F.); +#10309=EDGE_LOOP('',(#10305,#10306,#10307,#10308)); +#10310=FACE_OUTER_BOUND('',#10309,.F.); +#10311=ADVANCED_FACE('',(#10310),#10304,.T.); +#10312=AXIS2_PLACEMENT_3D('',#2387,#72,#76); +#10313=PLANE('',#10312); +#10314=ORIENTED_EDGE('',*,*,#18921,.F.); +#10315=ORIENTED_EDGE('',*,*,#19058,.T.); +#10316=ORIENTED_EDGE('',*,*,#19063,.T.); +#10317=ORIENTED_EDGE('',*,*,#19061,.F.); +#10318=EDGE_LOOP('',(#10314,#10315,#10316,#10317)); +#10319=FACE_OUTER_BOUND('',#10318,.F.); +#10320=ADVANCED_FACE('',(#10319),#10313,.T.); +#10321=ORIENTED_EDGE('',*,*,#19057,.F.); +#10322=ORIENTED_EDGE('',*,*,#19060,.F.); +#10323=ORIENTED_EDGE('',*,*,#19062,.F.); +#10324=ORIENTED_EDGE('',*,*,#19063,.F.); +#10325=EDGE_LOOP('',(#10321,#10322,#10323,#10324)); +#10326=FACE_OUTER_BOUND('',#10325,.F.); +#10327=ADVANCED_FACE('',(#10326),#7485,.T.); +#10328=AXIS2_PLACEMENT_3D('',#2393,#84,#72); +#10329=PLANE('',#10328); +#10330=ORIENTED_EDGE('',*,*,#18924,.F.); +#10331=ORIENTED_EDGE('',*,*,#19064,.T.); +#10332=ORIENTED_EDGE('',*,*,#19065,.T.); +#10333=ORIENTED_EDGE('',*,*,#19066,.F.); +#10334=EDGE_LOOP('',(#10330,#10331,#10332,#10333)); +#10335=FACE_OUTER_BOUND('',#10334,.F.); +#10336=ADVANCED_FACE('',(#10335),#10329,.T.); +#10337=AXIS2_PLACEMENT_3D('',#2399,#80,#84); +#10338=PLANE('',#10337); +#10339=ORIENTED_EDGE('',*,*,#18927,.F.); +#10340=ORIENTED_EDGE('',*,*,#19067,.T.); +#10341=ORIENTED_EDGE('',*,*,#19068,.T.); +#10342=ORIENTED_EDGE('',*,*,#19064,.F.); +#10343=EDGE_LOOP('',(#10339,#10340,#10341,#10342)); +#10344=FACE_OUTER_BOUND('',#10343,.F.); +#10345=ADVANCED_FACE('',(#10344),#10338,.T.); +#10346=AXIS2_PLACEMENT_3D('',#2397,#76,#80); +#10347=PLANE('',#10346); +#10348=ORIENTED_EDGE('',*,*,#18926,.F.); +#10349=ORIENTED_EDGE('',*,*,#19069,.T.); +#10350=ORIENTED_EDGE('',*,*,#19070,.T.); +#10351=ORIENTED_EDGE('',*,*,#19067,.F.); +#10352=EDGE_LOOP('',(#10348,#10349,#10350,#10351)); +#10353=FACE_OUTER_BOUND('',#10352,.F.); +#10354=ADVANCED_FACE('',(#10353),#10347,.T.); +#10355=AXIS2_PLACEMENT_3D('',#2395,#72,#76); +#10356=PLANE('',#10355); +#10357=ORIENTED_EDGE('',*,*,#18925,.F.); +#10358=ORIENTED_EDGE('',*,*,#19066,.T.); +#10359=ORIENTED_EDGE('',*,*,#19071,.T.); +#10360=ORIENTED_EDGE('',*,*,#19069,.F.); +#10361=EDGE_LOOP('',(#10357,#10358,#10359,#10360)); +#10362=FACE_OUTER_BOUND('',#10361,.F.); +#10363=ADVANCED_FACE('',(#10362),#10356,.T.); +#10364=ORIENTED_EDGE('',*,*,#19065,.F.); +#10365=ORIENTED_EDGE('',*,*,#19068,.F.); +#10366=ORIENTED_EDGE('',*,*,#19070,.F.); +#10367=ORIENTED_EDGE('',*,*,#19071,.F.); +#10368=EDGE_LOOP('',(#10364,#10365,#10366,#10367)); +#10369=FACE_OUTER_BOUND('',#10368,.F.); +#10370=ADVANCED_FACE('',(#10369),#7485,.T.); +#10371=AXIS2_PLACEMENT_3D('',#2401,#84,#72); +#10372=PLANE('',#10371); +#10373=ORIENTED_EDGE('',*,*,#18928,.F.); +#10374=ORIENTED_EDGE('',*,*,#19072,.T.); +#10375=ORIENTED_EDGE('',*,*,#19073,.T.); +#10376=ORIENTED_EDGE('',*,*,#19074,.F.); +#10377=EDGE_LOOP('',(#10373,#10374,#10375,#10376)); +#10378=FACE_OUTER_BOUND('',#10377,.F.); +#10379=ADVANCED_FACE('',(#10378),#10372,.T.); +#10380=AXIS2_PLACEMENT_3D('',#2407,#80,#84); +#10381=PLANE('',#10380); +#10382=ORIENTED_EDGE('',*,*,#18931,.F.); +#10383=ORIENTED_EDGE('',*,*,#19075,.T.); +#10384=ORIENTED_EDGE('',*,*,#19076,.T.); +#10385=ORIENTED_EDGE('',*,*,#19072,.F.); +#10386=EDGE_LOOP('',(#10382,#10383,#10384,#10385)); +#10387=FACE_OUTER_BOUND('',#10386,.F.); +#10388=ADVANCED_FACE('',(#10387),#10381,.T.); +#10389=AXIS2_PLACEMENT_3D('',#2405,#76,#80); +#10390=PLANE('',#10389); +#10391=ORIENTED_EDGE('',*,*,#18930,.F.); +#10392=ORIENTED_EDGE('',*,*,#19077,.T.); +#10393=ORIENTED_EDGE('',*,*,#19078,.T.); +#10394=ORIENTED_EDGE('',*,*,#19075,.F.); +#10395=EDGE_LOOP('',(#10391,#10392,#10393,#10394)); +#10396=FACE_OUTER_BOUND('',#10395,.F.); +#10397=ADVANCED_FACE('',(#10396),#10390,.T.); +#10398=AXIS2_PLACEMENT_3D('',#2403,#72,#76); +#10399=PLANE('',#10398); +#10400=ORIENTED_EDGE('',*,*,#18929,.F.); +#10401=ORIENTED_EDGE('',*,*,#19074,.T.); +#10402=ORIENTED_EDGE('',*,*,#19079,.T.); +#10403=ORIENTED_EDGE('',*,*,#19077,.F.); +#10404=EDGE_LOOP('',(#10400,#10401,#10402,#10403)); +#10405=FACE_OUTER_BOUND('',#10404,.F.); +#10406=ADVANCED_FACE('',(#10405),#10399,.T.); +#10407=ORIENTED_EDGE('',*,*,#19073,.F.); +#10408=ORIENTED_EDGE('',*,*,#19076,.F.); +#10409=ORIENTED_EDGE('',*,*,#19078,.F.); +#10410=ORIENTED_EDGE('',*,*,#19079,.F.); +#10411=EDGE_LOOP('',(#10407,#10408,#10409,#10410)); +#10412=FACE_OUTER_BOUND('',#10411,.F.); +#10413=ADVANCED_FACE('',(#10412),#7485,.T.); +#10414=AXIS2_PLACEMENT_3D('',#2409,#84,#72); +#10415=PLANE('',#10414); +#10416=ORIENTED_EDGE('',*,*,#18932,.F.); +#10417=ORIENTED_EDGE('',*,*,#19080,.T.); +#10418=ORIENTED_EDGE('',*,*,#19081,.T.); +#10419=ORIENTED_EDGE('',*,*,#19082,.F.); +#10420=EDGE_LOOP('',(#10416,#10417,#10418,#10419)); +#10421=FACE_OUTER_BOUND('',#10420,.F.); +#10422=ADVANCED_FACE('',(#10421),#10415,.T.); +#10423=AXIS2_PLACEMENT_3D('',#2415,#80,#84); +#10424=PLANE('',#10423); +#10425=ORIENTED_EDGE('',*,*,#18935,.F.); +#10426=ORIENTED_EDGE('',*,*,#19083,.T.); +#10427=ORIENTED_EDGE('',*,*,#19084,.T.); +#10428=ORIENTED_EDGE('',*,*,#19080,.F.); +#10429=EDGE_LOOP('',(#10425,#10426,#10427,#10428)); +#10430=FACE_OUTER_BOUND('',#10429,.F.); +#10431=ADVANCED_FACE('',(#10430),#10424,.T.); +#10432=AXIS2_PLACEMENT_3D('',#2413,#76,#80); +#10433=PLANE('',#10432); +#10434=ORIENTED_EDGE('',*,*,#18934,.F.); +#10435=ORIENTED_EDGE('',*,*,#19085,.T.); +#10436=ORIENTED_EDGE('',*,*,#19086,.T.); +#10437=ORIENTED_EDGE('',*,*,#19083,.F.); +#10438=EDGE_LOOP('',(#10434,#10435,#10436,#10437)); +#10439=FACE_OUTER_BOUND('',#10438,.F.); +#10440=ADVANCED_FACE('',(#10439),#10433,.T.); +#10441=AXIS2_PLACEMENT_3D('',#2411,#72,#76); +#10442=PLANE('',#10441); +#10443=ORIENTED_EDGE('',*,*,#18933,.F.); +#10444=ORIENTED_EDGE('',*,*,#19082,.T.); +#10445=ORIENTED_EDGE('',*,*,#19087,.T.); +#10446=ORIENTED_EDGE('',*,*,#19085,.F.); +#10447=EDGE_LOOP('',(#10443,#10444,#10445,#10446)); +#10448=FACE_OUTER_BOUND('',#10447,.F.); +#10449=ADVANCED_FACE('',(#10448),#10442,.T.); +#10450=ORIENTED_EDGE('',*,*,#19081,.F.); +#10451=ORIENTED_EDGE('',*,*,#19084,.F.); +#10452=ORIENTED_EDGE('',*,*,#19086,.F.); +#10453=ORIENTED_EDGE('',*,*,#19087,.F.); +#10454=EDGE_LOOP('',(#10450,#10451,#10452,#10453)); +#10455=FACE_OUTER_BOUND('',#10454,.F.); +#10456=ADVANCED_FACE('',(#10455),#7485,.T.); +#10457=CARTESIAN_POINT('',(-1.937E1,-4.13E0,-1.055E1)); +#10458=AXIS2_PLACEMENT_3D('',#10457,#76,#80); +#10459=PLANE('',#10458); +#10460=ORIENTED_EDGE('',*,*,#18801,.F.); +#10461=ORIENTED_EDGE('',*,*,#18794,.T.); +#10462=ORIENTED_EDGE('',*,*,#19088,.T.); +#10463=ORIENTED_EDGE('',*,*,#19089,.T.); +#10464=ORIENTED_EDGE('',*,*,#19090,.F.); +#10465=EDGE_LOOP('',(#10460,#10461,#10462,#10463,#10464)); +#10466=FACE_OUTER_BOUND('',#10465,.F.); +#10467=ADVANCED_FACE('',(#10466),#10459,.T.); +#10468=CARTESIAN_POINT('',(-1.873E1,-4.13E0,-1.055E1)); +#10469=AXIS2_PLACEMENT_3D('',#10468,#72,#76); +#10470=PLANE('',#10469); +#10471=ORIENTED_EDGE('',*,*,#18793,.F.); +#10472=ORIENTED_EDGE('',*,*,#19091,.T.); +#10473=ORIENTED_EDGE('',*,*,#19092,.T.); +#10474=ORIENTED_EDGE('',*,*,#19088,.F.); +#10475=EDGE_LOOP('',(#10471,#10472,#10473,#10474)); +#10476=FACE_OUTER_BOUND('',#10475,.F.); +#10477=ADVANCED_FACE('',(#10476),#10470,.T.); +#10478=AXIS2_PLACEMENT_3D('',#2733,#84,#72); +#10479=PLANE('',#10478); +#10480=ORIENTED_EDGE('',*,*,#18803,.T.); +#10481=ORIENTED_EDGE('',*,*,#19093,.T.); +#10482=ORIENTED_EDGE('',*,*,#19094,.T.); +#10483=ORIENTED_EDGE('',*,*,#19091,.F.); +#10484=ORIENTED_EDGE('',*,*,#18792,.F.); +#10485=EDGE_LOOP('',(#10480,#10481,#10482,#10483,#10484)); +#10486=FACE_OUTER_BOUND('',#10485,.F.); +#10487=ADVANCED_FACE('',(#10486),#10479,.T.); +#10488=AXIS2_PLACEMENT_3D('',#2422,#80,#84); +#10489=PLANE('',#10488); +#10490=ORIENTED_EDGE('',*,*,#18802,.F.); +#10491=ORIENTED_EDGE('',*,*,#19090,.T.); +#10492=ORIENTED_EDGE('',*,*,#19095,.T.); +#10493=ORIENTED_EDGE('',*,*,#19093,.F.); +#10494=EDGE_LOOP('',(#10490,#10491,#10492,#10493)); +#10495=FACE_OUTER_BOUND('',#10494,.F.); +#10496=ADVANCED_FACE('',(#10495),#10489,.T.); +#10497=ORIENTED_EDGE('',*,*,#19094,.F.); +#10498=ORIENTED_EDGE('',*,*,#19095,.F.); +#10499=ORIENTED_EDGE('',*,*,#19089,.F.); +#10500=ORIENTED_EDGE('',*,*,#19092,.F.); +#10501=EDGE_LOOP('',(#10497,#10498,#10499,#10500)); +#10502=FACE_OUTER_BOUND('',#10501,.F.); +#10503=ADVANCED_FACE('',(#10502),#7485,.T.); +#10504=CARTESIAN_POINT('',(-1.683E1,-4.13E0,-1.055E1)); +#10505=AXIS2_PLACEMENT_3D('',#10504,#76,#80); +#10506=PLANE('',#10505); +#10507=ORIENTED_EDGE('',*,*,#18804,.F.); +#10508=ORIENTED_EDGE('',*,*,#18790,.T.); +#10509=ORIENTED_EDGE('',*,*,#19096,.T.); +#10510=ORIENTED_EDGE('',*,*,#19097,.T.); +#10511=ORIENTED_EDGE('',*,*,#19098,.F.); +#10512=EDGE_LOOP('',(#10507,#10508,#10509,#10510,#10511)); +#10513=FACE_OUTER_BOUND('',#10512,.F.); +#10514=ADVANCED_FACE('',(#10513),#10506,.T.); +#10515=CARTESIAN_POINT('',(-1.619E1,-4.13E0,-1.055E1)); +#10516=AXIS2_PLACEMENT_3D('',#10515,#72,#76); +#10517=PLANE('',#10516); +#10518=ORIENTED_EDGE('',*,*,#18789,.F.); +#10519=ORIENTED_EDGE('',*,*,#19099,.T.); +#10520=ORIENTED_EDGE('',*,*,#19100,.T.); +#10521=ORIENTED_EDGE('',*,*,#19096,.F.); +#10522=EDGE_LOOP('',(#10518,#10519,#10520,#10521)); +#10523=FACE_OUTER_BOUND('',#10522,.F.); +#10524=ADVANCED_FACE('',(#10523),#10517,.T.); +#10525=AXIS2_PLACEMENT_3D('',#2747,#84,#72); +#10526=PLANE('',#10525); +#10527=ORIENTED_EDGE('',*,*,#18806,.T.); +#10528=ORIENTED_EDGE('',*,*,#19101,.T.); +#10529=ORIENTED_EDGE('',*,*,#19102,.T.); +#10530=ORIENTED_EDGE('',*,*,#19099,.F.); +#10531=ORIENTED_EDGE('',*,*,#18788,.F.); +#10532=EDGE_LOOP('',(#10527,#10528,#10529,#10530,#10531)); +#10533=FACE_OUTER_BOUND('',#10532,.F.); +#10534=ADVANCED_FACE('',(#10533),#10526,.T.); +#10535=AXIS2_PLACEMENT_3D('',#2426,#80,#84); +#10536=PLANE('',#10535); +#10537=ORIENTED_EDGE('',*,*,#18805,.F.); +#10538=ORIENTED_EDGE('',*,*,#19098,.T.); +#10539=ORIENTED_EDGE('',*,*,#19103,.T.); +#10540=ORIENTED_EDGE('',*,*,#19101,.F.); +#10541=EDGE_LOOP('',(#10537,#10538,#10539,#10540)); +#10542=FACE_OUTER_BOUND('',#10541,.F.); +#10543=ADVANCED_FACE('',(#10542),#10536,.T.); +#10544=ORIENTED_EDGE('',*,*,#19102,.F.); +#10545=ORIENTED_EDGE('',*,*,#19103,.F.); +#10546=ORIENTED_EDGE('',*,*,#19097,.F.); +#10547=ORIENTED_EDGE('',*,*,#19100,.F.); +#10548=EDGE_LOOP('',(#10544,#10545,#10546,#10547)); +#10549=FACE_OUTER_BOUND('',#10548,.F.); +#10550=ADVANCED_FACE('',(#10549),#7485,.T.); +#10551=CARTESIAN_POINT('',(-1.429E1,-4.13E0,-1.055E1)); +#10552=AXIS2_PLACEMENT_3D('',#10551,#76,#80); +#10553=PLANE('',#10552); +#10554=ORIENTED_EDGE('',*,*,#18807,.F.); +#10555=ORIENTED_EDGE('',*,*,#18786,.T.); +#10556=ORIENTED_EDGE('',*,*,#19104,.T.); +#10557=ORIENTED_EDGE('',*,*,#19105,.T.); +#10558=ORIENTED_EDGE('',*,*,#19106,.F.); +#10559=EDGE_LOOP('',(#10554,#10555,#10556,#10557,#10558)); +#10560=FACE_OUTER_BOUND('',#10559,.F.); +#10561=ADVANCED_FACE('',(#10560),#10553,.T.); +#10562=CARTESIAN_POINT('',(-1.365E1,-4.13E0,-1.055E1)); +#10563=AXIS2_PLACEMENT_3D('',#10562,#72,#76); +#10564=PLANE('',#10563); +#10565=ORIENTED_EDGE('',*,*,#18785,.F.); +#10566=ORIENTED_EDGE('',*,*,#19107,.T.); +#10567=ORIENTED_EDGE('',*,*,#19108,.T.); +#10568=ORIENTED_EDGE('',*,*,#19104,.F.); +#10569=EDGE_LOOP('',(#10565,#10566,#10567,#10568)); +#10570=FACE_OUTER_BOUND('',#10569,.F.); +#10571=ADVANCED_FACE('',(#10570),#10564,.T.); +#10572=AXIS2_PLACEMENT_3D('',#2761,#84,#72); +#10573=PLANE('',#10572); +#10574=ORIENTED_EDGE('',*,*,#18809,.T.); +#10575=ORIENTED_EDGE('',*,*,#19109,.T.); +#10576=ORIENTED_EDGE('',*,*,#19110,.T.); +#10577=ORIENTED_EDGE('',*,*,#19107,.F.); +#10578=ORIENTED_EDGE('',*,*,#18784,.F.); +#10579=EDGE_LOOP('',(#10574,#10575,#10576,#10577,#10578)); +#10580=FACE_OUTER_BOUND('',#10579,.F.); +#10581=ADVANCED_FACE('',(#10580),#10573,.T.); +#10582=AXIS2_PLACEMENT_3D('',#2430,#80,#84); +#10583=PLANE('',#10582); +#10584=ORIENTED_EDGE('',*,*,#18808,.F.); +#10585=ORIENTED_EDGE('',*,*,#19106,.T.); +#10586=ORIENTED_EDGE('',*,*,#19111,.T.); +#10587=ORIENTED_EDGE('',*,*,#19109,.F.); +#10588=EDGE_LOOP('',(#10584,#10585,#10586,#10587)); +#10589=FACE_OUTER_BOUND('',#10588,.F.); +#10590=ADVANCED_FACE('',(#10589),#10583,.T.); +#10591=ORIENTED_EDGE('',*,*,#19110,.F.); +#10592=ORIENTED_EDGE('',*,*,#19111,.F.); +#10593=ORIENTED_EDGE('',*,*,#19105,.F.); +#10594=ORIENTED_EDGE('',*,*,#19108,.F.); +#10595=EDGE_LOOP('',(#10591,#10592,#10593,#10594)); +#10596=FACE_OUTER_BOUND('',#10595,.F.); +#10597=ADVANCED_FACE('',(#10596),#7485,.T.); +#10598=CARTESIAN_POINT('',(-1.175E1,-4.13E0,-1.055E1)); +#10599=AXIS2_PLACEMENT_3D('',#10598,#9786,#2291); +#10600=PLANE('',#10599); +#10601=ORIENTED_EDGE('',*,*,#18810,.F.); +#10602=ORIENTED_EDGE('',*,*,#18782,.T.); +#10603=ORIENTED_EDGE('',*,*,#19112,.T.); +#10604=ORIENTED_EDGE('',*,*,#19113,.T.); +#10605=ORIENTED_EDGE('',*,*,#19114,.F.); +#10606=EDGE_LOOP('',(#10601,#10602,#10603,#10604,#10605)); +#10607=FACE_OUTER_BOUND('',#10606,.F.); +#10608=ADVANCED_FACE('',(#10607),#10600,.T.); +#10609=CARTESIAN_POINT('',(-1.111E1,-4.13E0,-1.055E1)); +#10610=AXIS2_PLACEMENT_3D('',#10609,#72,#76); +#10611=PLANE('',#10610); +#10612=ORIENTED_EDGE('',*,*,#18781,.F.); +#10613=ORIENTED_EDGE('',*,*,#19115,.T.); +#10614=ORIENTED_EDGE('',*,*,#19116,.T.); +#10615=ORIENTED_EDGE('',*,*,#19112,.F.); +#10616=EDGE_LOOP('',(#10612,#10613,#10614,#10615)); +#10617=FACE_OUTER_BOUND('',#10616,.F.); +#10618=ADVANCED_FACE('',(#10617),#10611,.T.); +#10619=AXIS2_PLACEMENT_3D('',#2775,#84,#72); +#10620=PLANE('',#10619); +#10621=ORIENTED_EDGE('',*,*,#18812,.T.); +#10622=ORIENTED_EDGE('',*,*,#19117,.T.); +#10623=ORIENTED_EDGE('',*,*,#19118,.T.); +#10624=ORIENTED_EDGE('',*,*,#19115,.F.); +#10625=ORIENTED_EDGE('',*,*,#18780,.F.); +#10626=EDGE_LOOP('',(#10621,#10622,#10623,#10624,#10625)); +#10627=FACE_OUTER_BOUND('',#10626,.F.); +#10628=ADVANCED_FACE('',(#10627),#10620,.T.); +#10629=AXIS2_PLACEMENT_3D('',#2436,#80,#84); +#10630=PLANE('',#10629); +#10631=ORIENTED_EDGE('',*,*,#18811,.F.); +#10632=ORIENTED_EDGE('',*,*,#19114,.T.); +#10633=ORIENTED_EDGE('',*,*,#19119,.T.); +#10634=ORIENTED_EDGE('',*,*,#19117,.F.); +#10635=EDGE_LOOP('',(#10631,#10632,#10633,#10634)); +#10636=FACE_OUTER_BOUND('',#10635,.F.); +#10637=ADVANCED_FACE('',(#10636),#10630,.T.); +#10638=ORIENTED_EDGE('',*,*,#19118,.F.); +#10639=ORIENTED_EDGE('',*,*,#19119,.F.); +#10640=ORIENTED_EDGE('',*,*,#19113,.F.); +#10641=ORIENTED_EDGE('',*,*,#19116,.F.); +#10642=EDGE_LOOP('',(#10638,#10639,#10640,#10641)); +#10643=FACE_OUTER_BOUND('',#10642,.F.); +#10644=ADVANCED_FACE('',(#10643),#7485,.T.); +#10645=CARTESIAN_POINT('',(-9.21E0,-4.13E0,-1.055E1)); +#10646=AXIS2_PLACEMENT_3D('',#10645,#76,#80); +#10647=PLANE('',#10646); +#10648=ORIENTED_EDGE('',*,*,#18813,.F.); +#10649=ORIENTED_EDGE('',*,*,#18778,.T.); +#10650=ORIENTED_EDGE('',*,*,#19120,.T.); +#10651=ORIENTED_EDGE('',*,*,#19121,.T.); +#10652=ORIENTED_EDGE('',*,*,#19122,.F.); +#10653=EDGE_LOOP('',(#10648,#10649,#10650,#10651,#10652)); +#10654=FACE_OUTER_BOUND('',#10653,.F.); +#10655=ADVANCED_FACE('',(#10654),#10647,.T.); +#10656=CARTESIAN_POINT('',(-8.57E0,-4.13E0,-1.055E1)); +#10657=AXIS2_PLACEMENT_3D('',#10656,#72,#76); +#10658=PLANE('',#10657); +#10659=ORIENTED_EDGE('',*,*,#18777,.F.); +#10660=ORIENTED_EDGE('',*,*,#19123,.T.); +#10661=ORIENTED_EDGE('',*,*,#19124,.T.); +#10662=ORIENTED_EDGE('',*,*,#19120,.F.); +#10663=EDGE_LOOP('',(#10659,#10660,#10661,#10662)); +#10664=FACE_OUTER_BOUND('',#10663,.F.); +#10665=ADVANCED_FACE('',(#10664),#10658,.T.); +#10666=AXIS2_PLACEMENT_3D('',#2789,#84,#72); +#10667=PLANE('',#10666); +#10668=ORIENTED_EDGE('',*,*,#18815,.T.); +#10669=ORIENTED_EDGE('',*,*,#19125,.T.); +#10670=ORIENTED_EDGE('',*,*,#19126,.T.); +#10671=ORIENTED_EDGE('',*,*,#19123,.F.); +#10672=ORIENTED_EDGE('',*,*,#18776,.F.); +#10673=EDGE_LOOP('',(#10668,#10669,#10670,#10671,#10672)); +#10674=FACE_OUTER_BOUND('',#10673,.F.); +#10675=ADVANCED_FACE('',(#10674),#10667,.T.); +#10676=AXIS2_PLACEMENT_3D('',#2440,#80,#84); +#10677=PLANE('',#10676); +#10678=ORIENTED_EDGE('',*,*,#18814,.F.); +#10679=ORIENTED_EDGE('',*,*,#19122,.T.); +#10680=ORIENTED_EDGE('',*,*,#19127,.T.); +#10681=ORIENTED_EDGE('',*,*,#19125,.F.); +#10682=EDGE_LOOP('',(#10678,#10679,#10680,#10681)); +#10683=FACE_OUTER_BOUND('',#10682,.F.); +#10684=ADVANCED_FACE('',(#10683),#10677,.T.); +#10685=ORIENTED_EDGE('',*,*,#19126,.F.); +#10686=ORIENTED_EDGE('',*,*,#19127,.F.); +#10687=ORIENTED_EDGE('',*,*,#19121,.F.); +#10688=ORIENTED_EDGE('',*,*,#19124,.F.); +#10689=EDGE_LOOP('',(#10685,#10686,#10687,#10688)); +#10690=FACE_OUTER_BOUND('',#10689,.F.); +#10691=ADVANCED_FACE('',(#10690),#7485,.T.); +#10692=CARTESIAN_POINT('',(-6.67E0,-4.13E0,-1.055E1)); +#10693=AXIS2_PLACEMENT_3D('',#10692,#76,#80); +#10694=PLANE('',#10693); +#10695=ORIENTED_EDGE('',*,*,#18816,.F.); +#10696=ORIENTED_EDGE('',*,*,#18774,.T.); +#10697=ORIENTED_EDGE('',*,*,#19128,.T.); +#10698=ORIENTED_EDGE('',*,*,#19129,.T.); +#10699=ORIENTED_EDGE('',*,*,#19130,.F.); +#10700=EDGE_LOOP('',(#10695,#10696,#10697,#10698,#10699)); +#10701=FACE_OUTER_BOUND('',#10700,.F.); +#10702=ADVANCED_FACE('',(#10701),#10694,.T.); +#10703=CARTESIAN_POINT('',(-6.03E0,-4.13E0,-1.055E1)); +#10704=AXIS2_PLACEMENT_3D('',#10703,#72,#76); +#10705=PLANE('',#10704); +#10706=ORIENTED_EDGE('',*,*,#18773,.F.); +#10707=ORIENTED_EDGE('',*,*,#19131,.T.); +#10708=ORIENTED_EDGE('',*,*,#19132,.T.); +#10709=ORIENTED_EDGE('',*,*,#19128,.F.); +#10710=EDGE_LOOP('',(#10706,#10707,#10708,#10709)); +#10711=FACE_OUTER_BOUND('',#10710,.F.); +#10712=ADVANCED_FACE('',(#10711),#10705,.T.); +#10713=AXIS2_PLACEMENT_3D('',#2803,#84,#72); +#10714=PLANE('',#10713); +#10715=ORIENTED_EDGE('',*,*,#18818,.T.); +#10716=ORIENTED_EDGE('',*,*,#19133,.T.); +#10717=ORIENTED_EDGE('',*,*,#19134,.T.); +#10718=ORIENTED_EDGE('',*,*,#19131,.F.); +#10719=ORIENTED_EDGE('',*,*,#18772,.F.); +#10720=EDGE_LOOP('',(#10715,#10716,#10717,#10718,#10719)); +#10721=FACE_OUTER_BOUND('',#10720,.F.); +#10722=ADVANCED_FACE('',(#10721),#10714,.T.); +#10723=AXIS2_PLACEMENT_3D('',#2444,#80,#84); +#10724=PLANE('',#10723); +#10725=ORIENTED_EDGE('',*,*,#18817,.F.); +#10726=ORIENTED_EDGE('',*,*,#19130,.T.); +#10727=ORIENTED_EDGE('',*,*,#19135,.T.); +#10728=ORIENTED_EDGE('',*,*,#19133,.F.); +#10729=EDGE_LOOP('',(#10725,#10726,#10727,#10728)); +#10730=FACE_OUTER_BOUND('',#10729,.F.); +#10731=ADVANCED_FACE('',(#10730),#10724,.T.); +#10732=ORIENTED_EDGE('',*,*,#19134,.F.); +#10733=ORIENTED_EDGE('',*,*,#19135,.F.); +#10734=ORIENTED_EDGE('',*,*,#19129,.F.); +#10735=ORIENTED_EDGE('',*,*,#19132,.F.); +#10736=EDGE_LOOP('',(#10732,#10733,#10734,#10735)); +#10737=FACE_OUTER_BOUND('',#10736,.F.); +#10738=ADVANCED_FACE('',(#10737),#7485,.T.); +#10739=CARTESIAN_POINT('',(-4.13E0,-4.13E0,-1.055E1)); +#10740=AXIS2_PLACEMENT_3D('',#10739,#76,#80); +#10741=PLANE('',#10740); +#10742=ORIENTED_EDGE('',*,*,#18819,.F.); +#10743=ORIENTED_EDGE('',*,*,#18770,.T.); +#10744=ORIENTED_EDGE('',*,*,#19136,.T.); +#10745=ORIENTED_EDGE('',*,*,#19137,.T.); +#10746=ORIENTED_EDGE('',*,*,#19138,.F.); +#10747=EDGE_LOOP('',(#10742,#10743,#10744,#10745,#10746)); +#10748=FACE_OUTER_BOUND('',#10747,.F.); +#10749=ADVANCED_FACE('',(#10748),#10741,.T.); +#10750=CARTESIAN_POINT('',(-3.49E0,-4.13E0,-1.055E1)); +#10751=AXIS2_PLACEMENT_3D('',#10750,#72,#76); +#10752=PLANE('',#10751); +#10753=ORIENTED_EDGE('',*,*,#18769,.F.); +#10754=ORIENTED_EDGE('',*,*,#19139,.T.); +#10755=ORIENTED_EDGE('',*,*,#19140,.T.); +#10756=ORIENTED_EDGE('',*,*,#19136,.F.); +#10757=EDGE_LOOP('',(#10753,#10754,#10755,#10756)); +#10758=FACE_OUTER_BOUND('',#10757,.F.); +#10759=ADVANCED_FACE('',(#10758),#10752,.T.); +#10760=AXIS2_PLACEMENT_3D('',#2817,#84,#72); +#10761=PLANE('',#10760); +#10762=ORIENTED_EDGE('',*,*,#18821,.T.); +#10763=ORIENTED_EDGE('',*,*,#19141,.T.); +#10764=ORIENTED_EDGE('',*,*,#19142,.T.); +#10765=ORIENTED_EDGE('',*,*,#19139,.F.); +#10766=ORIENTED_EDGE('',*,*,#18768,.F.); +#10767=EDGE_LOOP('',(#10762,#10763,#10764,#10765,#10766)); +#10768=FACE_OUTER_BOUND('',#10767,.F.); +#10769=ADVANCED_FACE('',(#10768),#10761,.T.); +#10770=AXIS2_PLACEMENT_3D('',#2448,#80,#84); +#10771=PLANE('',#10770); +#10772=ORIENTED_EDGE('',*,*,#18820,.F.); +#10773=ORIENTED_EDGE('',*,*,#19138,.T.); +#10774=ORIENTED_EDGE('',*,*,#19143,.T.); +#10775=ORIENTED_EDGE('',*,*,#19141,.F.); +#10776=EDGE_LOOP('',(#10772,#10773,#10774,#10775)); +#10777=FACE_OUTER_BOUND('',#10776,.F.); +#10778=ADVANCED_FACE('',(#10777),#10771,.T.); +#10779=ORIENTED_EDGE('',*,*,#19142,.F.); +#10780=ORIENTED_EDGE('',*,*,#19143,.F.); +#10781=ORIENTED_EDGE('',*,*,#19137,.F.); +#10782=ORIENTED_EDGE('',*,*,#19140,.F.); +#10783=EDGE_LOOP('',(#10779,#10780,#10781,#10782)); +#10784=FACE_OUTER_BOUND('',#10783,.F.); +#10785=ADVANCED_FACE('',(#10784),#7485,.T.); +#10786=CARTESIAN_POINT('',(-1.59E0,-4.13E0,-1.055E1)); +#10787=AXIS2_PLACEMENT_3D('',#10786,#76,#80); +#10788=PLANE('',#10787); +#10789=ORIENTED_EDGE('',*,*,#18822,.F.); +#10790=ORIENTED_EDGE('',*,*,#18766,.T.); +#10791=ORIENTED_EDGE('',*,*,#19144,.T.); +#10792=ORIENTED_EDGE('',*,*,#19145,.T.); +#10793=ORIENTED_EDGE('',*,*,#19146,.F.); +#10794=EDGE_LOOP('',(#10789,#10790,#10791,#10792,#10793)); +#10795=FACE_OUTER_BOUND('',#10794,.F.); +#10796=ADVANCED_FACE('',(#10795),#10788,.T.); +#10797=CARTESIAN_POINT('',(-9.5E-1,-4.13E0,-1.055E1)); +#10798=AXIS2_PLACEMENT_3D('',#10797,#72,#76); +#10799=PLANE('',#10798); +#10800=ORIENTED_EDGE('',*,*,#18765,.F.); +#10801=ORIENTED_EDGE('',*,*,#19147,.T.); +#10802=ORIENTED_EDGE('',*,*,#19148,.T.); +#10803=ORIENTED_EDGE('',*,*,#19144,.F.); +#10804=EDGE_LOOP('',(#10800,#10801,#10802,#10803)); +#10805=FACE_OUTER_BOUND('',#10804,.F.); +#10806=ADVANCED_FACE('',(#10805),#10799,.T.); +#10807=AXIS2_PLACEMENT_3D('',#2831,#84,#72); +#10808=PLANE('',#10807); +#10809=ORIENTED_EDGE('',*,*,#18824,.T.); +#10810=ORIENTED_EDGE('',*,*,#19149,.T.); +#10811=ORIENTED_EDGE('',*,*,#19150,.T.); +#10812=ORIENTED_EDGE('',*,*,#19147,.F.); +#10813=ORIENTED_EDGE('',*,*,#18764,.F.); +#10814=EDGE_LOOP('',(#10809,#10810,#10811,#10812,#10813)); +#10815=FACE_OUTER_BOUND('',#10814,.F.); +#10816=ADVANCED_FACE('',(#10815),#10808,.T.); +#10817=AXIS2_PLACEMENT_3D('',#2452,#80,#84); +#10818=PLANE('',#10817); +#10819=ORIENTED_EDGE('',*,*,#18823,.F.); +#10820=ORIENTED_EDGE('',*,*,#19146,.T.); +#10821=ORIENTED_EDGE('',*,*,#19151,.T.); +#10822=ORIENTED_EDGE('',*,*,#19149,.F.); +#10823=EDGE_LOOP('',(#10819,#10820,#10821,#10822)); +#10824=FACE_OUTER_BOUND('',#10823,.F.); +#10825=ADVANCED_FACE('',(#10824),#10818,.T.); +#10826=ORIENTED_EDGE('',*,*,#19150,.F.); +#10827=ORIENTED_EDGE('',*,*,#19151,.F.); +#10828=ORIENTED_EDGE('',*,*,#19145,.F.); +#10829=ORIENTED_EDGE('',*,*,#19148,.F.); +#10830=EDGE_LOOP('',(#10826,#10827,#10828,#10829)); +#10831=FACE_OUTER_BOUND('',#10830,.F.); +#10832=ADVANCED_FACE('',(#10831),#7485,.T.); +#10833=CARTESIAN_POINT('',(9.5E-1,-4.13E0,-1.055E1)); +#10834=AXIS2_PLACEMENT_3D('',#10833,#76,#80); +#10835=PLANE('',#10834); +#10836=ORIENTED_EDGE('',*,*,#18825,.F.); +#10837=ORIENTED_EDGE('',*,*,#18762,.T.); +#10838=ORIENTED_EDGE('',*,*,#19152,.T.); +#10839=ORIENTED_EDGE('',*,*,#19153,.T.); +#10840=ORIENTED_EDGE('',*,*,#19154,.F.); +#10841=EDGE_LOOP('',(#10836,#10837,#10838,#10839,#10840)); +#10842=FACE_OUTER_BOUND('',#10841,.F.); +#10843=ADVANCED_FACE('',(#10842),#10835,.T.); +#10844=CARTESIAN_POINT('',(1.59E0,-4.13E0,-1.055E1)); +#10845=AXIS2_PLACEMENT_3D('',#10844,#72,#76); +#10846=PLANE('',#10845); +#10847=ORIENTED_EDGE('',*,*,#18761,.F.); +#10848=ORIENTED_EDGE('',*,*,#19155,.T.); +#10849=ORIENTED_EDGE('',*,*,#19156,.T.); +#10850=ORIENTED_EDGE('',*,*,#19152,.F.); +#10851=EDGE_LOOP('',(#10847,#10848,#10849,#10850)); +#10852=FACE_OUTER_BOUND('',#10851,.F.); +#10853=ADVANCED_FACE('',(#10852),#10846,.T.); +#10854=AXIS2_PLACEMENT_3D('',#2845,#84,#72); +#10855=PLANE('',#10854); +#10856=ORIENTED_EDGE('',*,*,#18827,.T.); +#10857=ORIENTED_EDGE('',*,*,#19157,.T.); +#10858=ORIENTED_EDGE('',*,*,#19158,.T.); +#10859=ORIENTED_EDGE('',*,*,#19155,.F.); +#10860=ORIENTED_EDGE('',*,*,#18760,.F.); +#10861=EDGE_LOOP('',(#10856,#10857,#10858,#10859,#10860)); +#10862=FACE_OUTER_BOUND('',#10861,.F.); +#10863=ADVANCED_FACE('',(#10862),#10855,.T.); +#10864=AXIS2_PLACEMENT_3D('',#2456,#80,#84); +#10865=PLANE('',#10864); +#10866=ORIENTED_EDGE('',*,*,#18826,.F.); +#10867=ORIENTED_EDGE('',*,*,#19154,.T.); +#10868=ORIENTED_EDGE('',*,*,#19159,.T.); +#10869=ORIENTED_EDGE('',*,*,#19157,.F.); +#10870=EDGE_LOOP('',(#10866,#10867,#10868,#10869)); +#10871=FACE_OUTER_BOUND('',#10870,.F.); +#10872=ADVANCED_FACE('',(#10871),#10865,.T.); +#10873=ORIENTED_EDGE('',*,*,#19158,.F.); +#10874=ORIENTED_EDGE('',*,*,#19159,.F.); +#10875=ORIENTED_EDGE('',*,*,#19153,.F.); +#10876=ORIENTED_EDGE('',*,*,#19156,.F.); +#10877=EDGE_LOOP('',(#10873,#10874,#10875,#10876)); +#10878=FACE_OUTER_BOUND('',#10877,.F.); +#10879=ADVANCED_FACE('',(#10878),#7485,.T.); +#10880=CARTESIAN_POINT('',(3.49E0,-4.13E0,-1.055E1)); +#10881=AXIS2_PLACEMENT_3D('',#10880,#76,#80); +#10882=PLANE('',#10881); +#10883=ORIENTED_EDGE('',*,*,#18828,.F.); +#10884=ORIENTED_EDGE('',*,*,#18758,.T.); +#10885=ORIENTED_EDGE('',*,*,#19160,.T.); +#10886=ORIENTED_EDGE('',*,*,#19161,.T.); +#10887=ORIENTED_EDGE('',*,*,#19162,.F.); +#10888=EDGE_LOOP('',(#10883,#10884,#10885,#10886,#10887)); +#10889=FACE_OUTER_BOUND('',#10888,.F.); +#10890=ADVANCED_FACE('',(#10889),#10882,.T.); +#10891=CARTESIAN_POINT('',(4.13E0,-4.13E0,-1.055E1)); +#10892=AXIS2_PLACEMENT_3D('',#10891,#72,#76); +#10893=PLANE('',#10892); +#10894=ORIENTED_EDGE('',*,*,#18757,.F.); +#10895=ORIENTED_EDGE('',*,*,#19163,.T.); +#10896=ORIENTED_EDGE('',*,*,#19164,.T.); +#10897=ORIENTED_EDGE('',*,*,#19160,.F.); +#10898=EDGE_LOOP('',(#10894,#10895,#10896,#10897)); +#10899=FACE_OUTER_BOUND('',#10898,.F.); +#10900=ADVANCED_FACE('',(#10899),#10893,.T.); +#10901=AXIS2_PLACEMENT_3D('',#2859,#84,#72); +#10902=PLANE('',#10901); +#10903=ORIENTED_EDGE('',*,*,#18830,.T.); +#10904=ORIENTED_EDGE('',*,*,#19165,.T.); +#10905=ORIENTED_EDGE('',*,*,#19166,.T.); +#10906=ORIENTED_EDGE('',*,*,#19163,.F.); +#10907=ORIENTED_EDGE('',*,*,#18756,.F.); +#10908=EDGE_LOOP('',(#10903,#10904,#10905,#10906,#10907)); +#10909=FACE_OUTER_BOUND('',#10908,.F.); +#10910=ADVANCED_FACE('',(#10909),#10902,.T.); +#10911=AXIS2_PLACEMENT_3D('',#2460,#80,#84); +#10912=PLANE('',#10911); +#10913=ORIENTED_EDGE('',*,*,#18829,.F.); +#10914=ORIENTED_EDGE('',*,*,#19162,.T.); +#10915=ORIENTED_EDGE('',*,*,#19167,.T.); +#10916=ORIENTED_EDGE('',*,*,#19165,.F.); +#10917=EDGE_LOOP('',(#10913,#10914,#10915,#10916)); +#10918=FACE_OUTER_BOUND('',#10917,.F.); +#10919=ADVANCED_FACE('',(#10918),#10912,.T.); +#10920=ORIENTED_EDGE('',*,*,#19166,.F.); +#10921=ORIENTED_EDGE('',*,*,#19167,.F.); +#10922=ORIENTED_EDGE('',*,*,#19161,.F.); +#10923=ORIENTED_EDGE('',*,*,#19164,.F.); +#10924=EDGE_LOOP('',(#10920,#10921,#10922,#10923)); +#10925=FACE_OUTER_BOUND('',#10924,.F.); +#10926=ADVANCED_FACE('',(#10925),#7485,.T.); +#10927=CARTESIAN_POINT('',(6.03E0,-4.13E0,-1.055E1)); +#10928=AXIS2_PLACEMENT_3D('',#10927,#76,#80); +#10929=PLANE('',#10928); +#10930=ORIENTED_EDGE('',*,*,#18831,.F.); +#10931=ORIENTED_EDGE('',*,*,#18754,.T.); +#10932=ORIENTED_EDGE('',*,*,#19168,.T.); +#10933=ORIENTED_EDGE('',*,*,#19169,.T.); +#10934=ORIENTED_EDGE('',*,*,#19170,.F.); +#10935=EDGE_LOOP('',(#10930,#10931,#10932,#10933,#10934)); +#10936=FACE_OUTER_BOUND('',#10935,.F.); +#10937=ADVANCED_FACE('',(#10936),#10929,.T.); +#10938=CARTESIAN_POINT('',(6.67E0,-4.13E0,-1.055E1)); +#10939=AXIS2_PLACEMENT_3D('',#10938,#72,#76); +#10940=PLANE('',#10939); +#10941=ORIENTED_EDGE('',*,*,#18753,.F.); +#10942=ORIENTED_EDGE('',*,*,#19171,.T.); +#10943=ORIENTED_EDGE('',*,*,#19172,.T.); +#10944=ORIENTED_EDGE('',*,*,#19168,.F.); +#10945=EDGE_LOOP('',(#10941,#10942,#10943,#10944)); +#10946=FACE_OUTER_BOUND('',#10945,.F.); +#10947=ADVANCED_FACE('',(#10946),#10940,.T.); +#10948=AXIS2_PLACEMENT_3D('',#2873,#84,#72); +#10949=PLANE('',#10948); +#10950=ORIENTED_EDGE('',*,*,#18833,.T.); +#10951=ORIENTED_EDGE('',*,*,#19173,.T.); +#10952=ORIENTED_EDGE('',*,*,#19174,.T.); +#10953=ORIENTED_EDGE('',*,*,#19171,.F.); +#10954=ORIENTED_EDGE('',*,*,#18752,.F.); +#10955=EDGE_LOOP('',(#10950,#10951,#10952,#10953,#10954)); +#10956=FACE_OUTER_BOUND('',#10955,.F.); +#10957=ADVANCED_FACE('',(#10956),#10949,.T.); +#10958=AXIS2_PLACEMENT_3D('',#2464,#80,#84); +#10959=PLANE('',#10958); +#10960=ORIENTED_EDGE('',*,*,#18832,.F.); +#10961=ORIENTED_EDGE('',*,*,#19170,.T.); +#10962=ORIENTED_EDGE('',*,*,#19175,.T.); +#10963=ORIENTED_EDGE('',*,*,#19173,.F.); +#10964=EDGE_LOOP('',(#10960,#10961,#10962,#10963)); +#10965=FACE_OUTER_BOUND('',#10964,.F.); +#10966=ADVANCED_FACE('',(#10965),#10959,.T.); +#10967=ORIENTED_EDGE('',*,*,#19174,.F.); +#10968=ORIENTED_EDGE('',*,*,#19175,.F.); +#10969=ORIENTED_EDGE('',*,*,#19169,.F.); +#10970=ORIENTED_EDGE('',*,*,#19172,.F.); +#10971=EDGE_LOOP('',(#10967,#10968,#10969,#10970)); +#10972=FACE_OUTER_BOUND('',#10971,.F.); +#10973=ADVANCED_FACE('',(#10972),#7485,.T.); +#10974=CARTESIAN_POINT('',(8.57E0,-4.13E0,-1.055E1)); +#10975=AXIS2_PLACEMENT_3D('',#10974,#76,#80); +#10976=PLANE('',#10975); +#10977=ORIENTED_EDGE('',*,*,#18834,.F.); +#10978=ORIENTED_EDGE('',*,*,#18750,.T.); +#10979=ORIENTED_EDGE('',*,*,#19176,.T.); +#10980=ORIENTED_EDGE('',*,*,#19177,.T.); +#10981=ORIENTED_EDGE('',*,*,#19178,.F.); +#10982=EDGE_LOOP('',(#10977,#10978,#10979,#10980,#10981)); +#10983=FACE_OUTER_BOUND('',#10982,.F.); +#10984=ADVANCED_FACE('',(#10983),#10976,.T.); +#10985=CARTESIAN_POINT('',(9.21E0,-4.13E0,-1.055E1)); +#10986=AXIS2_PLACEMENT_3D('',#10985,#72,#76); +#10987=PLANE('',#10986); +#10988=ORIENTED_EDGE('',*,*,#18749,.F.); +#10989=ORIENTED_EDGE('',*,*,#19179,.T.); +#10990=ORIENTED_EDGE('',*,*,#19180,.T.); +#10991=ORIENTED_EDGE('',*,*,#19176,.F.); +#10992=EDGE_LOOP('',(#10988,#10989,#10990,#10991)); +#10993=FACE_OUTER_BOUND('',#10992,.F.); +#10994=ADVANCED_FACE('',(#10993),#10987,.T.); +#10995=AXIS2_PLACEMENT_3D('',#2887,#84,#72); +#10996=PLANE('',#10995); +#10997=ORIENTED_EDGE('',*,*,#18836,.T.); +#10998=ORIENTED_EDGE('',*,*,#19181,.T.); +#10999=ORIENTED_EDGE('',*,*,#19182,.T.); +#11000=ORIENTED_EDGE('',*,*,#19179,.F.); +#11001=ORIENTED_EDGE('',*,*,#18748,.F.); +#11002=EDGE_LOOP('',(#10997,#10998,#10999,#11000,#11001)); +#11003=FACE_OUTER_BOUND('',#11002,.F.); +#11004=ADVANCED_FACE('',(#11003),#10996,.T.); +#11005=AXIS2_PLACEMENT_3D('',#2468,#80,#84); +#11006=PLANE('',#11005); +#11007=ORIENTED_EDGE('',*,*,#18835,.F.); +#11008=ORIENTED_EDGE('',*,*,#19178,.T.); +#11009=ORIENTED_EDGE('',*,*,#19183,.T.); +#11010=ORIENTED_EDGE('',*,*,#19181,.F.); +#11011=EDGE_LOOP('',(#11007,#11008,#11009,#11010)); +#11012=FACE_OUTER_BOUND('',#11011,.F.); +#11013=ADVANCED_FACE('',(#11012),#11006,.T.); +#11014=ORIENTED_EDGE('',*,*,#19182,.F.); +#11015=ORIENTED_EDGE('',*,*,#19183,.F.); +#11016=ORIENTED_EDGE('',*,*,#19177,.F.); +#11017=ORIENTED_EDGE('',*,*,#19180,.F.); +#11018=EDGE_LOOP('',(#11014,#11015,#11016,#11017)); +#11019=FACE_OUTER_BOUND('',#11018,.F.); +#11020=ADVANCED_FACE('',(#11019),#7485,.T.); +#11021=CARTESIAN_POINT('',(1.111E1,-4.13E0,-1.055E1)); +#11022=AXIS2_PLACEMENT_3D('',#11021,#76,#80); +#11023=PLANE('',#11022); +#11024=ORIENTED_EDGE('',*,*,#18837,.F.); +#11025=ORIENTED_EDGE('',*,*,#18746,.T.); +#11026=ORIENTED_EDGE('',*,*,#19184,.T.); +#11027=ORIENTED_EDGE('',*,*,#19185,.T.); +#11028=ORIENTED_EDGE('',*,*,#19186,.F.); +#11029=EDGE_LOOP('',(#11024,#11025,#11026,#11027,#11028)); +#11030=FACE_OUTER_BOUND('',#11029,.F.); +#11031=ADVANCED_FACE('',(#11030),#11023,.T.); +#11032=CARTESIAN_POINT('',(1.175E1,-4.13E0,-1.055E1)); +#11033=AXIS2_PLACEMENT_3D('',#11032,#72,#76); +#11034=PLANE('',#11033); +#11035=ORIENTED_EDGE('',*,*,#18745,.F.); +#11036=ORIENTED_EDGE('',*,*,#19187,.T.); +#11037=ORIENTED_EDGE('',*,*,#19188,.T.); +#11038=ORIENTED_EDGE('',*,*,#19184,.F.); +#11039=EDGE_LOOP('',(#11035,#11036,#11037,#11038)); +#11040=FACE_OUTER_BOUND('',#11039,.F.); +#11041=ADVANCED_FACE('',(#11040),#11034,.T.); +#11042=AXIS2_PLACEMENT_3D('',#2901,#84,#72); +#11043=PLANE('',#11042); +#11044=ORIENTED_EDGE('',*,*,#18839,.T.); +#11045=ORIENTED_EDGE('',*,*,#19189,.T.); +#11046=ORIENTED_EDGE('',*,*,#19190,.T.); +#11047=ORIENTED_EDGE('',*,*,#19187,.F.); +#11048=ORIENTED_EDGE('',*,*,#18744,.F.); +#11049=EDGE_LOOP('',(#11044,#11045,#11046,#11047,#11048)); +#11050=FACE_OUTER_BOUND('',#11049,.F.); +#11051=ADVANCED_FACE('',(#11050),#11043,.T.); +#11052=AXIS2_PLACEMENT_3D('',#2472,#80,#84); +#11053=PLANE('',#11052); +#11054=ORIENTED_EDGE('',*,*,#18838,.F.); +#11055=ORIENTED_EDGE('',*,*,#19186,.T.); +#11056=ORIENTED_EDGE('',*,*,#19191,.T.); +#11057=ORIENTED_EDGE('',*,*,#19189,.F.); +#11058=EDGE_LOOP('',(#11054,#11055,#11056,#11057)); +#11059=FACE_OUTER_BOUND('',#11058,.F.); +#11060=ADVANCED_FACE('',(#11059),#11053,.T.); +#11061=ORIENTED_EDGE('',*,*,#19190,.F.); +#11062=ORIENTED_EDGE('',*,*,#19191,.F.); +#11063=ORIENTED_EDGE('',*,*,#19185,.F.); +#11064=ORIENTED_EDGE('',*,*,#19188,.F.); +#11065=EDGE_LOOP('',(#11061,#11062,#11063,#11064)); +#11066=FACE_OUTER_BOUND('',#11065,.F.); +#11067=ADVANCED_FACE('',(#11066),#7485,.T.); +#11068=CARTESIAN_POINT('',(1.365E1,-4.13E0,-1.055E1)); +#11069=AXIS2_PLACEMENT_3D('',#11068,#76,#80); +#11070=PLANE('',#11069); +#11071=ORIENTED_EDGE('',*,*,#18840,.F.); +#11072=ORIENTED_EDGE('',*,*,#18742,.T.); +#11073=ORIENTED_EDGE('',*,*,#19192,.T.); +#11074=ORIENTED_EDGE('',*,*,#19193,.T.); +#11075=ORIENTED_EDGE('',*,*,#19194,.F.); +#11076=EDGE_LOOP('',(#11071,#11072,#11073,#11074,#11075)); +#11077=FACE_OUTER_BOUND('',#11076,.F.); +#11078=ADVANCED_FACE('',(#11077),#11070,.T.); +#11079=CARTESIAN_POINT('',(1.429E1,-4.13E0,-1.055E1)); +#11080=AXIS2_PLACEMENT_3D('',#11079,#72,#76); +#11081=PLANE('',#11080); +#11082=ORIENTED_EDGE('',*,*,#18741,.F.); +#11083=ORIENTED_EDGE('',*,*,#19195,.T.); +#11084=ORIENTED_EDGE('',*,*,#19196,.T.); +#11085=ORIENTED_EDGE('',*,*,#19192,.F.); +#11086=EDGE_LOOP('',(#11082,#11083,#11084,#11085)); +#11087=FACE_OUTER_BOUND('',#11086,.F.); +#11088=ADVANCED_FACE('',(#11087),#11081,.T.); +#11089=AXIS2_PLACEMENT_3D('',#2915,#84,#72); +#11090=PLANE('',#11089); +#11091=ORIENTED_EDGE('',*,*,#18842,.T.); +#11092=ORIENTED_EDGE('',*,*,#19197,.T.); +#11093=ORIENTED_EDGE('',*,*,#19198,.T.); +#11094=ORIENTED_EDGE('',*,*,#19195,.F.); +#11095=ORIENTED_EDGE('',*,*,#18740,.F.); +#11096=EDGE_LOOP('',(#11091,#11092,#11093,#11094,#11095)); +#11097=FACE_OUTER_BOUND('',#11096,.F.); +#11098=ADVANCED_FACE('',(#11097),#11090,.T.); +#11099=AXIS2_PLACEMENT_3D('',#2476,#80,#84); +#11100=PLANE('',#11099); +#11101=ORIENTED_EDGE('',*,*,#18841,.F.); +#11102=ORIENTED_EDGE('',*,*,#19194,.T.); +#11103=ORIENTED_EDGE('',*,*,#19199,.T.); +#11104=ORIENTED_EDGE('',*,*,#19197,.F.); +#11105=EDGE_LOOP('',(#11101,#11102,#11103,#11104)); +#11106=FACE_OUTER_BOUND('',#11105,.F.); +#11107=ADVANCED_FACE('',(#11106),#11100,.T.); +#11108=ORIENTED_EDGE('',*,*,#19198,.F.); +#11109=ORIENTED_EDGE('',*,*,#19199,.F.); +#11110=ORIENTED_EDGE('',*,*,#19193,.F.); +#11111=ORIENTED_EDGE('',*,*,#19196,.F.); +#11112=EDGE_LOOP('',(#11108,#11109,#11110,#11111)); +#11113=FACE_OUTER_BOUND('',#11112,.F.); +#11114=ADVANCED_FACE('',(#11113),#7485,.T.); +#11115=CARTESIAN_POINT('',(1.619E1,-4.13E0,-1.055E1)); +#11116=AXIS2_PLACEMENT_3D('',#11115,#76,#80); +#11117=PLANE('',#11116); +#11118=ORIENTED_EDGE('',*,*,#18843,.F.); +#11119=ORIENTED_EDGE('',*,*,#18738,.T.); +#11120=ORIENTED_EDGE('',*,*,#19200,.T.); +#11121=ORIENTED_EDGE('',*,*,#19201,.T.); +#11122=ORIENTED_EDGE('',*,*,#19202,.F.); +#11123=EDGE_LOOP('',(#11118,#11119,#11120,#11121,#11122)); +#11124=FACE_OUTER_BOUND('',#11123,.F.); +#11125=ADVANCED_FACE('',(#11124),#11117,.T.); +#11126=CARTESIAN_POINT('',(1.683E1,-4.13E0,-1.055E1)); +#11127=AXIS2_PLACEMENT_3D('',#11126,#72,#76); +#11128=PLANE('',#11127); +#11129=ORIENTED_EDGE('',*,*,#18737,.F.); +#11130=ORIENTED_EDGE('',*,*,#19203,.T.); +#11131=ORIENTED_EDGE('',*,*,#19204,.T.); +#11132=ORIENTED_EDGE('',*,*,#19200,.F.); +#11133=EDGE_LOOP('',(#11129,#11130,#11131,#11132)); +#11134=FACE_OUTER_BOUND('',#11133,.F.); +#11135=ADVANCED_FACE('',(#11134),#11128,.T.); +#11136=AXIS2_PLACEMENT_3D('',#2929,#84,#72); +#11137=PLANE('',#11136); +#11138=ORIENTED_EDGE('',*,*,#18845,.T.); +#11139=ORIENTED_EDGE('',*,*,#19205,.T.); +#11140=ORIENTED_EDGE('',*,*,#19206,.T.); +#11141=ORIENTED_EDGE('',*,*,#19203,.F.); +#11142=ORIENTED_EDGE('',*,*,#18736,.F.); +#11143=EDGE_LOOP('',(#11138,#11139,#11140,#11141,#11142)); +#11144=FACE_OUTER_BOUND('',#11143,.F.); +#11145=ADVANCED_FACE('',(#11144),#11137,.T.); +#11146=AXIS2_PLACEMENT_3D('',#2480,#80,#84); +#11147=PLANE('',#11146); +#11148=ORIENTED_EDGE('',*,*,#18844,.F.); +#11149=ORIENTED_EDGE('',*,*,#19202,.T.); +#11150=ORIENTED_EDGE('',*,*,#19207,.T.); +#11151=ORIENTED_EDGE('',*,*,#19205,.F.); +#11152=EDGE_LOOP('',(#11148,#11149,#11150,#11151)); +#11153=FACE_OUTER_BOUND('',#11152,.F.); +#11154=ADVANCED_FACE('',(#11153),#11147,.T.); +#11155=ORIENTED_EDGE('',*,*,#19206,.F.); +#11156=ORIENTED_EDGE('',*,*,#19207,.F.); +#11157=ORIENTED_EDGE('',*,*,#19201,.F.); +#11158=ORIENTED_EDGE('',*,*,#19204,.F.); +#11159=EDGE_LOOP('',(#11155,#11156,#11157,#11158)); +#11160=FACE_OUTER_BOUND('',#11159,.F.); +#11161=ADVANCED_FACE('',(#11160),#7485,.T.); +#11162=CARTESIAN_POINT('',(1.873E1,-4.13E0,-1.055E1)); +#11163=AXIS2_PLACEMENT_3D('',#11162,#76,#80); +#11164=PLANE('',#11163); +#11165=ORIENTED_EDGE('',*,*,#18846,.F.); +#11166=ORIENTED_EDGE('',*,*,#18734,.T.); +#11167=ORIENTED_EDGE('',*,*,#19208,.T.); +#11168=ORIENTED_EDGE('',*,*,#19209,.T.); +#11169=ORIENTED_EDGE('',*,*,#19210,.F.); +#11170=EDGE_LOOP('',(#11165,#11166,#11167,#11168,#11169)); +#11171=FACE_OUTER_BOUND('',#11170,.F.); +#11172=ADVANCED_FACE('',(#11171),#11164,.T.); +#11173=CARTESIAN_POINT('',(1.937E1,-4.13E0,-1.055E1)); +#11174=AXIS2_PLACEMENT_3D('',#11173,#72,#76); +#11175=PLANE('',#11174); +#11176=ORIENTED_EDGE('',*,*,#18733,.F.); +#11177=ORIENTED_EDGE('',*,*,#19211,.T.); +#11178=ORIENTED_EDGE('',*,*,#19212,.T.); +#11179=ORIENTED_EDGE('',*,*,#19208,.F.); +#11180=EDGE_LOOP('',(#11176,#11177,#11178,#11179)); +#11181=FACE_OUTER_BOUND('',#11180,.F.); +#11182=ADVANCED_FACE('',(#11181),#11175,.T.); +#11183=AXIS2_PLACEMENT_3D('',#2943,#84,#72); +#11184=PLANE('',#11183); +#11185=ORIENTED_EDGE('',*,*,#18848,.T.); +#11186=ORIENTED_EDGE('',*,*,#19213,.T.); +#11187=ORIENTED_EDGE('',*,*,#19214,.T.); +#11188=ORIENTED_EDGE('',*,*,#19211,.F.); +#11189=ORIENTED_EDGE('',*,*,#18732,.F.); +#11190=EDGE_LOOP('',(#11185,#11186,#11187,#11188,#11189)); +#11191=FACE_OUTER_BOUND('',#11190,.F.); +#11192=ADVANCED_FACE('',(#11191),#11184,.T.); +#11193=AXIS2_PLACEMENT_3D('',#2484,#80,#84); +#11194=PLANE('',#11193); +#11195=ORIENTED_EDGE('',*,*,#18847,.F.); +#11196=ORIENTED_EDGE('',*,*,#19210,.T.); +#11197=ORIENTED_EDGE('',*,*,#19215,.T.); +#11198=ORIENTED_EDGE('',*,*,#19213,.F.); +#11199=EDGE_LOOP('',(#11195,#11196,#11197,#11198)); +#11200=FACE_OUTER_BOUND('',#11199,.F.); +#11201=ADVANCED_FACE('',(#11200),#11194,.T.); +#11202=ORIENTED_EDGE('',*,*,#19214,.F.); +#11203=ORIENTED_EDGE('',*,*,#19215,.F.); +#11204=ORIENTED_EDGE('',*,*,#19209,.F.); +#11205=ORIENTED_EDGE('',*,*,#19212,.F.); +#11206=EDGE_LOOP('',(#11202,#11203,#11204,#11205)); +#11207=FACE_OUTER_BOUND('',#11206,.F.); +#11208=ADVANCED_FACE('',(#11207),#7485,.T.); +#11209=CARTESIAN_POINT('',(2.127E1,-4.13E0,-1.055E1)); +#11210=AXIS2_PLACEMENT_3D('',#11209,#76,#80); +#11211=PLANE('',#11210); +#11212=ORIENTED_EDGE('',*,*,#18849,.F.); +#11213=ORIENTED_EDGE('',*,*,#18730,.T.); +#11214=ORIENTED_EDGE('',*,*,#19216,.T.); +#11215=ORIENTED_EDGE('',*,*,#19217,.T.); +#11216=ORIENTED_EDGE('',*,*,#19218,.F.); +#11217=EDGE_LOOP('',(#11212,#11213,#11214,#11215,#11216)); +#11218=FACE_OUTER_BOUND('',#11217,.F.); +#11219=ADVANCED_FACE('',(#11218),#11211,.T.); +#11220=CARTESIAN_POINT('',(2.191E1,-4.13E0,-1.055E1)); +#11221=AXIS2_PLACEMENT_3D('',#11220,#72,#76); +#11222=PLANE('',#11221); +#11223=ORIENTED_EDGE('',*,*,#18729,.F.); +#11224=ORIENTED_EDGE('',*,*,#19219,.T.); +#11225=ORIENTED_EDGE('',*,*,#19220,.T.); +#11226=ORIENTED_EDGE('',*,*,#19216,.F.); +#11227=EDGE_LOOP('',(#11223,#11224,#11225,#11226)); +#11228=FACE_OUTER_BOUND('',#11227,.F.); +#11229=ADVANCED_FACE('',(#11228),#11222,.T.); +#11230=AXIS2_PLACEMENT_3D('',#2957,#84,#72); +#11231=PLANE('',#11230); +#11232=ORIENTED_EDGE('',*,*,#18851,.T.); +#11233=ORIENTED_EDGE('',*,*,#19221,.T.); +#11234=ORIENTED_EDGE('',*,*,#19222,.T.); +#11235=ORIENTED_EDGE('',*,*,#19219,.F.); +#11236=ORIENTED_EDGE('',*,*,#18728,.F.); +#11237=EDGE_LOOP('',(#11232,#11233,#11234,#11235,#11236)); +#11238=FACE_OUTER_BOUND('',#11237,.F.); +#11239=ADVANCED_FACE('',(#11238),#11231,.T.); +#11240=AXIS2_PLACEMENT_3D('',#2488,#80,#84); +#11241=PLANE('',#11240); +#11242=ORIENTED_EDGE('',*,*,#18850,.F.); +#11243=ORIENTED_EDGE('',*,*,#19218,.T.); +#11244=ORIENTED_EDGE('',*,*,#19223,.T.); +#11245=ORIENTED_EDGE('',*,*,#19221,.F.); +#11246=EDGE_LOOP('',(#11242,#11243,#11244,#11245)); +#11247=FACE_OUTER_BOUND('',#11246,.F.); +#11248=ADVANCED_FACE('',(#11247),#11241,.T.); +#11249=ORIENTED_EDGE('',*,*,#19222,.F.); +#11250=ORIENTED_EDGE('',*,*,#19223,.F.); +#11251=ORIENTED_EDGE('',*,*,#19217,.F.); +#11252=ORIENTED_EDGE('',*,*,#19220,.F.); +#11253=EDGE_LOOP('',(#11249,#11250,#11251,#11252)); +#11254=FACE_OUTER_BOUND('',#11253,.F.); +#11255=ADVANCED_FACE('',(#11254),#7485,.T.); +#11256=CARTESIAN_POINT('',(2.381E1,-4.13E0,-1.055E1)); +#11257=AXIS2_PLACEMENT_3D('',#11256,#76,#80); +#11258=PLANE('',#11257); +#11259=ORIENTED_EDGE('',*,*,#18852,.F.); +#11260=ORIENTED_EDGE('',*,*,#18726,.T.); +#11261=ORIENTED_EDGE('',*,*,#19224,.T.); +#11262=ORIENTED_EDGE('',*,*,#19225,.T.); +#11263=ORIENTED_EDGE('',*,*,#19226,.F.); +#11264=EDGE_LOOP('',(#11259,#11260,#11261,#11262,#11263)); +#11265=FACE_OUTER_BOUND('',#11264,.F.); +#11266=ADVANCED_FACE('',(#11265),#11258,.T.); +#11267=CARTESIAN_POINT('',(2.445E1,-4.13E0,-1.055E1)); +#11268=AXIS2_PLACEMENT_3D('',#11267,#72,#76); +#11269=PLANE('',#11268); +#11270=ORIENTED_EDGE('',*,*,#18725,.F.); +#11271=ORIENTED_EDGE('',*,*,#19227,.T.); +#11272=ORIENTED_EDGE('',*,*,#19228,.T.); +#11273=ORIENTED_EDGE('',*,*,#19224,.F.); +#11274=EDGE_LOOP('',(#11270,#11271,#11272,#11273)); +#11275=FACE_OUTER_BOUND('',#11274,.F.); +#11276=ADVANCED_FACE('',(#11275),#11269,.T.); +#11277=AXIS2_PLACEMENT_3D('',#2971,#84,#72); +#11278=PLANE('',#11277); +#11279=ORIENTED_EDGE('',*,*,#18854,.T.); +#11280=ORIENTED_EDGE('',*,*,#19229,.T.); +#11281=ORIENTED_EDGE('',*,*,#19230,.T.); +#11282=ORIENTED_EDGE('',*,*,#19227,.F.); +#11283=ORIENTED_EDGE('',*,*,#18724,.F.); +#11284=EDGE_LOOP('',(#11279,#11280,#11281,#11282,#11283)); +#11285=FACE_OUTER_BOUND('',#11284,.F.); +#11286=ADVANCED_FACE('',(#11285),#11278,.T.); +#11287=AXIS2_PLACEMENT_3D('',#2492,#80,#84); +#11288=PLANE('',#11287); +#11289=ORIENTED_EDGE('',*,*,#18853,.F.); +#11290=ORIENTED_EDGE('',*,*,#19226,.T.); +#11291=ORIENTED_EDGE('',*,*,#19231,.T.); +#11292=ORIENTED_EDGE('',*,*,#19229,.F.); +#11293=EDGE_LOOP('',(#11289,#11290,#11291,#11292)); +#11294=FACE_OUTER_BOUND('',#11293,.F.); +#11295=ADVANCED_FACE('',(#11294),#11288,.T.); +#11296=ORIENTED_EDGE('',*,*,#19230,.F.); +#11297=ORIENTED_EDGE('',*,*,#19231,.F.); +#11298=ORIENTED_EDGE('',*,*,#19225,.F.); +#11299=ORIENTED_EDGE('',*,*,#19228,.F.); +#11300=EDGE_LOOP('',(#11296,#11297,#11298,#11299)); +#11301=FACE_OUTER_BOUND('',#11300,.F.); +#11302=ADVANCED_FACE('',(#11301),#7485,.T.); +#11303=CARTESIAN_POINT('',(2.635E1,-4.13E0,-1.055E1)); +#11304=AXIS2_PLACEMENT_3D('',#11303,#76,#80); +#11305=PLANE('',#11304); +#11306=ORIENTED_EDGE('',*,*,#18855,.F.); +#11307=ORIENTED_EDGE('',*,*,#18722,.T.); +#11308=ORIENTED_EDGE('',*,*,#19232,.T.); +#11309=ORIENTED_EDGE('',*,*,#19233,.T.); +#11310=ORIENTED_EDGE('',*,*,#19234,.F.); +#11311=EDGE_LOOP('',(#11306,#11307,#11308,#11309,#11310)); +#11312=FACE_OUTER_BOUND('',#11311,.F.); +#11313=ADVANCED_FACE('',(#11312),#11305,.T.); +#11314=CARTESIAN_POINT('',(2.699E1,-4.13E0,-1.055E1)); +#11315=AXIS2_PLACEMENT_3D('',#11314,#72,#76); +#11316=PLANE('',#11315); +#11317=ORIENTED_EDGE('',*,*,#18721,.F.); +#11318=ORIENTED_EDGE('',*,*,#19235,.T.); +#11319=ORIENTED_EDGE('',*,*,#19236,.T.); +#11320=ORIENTED_EDGE('',*,*,#19232,.F.); +#11321=EDGE_LOOP('',(#11317,#11318,#11319,#11320)); +#11322=FACE_OUTER_BOUND('',#11321,.F.); +#11323=ADVANCED_FACE('',(#11322),#11316,.T.); +#11324=AXIS2_PLACEMENT_3D('',#2985,#84,#72); +#11325=PLANE('',#11324); +#11326=ORIENTED_EDGE('',*,*,#18857,.T.); +#11327=ORIENTED_EDGE('',*,*,#19237,.T.); +#11328=ORIENTED_EDGE('',*,*,#19238,.T.); +#11329=ORIENTED_EDGE('',*,*,#19235,.F.); +#11330=ORIENTED_EDGE('',*,*,#18720,.F.); +#11331=EDGE_LOOP('',(#11326,#11327,#11328,#11329,#11330)); +#11332=FACE_OUTER_BOUND('',#11331,.F.); +#11333=ADVANCED_FACE('',(#11332),#11325,.T.); +#11334=AXIS2_PLACEMENT_3D('',#2496,#80,#84); +#11335=PLANE('',#11334); +#11336=ORIENTED_EDGE('',*,*,#18856,.F.); +#11337=ORIENTED_EDGE('',*,*,#19234,.T.); +#11338=ORIENTED_EDGE('',*,*,#19239,.T.); +#11339=ORIENTED_EDGE('',*,*,#19237,.F.); +#11340=EDGE_LOOP('',(#11336,#11337,#11338,#11339)); +#11341=FACE_OUTER_BOUND('',#11340,.F.); +#11342=ADVANCED_FACE('',(#11341),#11335,.T.); +#11343=ORIENTED_EDGE('',*,*,#19238,.F.); +#11344=ORIENTED_EDGE('',*,*,#19239,.F.); +#11345=ORIENTED_EDGE('',*,*,#19233,.F.); +#11346=ORIENTED_EDGE('',*,*,#19236,.F.); +#11347=EDGE_LOOP('',(#11343,#11344,#11345,#11346)); +#11348=FACE_OUTER_BOUND('',#11347,.F.); +#11349=ADVANCED_FACE('',(#11348),#7485,.T.); +#11350=CARTESIAN_POINT('',(2.889E1,-4.13E0,-1.055E1)); +#11351=AXIS2_PLACEMENT_3D('',#11350,#76,#80); +#11352=PLANE('',#11351); +#11353=ORIENTED_EDGE('',*,*,#18858,.F.); +#11354=ORIENTED_EDGE('',*,*,#18718,.T.); +#11355=ORIENTED_EDGE('',*,*,#19240,.T.); +#11356=ORIENTED_EDGE('',*,*,#19241,.T.); +#11357=ORIENTED_EDGE('',*,*,#19242,.F.); +#11358=EDGE_LOOP('',(#11353,#11354,#11355,#11356,#11357)); +#11359=FACE_OUTER_BOUND('',#11358,.F.); +#11360=ADVANCED_FACE('',(#11359),#11352,.T.); +#11361=CARTESIAN_POINT('',(2.953E1,-4.13E0,-1.055E1)); +#11362=AXIS2_PLACEMENT_3D('',#11361,#72,#76); +#11363=PLANE('',#11362); +#11364=ORIENTED_EDGE('',*,*,#18717,.F.); +#11365=ORIENTED_EDGE('',*,*,#18800,.T.); +#11366=ORIENTED_EDGE('',*,*,#19243,.T.); +#11367=ORIENTED_EDGE('',*,*,#19240,.F.); +#11368=EDGE_LOOP('',(#11364,#11365,#11366,#11367)); +#11369=FACE_OUTER_BOUND('',#11368,.F.); +#11370=ADVANCED_FACE('',(#11369),#11363,.T.); +#11371=ORIENTED_EDGE('',*,*,#18799,.F.); +#11372=ORIENTED_EDGE('',*,*,#19244,.F.); +#11373=ORIENTED_EDGE('',*,*,#19241,.F.); +#11374=ORIENTED_EDGE('',*,*,#19243,.F.); +#11375=EDGE_LOOP('',(#11371,#11372,#11373,#11374)); +#11376=FACE_OUTER_BOUND('',#11375,.F.); +#11377=ADVANCED_FACE('',(#11376),#7485,.T.); +#11378=AXIS2_PLACEMENT_3D('',#2500,#80,#84); +#11379=PLANE('',#11378); +#11380=ORIENTED_EDGE('',*,*,#18859,.F.); +#11381=ORIENTED_EDGE('',*,*,#19242,.T.); +#11382=ORIENTED_EDGE('',*,*,#19244,.T.); +#11383=ORIENTED_EDGE('',*,*,#18798,.F.); +#11384=EDGE_LOOP('',(#11380,#11381,#11382,#11383)); +#11385=FACE_OUTER_BOUND('',#11384,.F.); +#11386=ADVANCED_FACE('',(#11385),#11379,.T.); +#11387=AXIS2_PLACEMENT_3D('',#78,#80,#76); +#11388=PLANE('',#11387); +#11389=ORIENTED_EDGE('',*,*,#17589,.T.); +#11390=ORIENTED_EDGE('',*,*,#18005,.T.); +#11391=ORIENTED_EDGE('',*,*,#18013,.F.); +#11392=ORIENTED_EDGE('',*,*,#18704,.F.); +#11393=EDGE_LOOP('',(#11389,#11390,#11391,#11392)); +#11394=FACE_OUTER_BOUND('',#11393,.F.); +#11395=ADVANCED_FACE('',(#11394),#11388,.T.); +#11396=CARTESIAN_POINT('',(3.969E1,4.13E0,-1.055E1)); +#11397=AXIS2_PLACEMENT_3D('',#11396,#84,#72); +#11398=PLANE('',#11397); +#11399=ORIENTED_EDGE('',*,*,#18112,.T.); +#11400=ORIENTED_EDGE('',*,*,#19245,.T.); +#11401=ORIENTED_EDGE('',*,*,#19246,.T.); +#11402=ORIENTED_EDGE('',*,*,#19247,.F.); +#11403=ORIENTED_EDGE('',*,*,#18180,.F.); +#11404=EDGE_LOOP('',(#11399,#11400,#11401,#11402,#11403)); +#11405=FACE_OUTER_BOUND('',#11404,.F.); +#11406=ADVANCED_FACE('',(#11405),#11398,.T.); +#11407=CARTESIAN_POINT('',(3.905E1,4.13E0,-1.055E1)); +#11408=AXIS2_PLACEMENT_3D('',#11407,#80,#84); +#11409=PLANE('',#11408); +#11410=ORIENTED_EDGE('',*,*,#18111,.F.); +#11411=ORIENTED_EDGE('',*,*,#19248,.T.); +#11412=ORIENTED_EDGE('',*,*,#19249,.T.); +#11413=ORIENTED_EDGE('',*,*,#19245,.F.); +#11414=EDGE_LOOP('',(#11410,#11411,#11412,#11413)); +#11415=FACE_OUTER_BOUND('',#11414,.F.); +#11416=ADVANCED_FACE('',(#11415),#11409,.T.); +#11417=AXIS2_PLACEMENT_3D('',#3012,#76,#80); +#11418=PLANE('',#11417); +#11419=ORIENTED_EDGE('',*,*,#18110,.F.); +#11420=ORIENTED_EDGE('',*,*,#18182,.T.); +#11421=ORIENTED_EDGE('',*,*,#19250,.T.); +#11422=ORIENTED_EDGE('',*,*,#19251,.T.); +#11423=ORIENTED_EDGE('',*,*,#19248,.F.); +#11424=EDGE_LOOP('',(#11419,#11420,#11421,#11422,#11423)); +#11425=FACE_OUTER_BOUND('',#11424,.F.); +#11426=ADVANCED_FACE('',(#11425),#11418,.T.); +#11427=AXIS2_PLACEMENT_3D('',#1473,#72,#76); +#11428=PLANE('',#11427); +#11429=ORIENTED_EDGE('',*,*,#18181,.F.); +#11430=ORIENTED_EDGE('',*,*,#19247,.T.); +#11431=ORIENTED_EDGE('',*,*,#19252,.T.); +#11432=ORIENTED_EDGE('',*,*,#19250,.F.); +#11433=EDGE_LOOP('',(#11429,#11430,#11431,#11432)); +#11434=FACE_OUTER_BOUND('',#11433,.F.); +#11435=ADVANCED_FACE('',(#11434),#11428,.T.); +#11436=ORIENTED_EDGE('',*,*,#19246,.F.); +#11437=ORIENTED_EDGE('',*,*,#19249,.F.); +#11438=ORIENTED_EDGE('',*,*,#19251,.F.); +#11439=ORIENTED_EDGE('',*,*,#19252,.F.); +#11440=EDGE_LOOP('',(#11436,#11437,#11438,#11439)); +#11441=FACE_OUTER_BOUND('',#11440,.F.); +#11442=ADVANCED_FACE('',(#11441),#7485,.T.); +#11443=CARTESIAN_POINT('',(3.715E1,4.13E0,-1.055E1)); +#11444=AXIS2_PLACEMENT_3D('',#11443,#84,#72); +#11445=PLANE('',#11444); +#11446=ORIENTED_EDGE('',*,*,#18108,.T.); +#11447=ORIENTED_EDGE('',*,*,#19253,.T.); +#11448=ORIENTED_EDGE('',*,*,#19254,.T.); +#11449=ORIENTED_EDGE('',*,*,#19255,.F.); +#11450=ORIENTED_EDGE('',*,*,#18183,.F.); +#11451=EDGE_LOOP('',(#11446,#11447,#11448,#11449,#11450)); +#11452=FACE_OUTER_BOUND('',#11451,.F.); +#11453=ADVANCED_FACE('',(#11452),#11445,.T.); +#11454=CARTESIAN_POINT('',(3.651E1,4.13E0,-1.055E1)); +#11455=AXIS2_PLACEMENT_3D('',#11454,#80,#84); +#11456=PLANE('',#11455); +#11457=ORIENTED_EDGE('',*,*,#18107,.F.); +#11458=ORIENTED_EDGE('',*,*,#19256,.T.); +#11459=ORIENTED_EDGE('',*,*,#19257,.T.); +#11460=ORIENTED_EDGE('',*,*,#19253,.F.); +#11461=EDGE_LOOP('',(#11457,#11458,#11459,#11460)); +#11462=FACE_OUTER_BOUND('',#11461,.F.); +#11463=ADVANCED_FACE('',(#11462),#11456,.T.); +#11464=AXIS2_PLACEMENT_3D('',#3026,#76,#80); +#11465=PLANE('',#11464); +#11466=ORIENTED_EDGE('',*,*,#18106,.F.); +#11467=ORIENTED_EDGE('',*,*,#18185,.T.); +#11468=ORIENTED_EDGE('',*,*,#19258,.T.); +#11469=ORIENTED_EDGE('',*,*,#19259,.T.); +#11470=ORIENTED_EDGE('',*,*,#19256,.F.); +#11471=EDGE_LOOP('',(#11466,#11467,#11468,#11469,#11470)); +#11472=FACE_OUTER_BOUND('',#11471,.F.); +#11473=ADVANCED_FACE('',(#11472),#11465,.T.); +#11474=AXIS2_PLACEMENT_3D('',#1478,#72,#76); +#11475=PLANE('',#11474); +#11476=ORIENTED_EDGE('',*,*,#18184,.F.); +#11477=ORIENTED_EDGE('',*,*,#19255,.T.); +#11478=ORIENTED_EDGE('',*,*,#19260,.T.); +#11479=ORIENTED_EDGE('',*,*,#19258,.F.); +#11480=EDGE_LOOP('',(#11476,#11477,#11478,#11479)); +#11481=FACE_OUTER_BOUND('',#11480,.F.); +#11482=ADVANCED_FACE('',(#11481),#11475,.T.); +#11483=ORIENTED_EDGE('',*,*,#19254,.F.); +#11484=ORIENTED_EDGE('',*,*,#19257,.F.); +#11485=ORIENTED_EDGE('',*,*,#19259,.F.); +#11486=ORIENTED_EDGE('',*,*,#19260,.F.); +#11487=EDGE_LOOP('',(#11483,#11484,#11485,#11486)); +#11488=FACE_OUTER_BOUND('',#11487,.F.); +#11489=ADVANCED_FACE('',(#11488),#7485,.T.); +#11490=CARTESIAN_POINT('',(3.461E1,4.13E0,-1.055E1)); +#11491=AXIS2_PLACEMENT_3D('',#11490,#84,#72); +#11492=PLANE('',#11491); +#11493=ORIENTED_EDGE('',*,*,#18104,.T.); +#11494=ORIENTED_EDGE('',*,*,#19261,.T.); +#11495=ORIENTED_EDGE('',*,*,#19262,.T.); +#11496=ORIENTED_EDGE('',*,*,#19263,.F.); +#11497=ORIENTED_EDGE('',*,*,#18186,.F.); +#11498=EDGE_LOOP('',(#11493,#11494,#11495,#11496,#11497)); +#11499=FACE_OUTER_BOUND('',#11498,.F.); +#11500=ADVANCED_FACE('',(#11499),#11492,.T.); +#11501=CARTESIAN_POINT('',(3.397E1,4.13E0,-1.055E1)); +#11502=AXIS2_PLACEMENT_3D('',#11501,#80,#84); +#11503=PLANE('',#11502); +#11504=ORIENTED_EDGE('',*,*,#18103,.F.); +#11505=ORIENTED_EDGE('',*,*,#19264,.T.); +#11506=ORIENTED_EDGE('',*,*,#19265,.T.); +#11507=ORIENTED_EDGE('',*,*,#19261,.F.); +#11508=EDGE_LOOP('',(#11504,#11505,#11506,#11507)); +#11509=FACE_OUTER_BOUND('',#11508,.F.); +#11510=ADVANCED_FACE('',(#11509),#11503,.T.); +#11511=AXIS2_PLACEMENT_3D('',#3040,#76,#80); +#11512=PLANE('',#11511); +#11513=ORIENTED_EDGE('',*,*,#18102,.F.); +#11514=ORIENTED_EDGE('',*,*,#18188,.T.); +#11515=ORIENTED_EDGE('',*,*,#19266,.T.); +#11516=ORIENTED_EDGE('',*,*,#19267,.T.); +#11517=ORIENTED_EDGE('',*,*,#19264,.F.); +#11518=EDGE_LOOP('',(#11513,#11514,#11515,#11516,#11517)); +#11519=FACE_OUTER_BOUND('',#11518,.F.); +#11520=ADVANCED_FACE('',(#11519),#11512,.T.); +#11521=AXIS2_PLACEMENT_3D('',#1483,#72,#76); +#11522=PLANE('',#11521); +#11523=ORIENTED_EDGE('',*,*,#18187,.F.); +#11524=ORIENTED_EDGE('',*,*,#19263,.T.); +#11525=ORIENTED_EDGE('',*,*,#19268,.T.); +#11526=ORIENTED_EDGE('',*,*,#19266,.F.); +#11527=EDGE_LOOP('',(#11523,#11524,#11525,#11526)); +#11528=FACE_OUTER_BOUND('',#11527,.F.); +#11529=ADVANCED_FACE('',(#11528),#11522,.T.); +#11530=ORIENTED_EDGE('',*,*,#19262,.F.); +#11531=ORIENTED_EDGE('',*,*,#19265,.F.); +#11532=ORIENTED_EDGE('',*,*,#19267,.F.); +#11533=ORIENTED_EDGE('',*,*,#19268,.F.); +#11534=EDGE_LOOP('',(#11530,#11531,#11532,#11533)); +#11535=FACE_OUTER_BOUND('',#11534,.F.); +#11536=ADVANCED_FACE('',(#11535),#7485,.T.); +#11537=CARTESIAN_POINT('',(3.207E1,4.13E0,-1.055E1)); +#11538=AXIS2_PLACEMENT_3D('',#11537,#84,#72); +#11539=PLANE('',#11538); +#11540=ORIENTED_EDGE('',*,*,#18100,.T.); +#11541=ORIENTED_EDGE('',*,*,#19269,.T.); +#11542=ORIENTED_EDGE('',*,*,#19270,.T.); +#11543=ORIENTED_EDGE('',*,*,#19271,.F.); +#11544=ORIENTED_EDGE('',*,*,#18189,.F.); +#11545=EDGE_LOOP('',(#11540,#11541,#11542,#11543,#11544)); +#11546=FACE_OUTER_BOUND('',#11545,.F.); +#11547=ADVANCED_FACE('',(#11546),#11539,.T.); +#11548=CARTESIAN_POINT('',(3.143E1,4.13E0,-1.055E1)); +#11549=AXIS2_PLACEMENT_3D('',#11548,#80,#84); +#11550=PLANE('',#11549); +#11551=ORIENTED_EDGE('',*,*,#18099,.F.); +#11552=ORIENTED_EDGE('',*,*,#19272,.T.); +#11553=ORIENTED_EDGE('',*,*,#19273,.T.); +#11554=ORIENTED_EDGE('',*,*,#19269,.F.); +#11555=EDGE_LOOP('',(#11551,#11552,#11553,#11554)); +#11556=FACE_OUTER_BOUND('',#11555,.F.); +#11557=ADVANCED_FACE('',(#11556),#11550,.T.); +#11558=AXIS2_PLACEMENT_3D('',#3054,#76,#80); +#11559=PLANE('',#11558); +#11560=ORIENTED_EDGE('',*,*,#18098,.F.); +#11561=ORIENTED_EDGE('',*,*,#18191,.T.); +#11562=ORIENTED_EDGE('',*,*,#19274,.T.); +#11563=ORIENTED_EDGE('',*,*,#19275,.T.); +#11564=ORIENTED_EDGE('',*,*,#19272,.F.); +#11565=EDGE_LOOP('',(#11560,#11561,#11562,#11563,#11564)); +#11566=FACE_OUTER_BOUND('',#11565,.F.); +#11567=ADVANCED_FACE('',(#11566),#11559,.T.); +#11568=AXIS2_PLACEMENT_3D('',#1488,#72,#76); +#11569=PLANE('',#11568); +#11570=ORIENTED_EDGE('',*,*,#18190,.F.); +#11571=ORIENTED_EDGE('',*,*,#19271,.T.); +#11572=ORIENTED_EDGE('',*,*,#19276,.T.); +#11573=ORIENTED_EDGE('',*,*,#19274,.F.); +#11574=EDGE_LOOP('',(#11570,#11571,#11572,#11573)); +#11575=FACE_OUTER_BOUND('',#11574,.F.); +#11576=ADVANCED_FACE('',(#11575),#11569,.T.); +#11577=ORIENTED_EDGE('',*,*,#19270,.F.); +#11578=ORIENTED_EDGE('',*,*,#19273,.F.); +#11579=ORIENTED_EDGE('',*,*,#19275,.F.); +#11580=ORIENTED_EDGE('',*,*,#19276,.F.); +#11581=EDGE_LOOP('',(#11577,#11578,#11579,#11580)); +#11582=FACE_OUTER_BOUND('',#11581,.F.); +#11583=ADVANCED_FACE('',(#11582),#7485,.T.); +#11584=CARTESIAN_POINT('',(2.953E1,4.13E0,-1.055E1)); +#11585=AXIS2_PLACEMENT_3D('',#11584,#84,#72); +#11586=PLANE('',#11585); +#11587=ORIENTED_EDGE('',*,*,#18096,.T.); +#11588=ORIENTED_EDGE('',*,*,#19277,.T.); +#11589=ORIENTED_EDGE('',*,*,#19278,.T.); +#11590=ORIENTED_EDGE('',*,*,#19279,.F.); +#11591=ORIENTED_EDGE('',*,*,#18192,.F.); +#11592=EDGE_LOOP('',(#11587,#11588,#11589,#11590,#11591)); +#11593=FACE_OUTER_BOUND('',#11592,.F.); +#11594=ADVANCED_FACE('',(#11593),#11586,.T.); +#11595=CARTESIAN_POINT('',(2.889E1,4.13E0,-1.055E1)); +#11596=AXIS2_PLACEMENT_3D('',#11595,#80,#84); +#11597=PLANE('',#11596); +#11598=ORIENTED_EDGE('',*,*,#18095,.F.); +#11599=ORIENTED_EDGE('',*,*,#19280,.T.); +#11600=ORIENTED_EDGE('',*,*,#19281,.T.); +#11601=ORIENTED_EDGE('',*,*,#19277,.F.); +#11602=EDGE_LOOP('',(#11598,#11599,#11600,#11601)); +#11603=FACE_OUTER_BOUND('',#11602,.F.); +#11604=ADVANCED_FACE('',(#11603),#11597,.T.); +#11605=AXIS2_PLACEMENT_3D('',#3068,#76,#80); +#11606=PLANE('',#11605); +#11607=ORIENTED_EDGE('',*,*,#18094,.F.); +#11608=ORIENTED_EDGE('',*,*,#18194,.T.); +#11609=ORIENTED_EDGE('',*,*,#19282,.T.); +#11610=ORIENTED_EDGE('',*,*,#19283,.T.); +#11611=ORIENTED_EDGE('',*,*,#19280,.F.); +#11612=EDGE_LOOP('',(#11607,#11608,#11609,#11610,#11611)); +#11613=FACE_OUTER_BOUND('',#11612,.F.); +#11614=ADVANCED_FACE('',(#11613),#11606,.T.); +#11615=AXIS2_PLACEMENT_3D('',#1493,#72,#76); +#11616=PLANE('',#11615); +#11617=ORIENTED_EDGE('',*,*,#18193,.F.); +#11618=ORIENTED_EDGE('',*,*,#19279,.T.); +#11619=ORIENTED_EDGE('',*,*,#19284,.T.); +#11620=ORIENTED_EDGE('',*,*,#19282,.F.); +#11621=EDGE_LOOP('',(#11617,#11618,#11619,#11620)); +#11622=FACE_OUTER_BOUND('',#11621,.F.); +#11623=ADVANCED_FACE('',(#11622),#11616,.T.); +#11624=ORIENTED_EDGE('',*,*,#19278,.F.); +#11625=ORIENTED_EDGE('',*,*,#19281,.F.); +#11626=ORIENTED_EDGE('',*,*,#19283,.F.); +#11627=ORIENTED_EDGE('',*,*,#19284,.F.); +#11628=EDGE_LOOP('',(#11624,#11625,#11626,#11627)); +#11629=FACE_OUTER_BOUND('',#11628,.F.); +#11630=ADVANCED_FACE('',(#11629),#7485,.T.); +#11631=CARTESIAN_POINT('',(2.699E1,4.13E0,-1.055E1)); +#11632=AXIS2_PLACEMENT_3D('',#11631,#84,#72); +#11633=PLANE('',#11632); +#11634=ORIENTED_EDGE('',*,*,#18092,.T.); +#11635=ORIENTED_EDGE('',*,*,#19285,.T.); +#11636=ORIENTED_EDGE('',*,*,#19286,.T.); +#11637=ORIENTED_EDGE('',*,*,#19287,.F.); +#11638=ORIENTED_EDGE('',*,*,#18195,.F.); +#11639=EDGE_LOOP('',(#11634,#11635,#11636,#11637,#11638)); +#11640=FACE_OUTER_BOUND('',#11639,.F.); +#11641=ADVANCED_FACE('',(#11640),#11633,.T.); +#11642=CARTESIAN_POINT('',(2.635E1,4.13E0,-1.055E1)); +#11643=AXIS2_PLACEMENT_3D('',#11642,#80,#84); +#11644=PLANE('',#11643); +#11645=ORIENTED_EDGE('',*,*,#18091,.F.); +#11646=ORIENTED_EDGE('',*,*,#19288,.T.); +#11647=ORIENTED_EDGE('',*,*,#19289,.T.); +#11648=ORIENTED_EDGE('',*,*,#19285,.F.); +#11649=EDGE_LOOP('',(#11645,#11646,#11647,#11648)); +#11650=FACE_OUTER_BOUND('',#11649,.F.); +#11651=ADVANCED_FACE('',(#11650),#11644,.T.); +#11652=AXIS2_PLACEMENT_3D('',#3082,#76,#80); +#11653=PLANE('',#11652); +#11654=ORIENTED_EDGE('',*,*,#18090,.F.); +#11655=ORIENTED_EDGE('',*,*,#18197,.T.); +#11656=ORIENTED_EDGE('',*,*,#19290,.T.); +#11657=ORIENTED_EDGE('',*,*,#19291,.T.); +#11658=ORIENTED_EDGE('',*,*,#19288,.F.); +#11659=EDGE_LOOP('',(#11654,#11655,#11656,#11657,#11658)); +#11660=FACE_OUTER_BOUND('',#11659,.F.); +#11661=ADVANCED_FACE('',(#11660),#11653,.T.); +#11662=AXIS2_PLACEMENT_3D('',#1498,#72,#76); +#11663=PLANE('',#11662); +#11664=ORIENTED_EDGE('',*,*,#18196,.F.); +#11665=ORIENTED_EDGE('',*,*,#19287,.T.); +#11666=ORIENTED_EDGE('',*,*,#19292,.T.); +#11667=ORIENTED_EDGE('',*,*,#19290,.F.); +#11668=EDGE_LOOP('',(#11664,#11665,#11666,#11667)); +#11669=FACE_OUTER_BOUND('',#11668,.F.); +#11670=ADVANCED_FACE('',(#11669),#11663,.T.); +#11671=ORIENTED_EDGE('',*,*,#19286,.F.); +#11672=ORIENTED_EDGE('',*,*,#19289,.F.); +#11673=ORIENTED_EDGE('',*,*,#19291,.F.); +#11674=ORIENTED_EDGE('',*,*,#19292,.F.); +#11675=EDGE_LOOP('',(#11671,#11672,#11673,#11674)); +#11676=FACE_OUTER_BOUND('',#11675,.F.); +#11677=ADVANCED_FACE('',(#11676),#7485,.T.); +#11678=CARTESIAN_POINT('',(2.445E1,4.13E0,-1.055E1)); +#11679=AXIS2_PLACEMENT_3D('',#11678,#84,#72); +#11680=PLANE('',#11679); +#11681=ORIENTED_EDGE('',*,*,#18088,.T.); +#11682=ORIENTED_EDGE('',*,*,#19293,.T.); +#11683=ORIENTED_EDGE('',*,*,#19294,.T.); +#11684=ORIENTED_EDGE('',*,*,#19295,.F.); +#11685=ORIENTED_EDGE('',*,*,#18198,.F.); +#11686=EDGE_LOOP('',(#11681,#11682,#11683,#11684,#11685)); +#11687=FACE_OUTER_BOUND('',#11686,.F.); +#11688=ADVANCED_FACE('',(#11687),#11680,.T.); +#11689=CARTESIAN_POINT('',(2.381E1,4.13E0,-1.055E1)); +#11690=AXIS2_PLACEMENT_3D('',#11689,#80,#84); +#11691=PLANE('',#11690); +#11692=ORIENTED_EDGE('',*,*,#18087,.F.); +#11693=ORIENTED_EDGE('',*,*,#19296,.T.); +#11694=ORIENTED_EDGE('',*,*,#19297,.T.); +#11695=ORIENTED_EDGE('',*,*,#19293,.F.); +#11696=EDGE_LOOP('',(#11692,#11693,#11694,#11695)); +#11697=FACE_OUTER_BOUND('',#11696,.F.); +#11698=ADVANCED_FACE('',(#11697),#11691,.T.); +#11699=AXIS2_PLACEMENT_3D('',#3096,#76,#80); +#11700=PLANE('',#11699); +#11701=ORIENTED_EDGE('',*,*,#18086,.F.); +#11702=ORIENTED_EDGE('',*,*,#18200,.T.); +#11703=ORIENTED_EDGE('',*,*,#19298,.T.); +#11704=ORIENTED_EDGE('',*,*,#19299,.T.); +#11705=ORIENTED_EDGE('',*,*,#19296,.F.); +#11706=EDGE_LOOP('',(#11701,#11702,#11703,#11704,#11705)); +#11707=FACE_OUTER_BOUND('',#11706,.F.); +#11708=ADVANCED_FACE('',(#11707),#11700,.T.); +#11709=AXIS2_PLACEMENT_3D('',#1503,#72,#76); +#11710=PLANE('',#11709); +#11711=ORIENTED_EDGE('',*,*,#18199,.F.); +#11712=ORIENTED_EDGE('',*,*,#19295,.T.); +#11713=ORIENTED_EDGE('',*,*,#19300,.T.); +#11714=ORIENTED_EDGE('',*,*,#19298,.F.); +#11715=EDGE_LOOP('',(#11711,#11712,#11713,#11714)); +#11716=FACE_OUTER_BOUND('',#11715,.F.); +#11717=ADVANCED_FACE('',(#11716),#11710,.T.); +#11718=ORIENTED_EDGE('',*,*,#19294,.F.); +#11719=ORIENTED_EDGE('',*,*,#19297,.F.); +#11720=ORIENTED_EDGE('',*,*,#19299,.F.); +#11721=ORIENTED_EDGE('',*,*,#19300,.F.); +#11722=EDGE_LOOP('',(#11718,#11719,#11720,#11721)); +#11723=FACE_OUTER_BOUND('',#11722,.F.); +#11724=ADVANCED_FACE('',(#11723),#7485,.T.); +#11725=CARTESIAN_POINT('',(2.191E1,4.13E0,-1.055E1)); +#11726=AXIS2_PLACEMENT_3D('',#11725,#84,#72); +#11727=PLANE('',#11726); +#11728=ORIENTED_EDGE('',*,*,#18084,.T.); +#11729=ORIENTED_EDGE('',*,*,#19301,.T.); +#11730=ORIENTED_EDGE('',*,*,#19302,.T.); +#11731=ORIENTED_EDGE('',*,*,#19303,.F.); +#11732=ORIENTED_EDGE('',*,*,#18201,.F.); +#11733=EDGE_LOOP('',(#11728,#11729,#11730,#11731,#11732)); +#11734=FACE_OUTER_BOUND('',#11733,.F.); +#11735=ADVANCED_FACE('',(#11734),#11727,.T.); +#11736=CARTESIAN_POINT('',(2.127E1,4.13E0,-1.055E1)); +#11737=AXIS2_PLACEMENT_3D('',#11736,#80,#84); +#11738=PLANE('',#11737); +#11739=ORIENTED_EDGE('',*,*,#18083,.F.); +#11740=ORIENTED_EDGE('',*,*,#19304,.T.); +#11741=ORIENTED_EDGE('',*,*,#19305,.T.); +#11742=ORIENTED_EDGE('',*,*,#19301,.F.); +#11743=EDGE_LOOP('',(#11739,#11740,#11741,#11742)); +#11744=FACE_OUTER_BOUND('',#11743,.F.); +#11745=ADVANCED_FACE('',(#11744),#11738,.T.); +#11746=AXIS2_PLACEMENT_3D('',#3110,#76,#80); +#11747=PLANE('',#11746); +#11748=ORIENTED_EDGE('',*,*,#18082,.F.); +#11749=ORIENTED_EDGE('',*,*,#18203,.T.); +#11750=ORIENTED_EDGE('',*,*,#19306,.T.); +#11751=ORIENTED_EDGE('',*,*,#19307,.T.); +#11752=ORIENTED_EDGE('',*,*,#19304,.F.); +#11753=EDGE_LOOP('',(#11748,#11749,#11750,#11751,#11752)); +#11754=FACE_OUTER_BOUND('',#11753,.F.); +#11755=ADVANCED_FACE('',(#11754),#11747,.T.); +#11756=AXIS2_PLACEMENT_3D('',#1508,#72,#76); +#11757=PLANE('',#11756); +#11758=ORIENTED_EDGE('',*,*,#18202,.F.); +#11759=ORIENTED_EDGE('',*,*,#19303,.T.); +#11760=ORIENTED_EDGE('',*,*,#19308,.T.); +#11761=ORIENTED_EDGE('',*,*,#19306,.F.); +#11762=EDGE_LOOP('',(#11758,#11759,#11760,#11761)); +#11763=FACE_OUTER_BOUND('',#11762,.F.); +#11764=ADVANCED_FACE('',(#11763),#11757,.T.); +#11765=ORIENTED_EDGE('',*,*,#19302,.F.); +#11766=ORIENTED_EDGE('',*,*,#19305,.F.); +#11767=ORIENTED_EDGE('',*,*,#19307,.F.); +#11768=ORIENTED_EDGE('',*,*,#19308,.F.); +#11769=EDGE_LOOP('',(#11765,#11766,#11767,#11768)); +#11770=FACE_OUTER_BOUND('',#11769,.F.); +#11771=ADVANCED_FACE('',(#11770),#7485,.T.); +#11772=CARTESIAN_POINT('',(1.937E1,4.13E0,-1.055E1)); +#11773=AXIS2_PLACEMENT_3D('',#11772,#84,#72); +#11774=PLANE('',#11773); +#11775=ORIENTED_EDGE('',*,*,#18080,.T.); +#11776=ORIENTED_EDGE('',*,*,#19309,.T.); +#11777=ORIENTED_EDGE('',*,*,#19310,.T.); +#11778=ORIENTED_EDGE('',*,*,#19311,.F.); +#11779=ORIENTED_EDGE('',*,*,#18204,.F.); +#11780=EDGE_LOOP('',(#11775,#11776,#11777,#11778,#11779)); +#11781=FACE_OUTER_BOUND('',#11780,.F.); +#11782=ADVANCED_FACE('',(#11781),#11774,.T.); +#11783=CARTESIAN_POINT('',(1.873E1,4.13E0,-1.055E1)); +#11784=AXIS2_PLACEMENT_3D('',#11783,#80,#84); +#11785=PLANE('',#11784); +#11786=ORIENTED_EDGE('',*,*,#18079,.F.); +#11787=ORIENTED_EDGE('',*,*,#19312,.T.); +#11788=ORIENTED_EDGE('',*,*,#19313,.T.); +#11789=ORIENTED_EDGE('',*,*,#19309,.F.); +#11790=EDGE_LOOP('',(#11786,#11787,#11788,#11789)); +#11791=FACE_OUTER_BOUND('',#11790,.F.); +#11792=ADVANCED_FACE('',(#11791),#11785,.T.); +#11793=AXIS2_PLACEMENT_3D('',#3124,#76,#80); +#11794=PLANE('',#11793); +#11795=ORIENTED_EDGE('',*,*,#18078,.F.); +#11796=ORIENTED_EDGE('',*,*,#18206,.T.); +#11797=ORIENTED_EDGE('',*,*,#19314,.T.); +#11798=ORIENTED_EDGE('',*,*,#19315,.T.); +#11799=ORIENTED_EDGE('',*,*,#19312,.F.); +#11800=EDGE_LOOP('',(#11795,#11796,#11797,#11798,#11799)); +#11801=FACE_OUTER_BOUND('',#11800,.F.); +#11802=ADVANCED_FACE('',(#11801),#11794,.T.); +#11803=AXIS2_PLACEMENT_3D('',#1513,#72,#76); +#11804=PLANE('',#11803); +#11805=ORIENTED_EDGE('',*,*,#18205,.F.); +#11806=ORIENTED_EDGE('',*,*,#19311,.T.); +#11807=ORIENTED_EDGE('',*,*,#19316,.T.); +#11808=ORIENTED_EDGE('',*,*,#19314,.F.); +#11809=EDGE_LOOP('',(#11805,#11806,#11807,#11808)); +#11810=FACE_OUTER_BOUND('',#11809,.F.); +#11811=ADVANCED_FACE('',(#11810),#11804,.T.); +#11812=ORIENTED_EDGE('',*,*,#19310,.F.); +#11813=ORIENTED_EDGE('',*,*,#19313,.F.); +#11814=ORIENTED_EDGE('',*,*,#19315,.F.); +#11815=ORIENTED_EDGE('',*,*,#19316,.F.); +#11816=EDGE_LOOP('',(#11812,#11813,#11814,#11815)); +#11817=FACE_OUTER_BOUND('',#11816,.F.); +#11818=ADVANCED_FACE('',(#11817),#7485,.T.); +#11819=CARTESIAN_POINT('',(1.683E1,4.13E0,-1.055E1)); +#11820=AXIS2_PLACEMENT_3D('',#11819,#84,#72); +#11821=PLANE('',#11820); +#11822=ORIENTED_EDGE('',*,*,#18076,.T.); +#11823=ORIENTED_EDGE('',*,*,#19317,.T.); +#11824=ORIENTED_EDGE('',*,*,#19318,.T.); +#11825=ORIENTED_EDGE('',*,*,#19319,.F.); +#11826=ORIENTED_EDGE('',*,*,#18207,.F.); +#11827=EDGE_LOOP('',(#11822,#11823,#11824,#11825,#11826)); +#11828=FACE_OUTER_BOUND('',#11827,.F.); +#11829=ADVANCED_FACE('',(#11828),#11821,.T.); +#11830=CARTESIAN_POINT('',(1.619E1,4.13E0,-1.055E1)); +#11831=AXIS2_PLACEMENT_3D('',#11830,#80,#84); +#11832=PLANE('',#11831); +#11833=ORIENTED_EDGE('',*,*,#18075,.F.); +#11834=ORIENTED_EDGE('',*,*,#19320,.T.); +#11835=ORIENTED_EDGE('',*,*,#19321,.T.); +#11836=ORIENTED_EDGE('',*,*,#19317,.F.); +#11837=EDGE_LOOP('',(#11833,#11834,#11835,#11836)); +#11838=FACE_OUTER_BOUND('',#11837,.F.); +#11839=ADVANCED_FACE('',(#11838),#11832,.T.); +#11840=AXIS2_PLACEMENT_3D('',#3138,#76,#80); +#11841=PLANE('',#11840); +#11842=ORIENTED_EDGE('',*,*,#18074,.F.); +#11843=ORIENTED_EDGE('',*,*,#18209,.T.); +#11844=ORIENTED_EDGE('',*,*,#19322,.T.); +#11845=ORIENTED_EDGE('',*,*,#19323,.T.); +#11846=ORIENTED_EDGE('',*,*,#19320,.F.); +#11847=EDGE_LOOP('',(#11842,#11843,#11844,#11845,#11846)); +#11848=FACE_OUTER_BOUND('',#11847,.F.); +#11849=ADVANCED_FACE('',(#11848),#11841,.T.); +#11850=AXIS2_PLACEMENT_3D('',#1518,#72,#76); +#11851=PLANE('',#11850); +#11852=ORIENTED_EDGE('',*,*,#18208,.F.); +#11853=ORIENTED_EDGE('',*,*,#19319,.T.); +#11854=ORIENTED_EDGE('',*,*,#19324,.T.); +#11855=ORIENTED_EDGE('',*,*,#19322,.F.); +#11856=EDGE_LOOP('',(#11852,#11853,#11854,#11855)); +#11857=FACE_OUTER_BOUND('',#11856,.F.); +#11858=ADVANCED_FACE('',(#11857),#11851,.T.); +#11859=ORIENTED_EDGE('',*,*,#19318,.F.); +#11860=ORIENTED_EDGE('',*,*,#19321,.F.); +#11861=ORIENTED_EDGE('',*,*,#19323,.F.); +#11862=ORIENTED_EDGE('',*,*,#19324,.F.); +#11863=EDGE_LOOP('',(#11859,#11860,#11861,#11862)); +#11864=FACE_OUTER_BOUND('',#11863,.F.); +#11865=ADVANCED_FACE('',(#11864),#7485,.T.); +#11866=CARTESIAN_POINT('',(1.429E1,4.13E0,-1.055E1)); +#11867=AXIS2_PLACEMENT_3D('',#11866,#84,#72); +#11868=PLANE('',#11867); +#11869=ORIENTED_EDGE('',*,*,#18072,.T.); +#11870=ORIENTED_EDGE('',*,*,#19325,.T.); +#11871=ORIENTED_EDGE('',*,*,#19326,.T.); +#11872=ORIENTED_EDGE('',*,*,#19327,.F.); +#11873=ORIENTED_EDGE('',*,*,#18210,.F.); +#11874=EDGE_LOOP('',(#11869,#11870,#11871,#11872,#11873)); +#11875=FACE_OUTER_BOUND('',#11874,.F.); +#11876=ADVANCED_FACE('',(#11875),#11868,.T.); +#11877=CARTESIAN_POINT('',(1.365E1,4.13E0,-1.055E1)); +#11878=AXIS2_PLACEMENT_3D('',#11877,#80,#84); +#11879=PLANE('',#11878); +#11880=ORIENTED_EDGE('',*,*,#18071,.F.); +#11881=ORIENTED_EDGE('',*,*,#19328,.T.); +#11882=ORIENTED_EDGE('',*,*,#19329,.T.); +#11883=ORIENTED_EDGE('',*,*,#19325,.F.); +#11884=EDGE_LOOP('',(#11880,#11881,#11882,#11883)); +#11885=FACE_OUTER_BOUND('',#11884,.F.); +#11886=ADVANCED_FACE('',(#11885),#11879,.T.); +#11887=AXIS2_PLACEMENT_3D('',#3152,#76,#80); +#11888=PLANE('',#11887); +#11889=ORIENTED_EDGE('',*,*,#18070,.F.); +#11890=ORIENTED_EDGE('',*,*,#18212,.T.); +#11891=ORIENTED_EDGE('',*,*,#19330,.T.); +#11892=ORIENTED_EDGE('',*,*,#19331,.T.); +#11893=ORIENTED_EDGE('',*,*,#19328,.F.); +#11894=EDGE_LOOP('',(#11889,#11890,#11891,#11892,#11893)); +#11895=FACE_OUTER_BOUND('',#11894,.F.); +#11896=ADVANCED_FACE('',(#11895),#11888,.T.); +#11897=AXIS2_PLACEMENT_3D('',#1523,#72,#76); +#11898=PLANE('',#11897); +#11899=ORIENTED_EDGE('',*,*,#18211,.F.); +#11900=ORIENTED_EDGE('',*,*,#19327,.T.); +#11901=ORIENTED_EDGE('',*,*,#19332,.T.); +#11902=ORIENTED_EDGE('',*,*,#19330,.F.); +#11903=EDGE_LOOP('',(#11899,#11900,#11901,#11902)); +#11904=FACE_OUTER_BOUND('',#11903,.F.); +#11905=ADVANCED_FACE('',(#11904),#11898,.T.); +#11906=ORIENTED_EDGE('',*,*,#19326,.F.); +#11907=ORIENTED_EDGE('',*,*,#19329,.F.); +#11908=ORIENTED_EDGE('',*,*,#19331,.F.); +#11909=ORIENTED_EDGE('',*,*,#19332,.F.); +#11910=EDGE_LOOP('',(#11906,#11907,#11908,#11909)); +#11911=FACE_OUTER_BOUND('',#11910,.F.); +#11912=ADVANCED_FACE('',(#11911),#7485,.T.); +#11913=CARTESIAN_POINT('',(1.175E1,4.13E0,-1.055E1)); +#11914=AXIS2_PLACEMENT_3D('',#11913,#84,#72); +#11915=PLANE('',#11914); +#11916=ORIENTED_EDGE('',*,*,#18068,.T.); +#11917=ORIENTED_EDGE('',*,*,#19333,.T.); +#11918=ORIENTED_EDGE('',*,*,#19334,.T.); +#11919=ORIENTED_EDGE('',*,*,#19335,.F.); +#11920=ORIENTED_EDGE('',*,*,#18213,.F.); +#11921=EDGE_LOOP('',(#11916,#11917,#11918,#11919,#11920)); +#11922=FACE_OUTER_BOUND('',#11921,.F.); +#11923=ADVANCED_FACE('',(#11922),#11915,.T.); +#11924=CARTESIAN_POINT('',(1.111E1,4.13E0,-1.055E1)); +#11925=AXIS2_PLACEMENT_3D('',#11924,#80,#84); +#11926=PLANE('',#11925); +#11927=ORIENTED_EDGE('',*,*,#18067,.F.); +#11928=ORIENTED_EDGE('',*,*,#19336,.T.); +#11929=ORIENTED_EDGE('',*,*,#19337,.T.); +#11930=ORIENTED_EDGE('',*,*,#19333,.F.); +#11931=EDGE_LOOP('',(#11927,#11928,#11929,#11930)); +#11932=FACE_OUTER_BOUND('',#11931,.F.); +#11933=ADVANCED_FACE('',(#11932),#11926,.T.); +#11934=AXIS2_PLACEMENT_3D('',#3166,#76,#80); +#11935=PLANE('',#11934); +#11936=ORIENTED_EDGE('',*,*,#18066,.F.); +#11937=ORIENTED_EDGE('',*,*,#18215,.T.); +#11938=ORIENTED_EDGE('',*,*,#19338,.T.); +#11939=ORIENTED_EDGE('',*,*,#19339,.T.); +#11940=ORIENTED_EDGE('',*,*,#19336,.F.); +#11941=EDGE_LOOP('',(#11936,#11937,#11938,#11939,#11940)); +#11942=FACE_OUTER_BOUND('',#11941,.F.); +#11943=ADVANCED_FACE('',(#11942),#11935,.T.); +#11944=AXIS2_PLACEMENT_3D('',#1528,#72,#76); +#11945=PLANE('',#11944); +#11946=ORIENTED_EDGE('',*,*,#18214,.F.); +#11947=ORIENTED_EDGE('',*,*,#19335,.T.); +#11948=ORIENTED_EDGE('',*,*,#19340,.T.); +#11949=ORIENTED_EDGE('',*,*,#19338,.F.); +#11950=EDGE_LOOP('',(#11946,#11947,#11948,#11949)); +#11951=FACE_OUTER_BOUND('',#11950,.F.); +#11952=ADVANCED_FACE('',(#11951),#11945,.T.); +#11953=ORIENTED_EDGE('',*,*,#19334,.F.); +#11954=ORIENTED_EDGE('',*,*,#19337,.F.); +#11955=ORIENTED_EDGE('',*,*,#19339,.F.); +#11956=ORIENTED_EDGE('',*,*,#19340,.F.); +#11957=EDGE_LOOP('',(#11953,#11954,#11955,#11956)); +#11958=FACE_OUTER_BOUND('',#11957,.F.); +#11959=ADVANCED_FACE('',(#11958),#7485,.T.); +#11960=CARTESIAN_POINT('',(9.21E0,4.13E0,-1.055E1)); +#11961=AXIS2_PLACEMENT_3D('',#11960,#84,#72); +#11962=PLANE('',#11961); +#11963=ORIENTED_EDGE('',*,*,#18064,.T.); +#11964=ORIENTED_EDGE('',*,*,#19341,.T.); +#11965=ORIENTED_EDGE('',*,*,#19342,.T.); +#11966=ORIENTED_EDGE('',*,*,#19343,.F.); +#11967=ORIENTED_EDGE('',*,*,#18216,.F.); +#11968=EDGE_LOOP('',(#11963,#11964,#11965,#11966,#11967)); +#11969=FACE_OUTER_BOUND('',#11968,.F.); +#11970=ADVANCED_FACE('',(#11969),#11962,.T.); +#11971=CARTESIAN_POINT('',(8.57E0,4.13E0,-1.055E1)); +#11972=AXIS2_PLACEMENT_3D('',#11971,#80,#84); +#11973=PLANE('',#11972); +#11974=ORIENTED_EDGE('',*,*,#18063,.F.); +#11975=ORIENTED_EDGE('',*,*,#19344,.T.); +#11976=ORIENTED_EDGE('',*,*,#19345,.T.); +#11977=ORIENTED_EDGE('',*,*,#19341,.F.); +#11978=EDGE_LOOP('',(#11974,#11975,#11976,#11977)); +#11979=FACE_OUTER_BOUND('',#11978,.F.); +#11980=ADVANCED_FACE('',(#11979),#11973,.T.); +#11981=AXIS2_PLACEMENT_3D('',#3180,#76,#80); +#11982=PLANE('',#11981); +#11983=ORIENTED_EDGE('',*,*,#18062,.F.); +#11984=ORIENTED_EDGE('',*,*,#18218,.T.); +#11985=ORIENTED_EDGE('',*,*,#19346,.T.); +#11986=ORIENTED_EDGE('',*,*,#19347,.T.); +#11987=ORIENTED_EDGE('',*,*,#19344,.F.); +#11988=EDGE_LOOP('',(#11983,#11984,#11985,#11986,#11987)); +#11989=FACE_OUTER_BOUND('',#11988,.F.); +#11990=ADVANCED_FACE('',(#11989),#11982,.T.); +#11991=AXIS2_PLACEMENT_3D('',#1533,#72,#76); +#11992=PLANE('',#11991); +#11993=ORIENTED_EDGE('',*,*,#18217,.F.); +#11994=ORIENTED_EDGE('',*,*,#19343,.T.); +#11995=ORIENTED_EDGE('',*,*,#19348,.T.); +#11996=ORIENTED_EDGE('',*,*,#19346,.F.); +#11997=EDGE_LOOP('',(#11993,#11994,#11995,#11996)); +#11998=FACE_OUTER_BOUND('',#11997,.F.); +#11999=ADVANCED_FACE('',(#11998),#11992,.T.); +#12000=ORIENTED_EDGE('',*,*,#19342,.F.); +#12001=ORIENTED_EDGE('',*,*,#19345,.F.); +#12002=ORIENTED_EDGE('',*,*,#19347,.F.); +#12003=ORIENTED_EDGE('',*,*,#19348,.F.); +#12004=EDGE_LOOP('',(#12000,#12001,#12002,#12003)); +#12005=FACE_OUTER_BOUND('',#12004,.F.); +#12006=ADVANCED_FACE('',(#12005),#7485,.T.); +#12007=CARTESIAN_POINT('',(6.67E0,4.13E0,-1.055E1)); +#12008=AXIS2_PLACEMENT_3D('',#12007,#84,#72); +#12009=PLANE('',#12008); +#12010=ORIENTED_EDGE('',*,*,#18060,.T.); +#12011=ORIENTED_EDGE('',*,*,#19349,.T.); +#12012=ORIENTED_EDGE('',*,*,#19350,.T.); +#12013=ORIENTED_EDGE('',*,*,#19351,.F.); +#12014=ORIENTED_EDGE('',*,*,#18219,.F.); +#12015=EDGE_LOOP('',(#12010,#12011,#12012,#12013,#12014)); +#12016=FACE_OUTER_BOUND('',#12015,.F.); +#12017=ADVANCED_FACE('',(#12016),#12009,.T.); +#12018=CARTESIAN_POINT('',(6.03E0,4.13E0,-1.055E1)); +#12019=AXIS2_PLACEMENT_3D('',#12018,#80,#84); +#12020=PLANE('',#12019); +#12021=ORIENTED_EDGE('',*,*,#18059,.F.); +#12022=ORIENTED_EDGE('',*,*,#19352,.T.); +#12023=ORIENTED_EDGE('',*,*,#19353,.T.); +#12024=ORIENTED_EDGE('',*,*,#19349,.F.); +#12025=EDGE_LOOP('',(#12021,#12022,#12023,#12024)); +#12026=FACE_OUTER_BOUND('',#12025,.F.); +#12027=ADVANCED_FACE('',(#12026),#12020,.T.); +#12028=AXIS2_PLACEMENT_3D('',#3194,#76,#80); +#12029=PLANE('',#12028); +#12030=ORIENTED_EDGE('',*,*,#18058,.F.); +#12031=ORIENTED_EDGE('',*,*,#18221,.T.); +#12032=ORIENTED_EDGE('',*,*,#19354,.T.); +#12033=ORIENTED_EDGE('',*,*,#19355,.T.); +#12034=ORIENTED_EDGE('',*,*,#19352,.F.); +#12035=EDGE_LOOP('',(#12030,#12031,#12032,#12033,#12034)); +#12036=FACE_OUTER_BOUND('',#12035,.F.); +#12037=ADVANCED_FACE('',(#12036),#12029,.T.); +#12038=AXIS2_PLACEMENT_3D('',#1538,#72,#76); +#12039=PLANE('',#12038); +#12040=ORIENTED_EDGE('',*,*,#18220,.F.); +#12041=ORIENTED_EDGE('',*,*,#19351,.T.); +#12042=ORIENTED_EDGE('',*,*,#19356,.T.); +#12043=ORIENTED_EDGE('',*,*,#19354,.F.); +#12044=EDGE_LOOP('',(#12040,#12041,#12042,#12043)); +#12045=FACE_OUTER_BOUND('',#12044,.F.); +#12046=ADVANCED_FACE('',(#12045),#12039,.T.); +#12047=ORIENTED_EDGE('',*,*,#19350,.F.); +#12048=ORIENTED_EDGE('',*,*,#19353,.F.); +#12049=ORIENTED_EDGE('',*,*,#19355,.F.); +#12050=ORIENTED_EDGE('',*,*,#19356,.F.); +#12051=EDGE_LOOP('',(#12047,#12048,#12049,#12050)); +#12052=FACE_OUTER_BOUND('',#12051,.F.); +#12053=ADVANCED_FACE('',(#12052),#7485,.T.); +#12054=CARTESIAN_POINT('',(4.13E0,4.13E0,-1.055E1)); +#12055=AXIS2_PLACEMENT_3D('',#12054,#84,#72); +#12056=PLANE('',#12055); +#12057=ORIENTED_EDGE('',*,*,#18056,.T.); +#12058=ORIENTED_EDGE('',*,*,#19357,.T.); +#12059=ORIENTED_EDGE('',*,*,#19358,.T.); +#12060=ORIENTED_EDGE('',*,*,#19359,.F.); +#12061=ORIENTED_EDGE('',*,*,#18222,.F.); +#12062=EDGE_LOOP('',(#12057,#12058,#12059,#12060,#12061)); +#12063=FACE_OUTER_BOUND('',#12062,.F.); +#12064=ADVANCED_FACE('',(#12063),#12056,.T.); +#12065=CARTESIAN_POINT('',(3.49E0,4.13E0,-1.055E1)); +#12066=AXIS2_PLACEMENT_3D('',#12065,#80,#84); +#12067=PLANE('',#12066); +#12068=ORIENTED_EDGE('',*,*,#18055,.F.); +#12069=ORIENTED_EDGE('',*,*,#19360,.T.); +#12070=ORIENTED_EDGE('',*,*,#19361,.T.); +#12071=ORIENTED_EDGE('',*,*,#19357,.F.); +#12072=EDGE_LOOP('',(#12068,#12069,#12070,#12071)); +#12073=FACE_OUTER_BOUND('',#12072,.F.); +#12074=ADVANCED_FACE('',(#12073),#12067,.T.); +#12075=AXIS2_PLACEMENT_3D('',#3208,#76,#80); +#12076=PLANE('',#12075); +#12077=ORIENTED_EDGE('',*,*,#18054,.F.); +#12078=ORIENTED_EDGE('',*,*,#18224,.T.); +#12079=ORIENTED_EDGE('',*,*,#19362,.T.); +#12080=ORIENTED_EDGE('',*,*,#19363,.T.); +#12081=ORIENTED_EDGE('',*,*,#19360,.F.); +#12082=EDGE_LOOP('',(#12077,#12078,#12079,#12080,#12081)); +#12083=FACE_OUTER_BOUND('',#12082,.F.); +#12084=ADVANCED_FACE('',(#12083),#12076,.T.); +#12085=AXIS2_PLACEMENT_3D('',#1543,#72,#76); +#12086=PLANE('',#12085); +#12087=ORIENTED_EDGE('',*,*,#18223,.F.); +#12088=ORIENTED_EDGE('',*,*,#19359,.T.); +#12089=ORIENTED_EDGE('',*,*,#19364,.T.); +#12090=ORIENTED_EDGE('',*,*,#19362,.F.); +#12091=EDGE_LOOP('',(#12087,#12088,#12089,#12090)); +#12092=FACE_OUTER_BOUND('',#12091,.F.); +#12093=ADVANCED_FACE('',(#12092),#12086,.T.); +#12094=ORIENTED_EDGE('',*,*,#19358,.F.); +#12095=ORIENTED_EDGE('',*,*,#19361,.F.); +#12096=ORIENTED_EDGE('',*,*,#19363,.F.); +#12097=ORIENTED_EDGE('',*,*,#19364,.F.); +#12098=EDGE_LOOP('',(#12094,#12095,#12096,#12097)); +#12099=FACE_OUTER_BOUND('',#12098,.F.); +#12100=ADVANCED_FACE('',(#12099),#7485,.T.); +#12101=CARTESIAN_POINT('',(1.59E0,4.13E0,-1.055E1)); +#12102=AXIS2_PLACEMENT_3D('',#12101,#84,#72); +#12103=PLANE('',#12102); +#12104=ORIENTED_EDGE('',*,*,#18052,.T.); +#12105=ORIENTED_EDGE('',*,*,#19365,.T.); +#12106=ORIENTED_EDGE('',*,*,#19366,.T.); +#12107=ORIENTED_EDGE('',*,*,#19367,.F.); +#12108=ORIENTED_EDGE('',*,*,#18225,.F.); +#12109=EDGE_LOOP('',(#12104,#12105,#12106,#12107,#12108)); +#12110=FACE_OUTER_BOUND('',#12109,.F.); +#12111=ADVANCED_FACE('',(#12110),#12103,.T.); +#12112=CARTESIAN_POINT('',(9.5E-1,4.13E0,-1.055E1)); +#12113=AXIS2_PLACEMENT_3D('',#12112,#80,#84); +#12114=PLANE('',#12113); +#12115=ORIENTED_EDGE('',*,*,#18051,.F.); +#12116=ORIENTED_EDGE('',*,*,#19368,.T.); +#12117=ORIENTED_EDGE('',*,*,#19369,.T.); +#12118=ORIENTED_EDGE('',*,*,#19365,.F.); +#12119=EDGE_LOOP('',(#12115,#12116,#12117,#12118)); +#12120=FACE_OUTER_BOUND('',#12119,.F.); +#12121=ADVANCED_FACE('',(#12120),#12114,.T.); +#12122=AXIS2_PLACEMENT_3D('',#3222,#76,#80); +#12123=PLANE('',#12122); +#12124=ORIENTED_EDGE('',*,*,#18050,.F.); +#12125=ORIENTED_EDGE('',*,*,#18227,.T.); +#12126=ORIENTED_EDGE('',*,*,#19370,.T.); +#12127=ORIENTED_EDGE('',*,*,#19371,.T.); +#12128=ORIENTED_EDGE('',*,*,#19368,.F.); +#12129=EDGE_LOOP('',(#12124,#12125,#12126,#12127,#12128)); +#12130=FACE_OUTER_BOUND('',#12129,.F.); +#12131=ADVANCED_FACE('',(#12130),#12123,.T.); +#12132=AXIS2_PLACEMENT_3D('',#1548,#72,#76); +#12133=PLANE('',#12132); +#12134=ORIENTED_EDGE('',*,*,#18226,.F.); +#12135=ORIENTED_EDGE('',*,*,#19367,.T.); +#12136=ORIENTED_EDGE('',*,*,#19372,.T.); +#12137=ORIENTED_EDGE('',*,*,#19370,.F.); +#12138=EDGE_LOOP('',(#12134,#12135,#12136,#12137)); +#12139=FACE_OUTER_BOUND('',#12138,.F.); +#12140=ADVANCED_FACE('',(#12139),#12133,.T.); +#12141=ORIENTED_EDGE('',*,*,#19366,.F.); +#12142=ORIENTED_EDGE('',*,*,#19369,.F.); +#12143=ORIENTED_EDGE('',*,*,#19371,.F.); +#12144=ORIENTED_EDGE('',*,*,#19372,.F.); +#12145=EDGE_LOOP('',(#12141,#12142,#12143,#12144)); +#12146=FACE_OUTER_BOUND('',#12145,.F.); +#12147=ADVANCED_FACE('',(#12146),#7485,.T.); +#12148=CARTESIAN_POINT('',(-9.5E-1,4.13E0,-1.055E1)); +#12149=AXIS2_PLACEMENT_3D('',#12148,#84,#72); +#12150=PLANE('',#12149); +#12151=ORIENTED_EDGE('',*,*,#18048,.T.); +#12152=ORIENTED_EDGE('',*,*,#19373,.T.); +#12153=ORIENTED_EDGE('',*,*,#19374,.T.); +#12154=ORIENTED_EDGE('',*,*,#19375,.F.); +#12155=ORIENTED_EDGE('',*,*,#18228,.F.); +#12156=EDGE_LOOP('',(#12151,#12152,#12153,#12154,#12155)); +#12157=FACE_OUTER_BOUND('',#12156,.F.); +#12158=ADVANCED_FACE('',(#12157),#12150,.T.); +#12159=CARTESIAN_POINT('',(-1.59E0,4.13E0,-1.055E1)); +#12160=AXIS2_PLACEMENT_3D('',#12159,#80,#84); +#12161=PLANE('',#12160); +#12162=ORIENTED_EDGE('',*,*,#18047,.F.); +#12163=ORIENTED_EDGE('',*,*,#19376,.T.); +#12164=ORIENTED_EDGE('',*,*,#19377,.T.); +#12165=ORIENTED_EDGE('',*,*,#19373,.F.); +#12166=EDGE_LOOP('',(#12162,#12163,#12164,#12165)); +#12167=FACE_OUTER_BOUND('',#12166,.F.); +#12168=ADVANCED_FACE('',(#12167),#12161,.T.); +#12169=AXIS2_PLACEMENT_3D('',#3236,#76,#80); +#12170=PLANE('',#12169); +#12171=ORIENTED_EDGE('',*,*,#18046,.F.); +#12172=ORIENTED_EDGE('',*,*,#18230,.T.); +#12173=ORIENTED_EDGE('',*,*,#19378,.T.); +#12174=ORIENTED_EDGE('',*,*,#19379,.T.); +#12175=ORIENTED_EDGE('',*,*,#19376,.F.); +#12176=EDGE_LOOP('',(#12171,#12172,#12173,#12174,#12175)); +#12177=FACE_OUTER_BOUND('',#12176,.F.); +#12178=ADVANCED_FACE('',(#12177),#12170,.T.); +#12179=AXIS2_PLACEMENT_3D('',#1553,#72,#76); +#12180=PLANE('',#12179); +#12181=ORIENTED_EDGE('',*,*,#18229,.F.); +#12182=ORIENTED_EDGE('',*,*,#19375,.T.); +#12183=ORIENTED_EDGE('',*,*,#19380,.T.); +#12184=ORIENTED_EDGE('',*,*,#19378,.F.); +#12185=EDGE_LOOP('',(#12181,#12182,#12183,#12184)); +#12186=FACE_OUTER_BOUND('',#12185,.F.); +#12187=ADVANCED_FACE('',(#12186),#12180,.T.); +#12188=ORIENTED_EDGE('',*,*,#19374,.F.); +#12189=ORIENTED_EDGE('',*,*,#19377,.F.); +#12190=ORIENTED_EDGE('',*,*,#19379,.F.); +#12191=ORIENTED_EDGE('',*,*,#19380,.F.); +#12192=EDGE_LOOP('',(#12188,#12189,#12190,#12191)); +#12193=FACE_OUTER_BOUND('',#12192,.F.); +#12194=ADVANCED_FACE('',(#12193),#7485,.T.); +#12195=CARTESIAN_POINT('',(-3.49E0,4.13E0,-1.055E1)); +#12196=AXIS2_PLACEMENT_3D('',#12195,#84,#72); +#12197=PLANE('',#12196); +#12198=ORIENTED_EDGE('',*,*,#18044,.T.); +#12199=ORIENTED_EDGE('',*,*,#19381,.T.); +#12200=ORIENTED_EDGE('',*,*,#19382,.T.); +#12201=ORIENTED_EDGE('',*,*,#19383,.F.); +#12202=ORIENTED_EDGE('',*,*,#18231,.F.); +#12203=EDGE_LOOP('',(#12198,#12199,#12200,#12201,#12202)); +#12204=FACE_OUTER_BOUND('',#12203,.F.); +#12205=ADVANCED_FACE('',(#12204),#12197,.T.); +#12206=CARTESIAN_POINT('',(-4.13E0,4.13E0,-1.055E1)); +#12207=AXIS2_PLACEMENT_3D('',#12206,#80,#84); +#12208=PLANE('',#12207); +#12209=ORIENTED_EDGE('',*,*,#18043,.F.); +#12210=ORIENTED_EDGE('',*,*,#19384,.T.); +#12211=ORIENTED_EDGE('',*,*,#19385,.T.); +#12212=ORIENTED_EDGE('',*,*,#19381,.F.); +#12213=EDGE_LOOP('',(#12209,#12210,#12211,#12212)); +#12214=FACE_OUTER_BOUND('',#12213,.F.); +#12215=ADVANCED_FACE('',(#12214),#12208,.T.); +#12216=AXIS2_PLACEMENT_3D('',#3250,#76,#80); +#12217=PLANE('',#12216); +#12218=ORIENTED_EDGE('',*,*,#18042,.F.); +#12219=ORIENTED_EDGE('',*,*,#18233,.T.); +#12220=ORIENTED_EDGE('',*,*,#19386,.T.); +#12221=ORIENTED_EDGE('',*,*,#19387,.T.); +#12222=ORIENTED_EDGE('',*,*,#19384,.F.); +#12223=EDGE_LOOP('',(#12218,#12219,#12220,#12221,#12222)); +#12224=FACE_OUTER_BOUND('',#12223,.F.); +#12225=ADVANCED_FACE('',(#12224),#12217,.T.); +#12226=AXIS2_PLACEMENT_3D('',#1558,#72,#76); +#12227=PLANE('',#12226); +#12228=ORIENTED_EDGE('',*,*,#18232,.F.); +#12229=ORIENTED_EDGE('',*,*,#19383,.T.); +#12230=ORIENTED_EDGE('',*,*,#19388,.T.); +#12231=ORIENTED_EDGE('',*,*,#19386,.F.); +#12232=EDGE_LOOP('',(#12228,#12229,#12230,#12231)); +#12233=FACE_OUTER_BOUND('',#12232,.F.); +#12234=ADVANCED_FACE('',(#12233),#12227,.T.); +#12235=ORIENTED_EDGE('',*,*,#19382,.F.); +#12236=ORIENTED_EDGE('',*,*,#19385,.F.); +#12237=ORIENTED_EDGE('',*,*,#19387,.F.); +#12238=ORIENTED_EDGE('',*,*,#19388,.F.); +#12239=EDGE_LOOP('',(#12235,#12236,#12237,#12238)); +#12240=FACE_OUTER_BOUND('',#12239,.F.); +#12241=ADVANCED_FACE('',(#12240),#7485,.T.); +#12242=CARTESIAN_POINT('',(-6.03E0,4.13E0,-1.055E1)); +#12243=AXIS2_PLACEMENT_3D('',#12242,#84,#72); +#12244=PLANE('',#12243); +#12245=ORIENTED_EDGE('',*,*,#18040,.T.); +#12246=ORIENTED_EDGE('',*,*,#19389,.T.); +#12247=ORIENTED_EDGE('',*,*,#19390,.T.); +#12248=ORIENTED_EDGE('',*,*,#19391,.F.); +#12249=ORIENTED_EDGE('',*,*,#18234,.F.); +#12250=EDGE_LOOP('',(#12245,#12246,#12247,#12248,#12249)); +#12251=FACE_OUTER_BOUND('',#12250,.F.); +#12252=ADVANCED_FACE('',(#12251),#12244,.T.); +#12253=CARTESIAN_POINT('',(-6.67E0,4.13E0,-1.055E1)); +#12254=AXIS2_PLACEMENT_3D('',#12253,#80,#84); +#12255=PLANE('',#12254); +#12256=ORIENTED_EDGE('',*,*,#18039,.F.); +#12257=ORIENTED_EDGE('',*,*,#19392,.T.); +#12258=ORIENTED_EDGE('',*,*,#19393,.T.); +#12259=ORIENTED_EDGE('',*,*,#19389,.F.); +#12260=EDGE_LOOP('',(#12256,#12257,#12258,#12259)); +#12261=FACE_OUTER_BOUND('',#12260,.F.); +#12262=ADVANCED_FACE('',(#12261),#12255,.T.); +#12263=AXIS2_PLACEMENT_3D('',#3264,#76,#80); +#12264=PLANE('',#12263); +#12265=ORIENTED_EDGE('',*,*,#18038,.F.); +#12266=ORIENTED_EDGE('',*,*,#18236,.T.); +#12267=ORIENTED_EDGE('',*,*,#19394,.T.); +#12268=ORIENTED_EDGE('',*,*,#19395,.T.); +#12269=ORIENTED_EDGE('',*,*,#19392,.F.); +#12270=EDGE_LOOP('',(#12265,#12266,#12267,#12268,#12269)); +#12271=FACE_OUTER_BOUND('',#12270,.F.); +#12272=ADVANCED_FACE('',(#12271),#12264,.T.); +#12273=AXIS2_PLACEMENT_3D('',#1563,#72,#76); +#12274=PLANE('',#12273); +#12275=ORIENTED_EDGE('',*,*,#18235,.F.); +#12276=ORIENTED_EDGE('',*,*,#19391,.T.); +#12277=ORIENTED_EDGE('',*,*,#19396,.T.); +#12278=ORIENTED_EDGE('',*,*,#19394,.F.); +#12279=EDGE_LOOP('',(#12275,#12276,#12277,#12278)); +#12280=FACE_OUTER_BOUND('',#12279,.F.); +#12281=ADVANCED_FACE('',(#12280),#12274,.T.); +#12282=ORIENTED_EDGE('',*,*,#19390,.F.); +#12283=ORIENTED_EDGE('',*,*,#19393,.F.); +#12284=ORIENTED_EDGE('',*,*,#19395,.F.); +#12285=ORIENTED_EDGE('',*,*,#19396,.F.); +#12286=EDGE_LOOP('',(#12282,#12283,#12284,#12285)); +#12287=FACE_OUTER_BOUND('',#12286,.F.); +#12288=ADVANCED_FACE('',(#12287),#7485,.T.); +#12289=CARTESIAN_POINT('',(-8.57E0,4.13E0,-1.055E1)); +#12290=AXIS2_PLACEMENT_3D('',#12289,#84,#72); +#12291=PLANE('',#12290); +#12292=ORIENTED_EDGE('',*,*,#18036,.T.); +#12293=ORIENTED_EDGE('',*,*,#19397,.T.); +#12294=ORIENTED_EDGE('',*,*,#19398,.T.); +#12295=ORIENTED_EDGE('',*,*,#19399,.F.); +#12296=ORIENTED_EDGE('',*,*,#18237,.F.); +#12297=EDGE_LOOP('',(#12292,#12293,#12294,#12295,#12296)); +#12298=FACE_OUTER_BOUND('',#12297,.F.); +#12299=ADVANCED_FACE('',(#12298),#12291,.T.); +#12300=CARTESIAN_POINT('',(-9.21E0,4.13E0,-1.055E1)); +#12301=AXIS2_PLACEMENT_3D('',#12300,#80,#84); +#12302=PLANE('',#12301); +#12303=ORIENTED_EDGE('',*,*,#18035,.F.); +#12304=ORIENTED_EDGE('',*,*,#19400,.T.); +#12305=ORIENTED_EDGE('',*,*,#19401,.T.); +#12306=ORIENTED_EDGE('',*,*,#19397,.F.); +#12307=EDGE_LOOP('',(#12303,#12304,#12305,#12306)); +#12308=FACE_OUTER_BOUND('',#12307,.F.); +#12309=ADVANCED_FACE('',(#12308),#12302,.T.); +#12310=AXIS2_PLACEMENT_3D('',#3278,#76,#80); +#12311=PLANE('',#12310); +#12312=ORIENTED_EDGE('',*,*,#18034,.F.); +#12313=ORIENTED_EDGE('',*,*,#18239,.T.); +#12314=ORIENTED_EDGE('',*,*,#19402,.T.); +#12315=ORIENTED_EDGE('',*,*,#19403,.T.); +#12316=ORIENTED_EDGE('',*,*,#19400,.F.); +#12317=EDGE_LOOP('',(#12312,#12313,#12314,#12315,#12316)); +#12318=FACE_OUTER_BOUND('',#12317,.F.); +#12319=ADVANCED_FACE('',(#12318),#12311,.T.); +#12320=AXIS2_PLACEMENT_3D('',#1568,#72,#76); +#12321=PLANE('',#12320); +#12322=ORIENTED_EDGE('',*,*,#18238,.F.); +#12323=ORIENTED_EDGE('',*,*,#19399,.T.); +#12324=ORIENTED_EDGE('',*,*,#19404,.T.); +#12325=ORIENTED_EDGE('',*,*,#19402,.F.); +#12326=EDGE_LOOP('',(#12322,#12323,#12324,#12325)); +#12327=FACE_OUTER_BOUND('',#12326,.F.); +#12328=ADVANCED_FACE('',(#12327),#12321,.T.); +#12329=ORIENTED_EDGE('',*,*,#19398,.F.); +#12330=ORIENTED_EDGE('',*,*,#19401,.F.); +#12331=ORIENTED_EDGE('',*,*,#19403,.F.); +#12332=ORIENTED_EDGE('',*,*,#19404,.F.); +#12333=EDGE_LOOP('',(#12329,#12330,#12331,#12332)); +#12334=FACE_OUTER_BOUND('',#12333,.F.); +#12335=ADVANCED_FACE('',(#12334),#7485,.T.); +#12336=CARTESIAN_POINT('',(-1.111E1,4.13E0,-1.055E1)); +#12337=AXIS2_PLACEMENT_3D('',#12336,#84,#72); +#12338=PLANE('',#12337); +#12339=ORIENTED_EDGE('',*,*,#18032,.T.); +#12340=ORIENTED_EDGE('',*,*,#19405,.T.); +#12341=ORIENTED_EDGE('',*,*,#19406,.T.); +#12342=ORIENTED_EDGE('',*,*,#19407,.F.); +#12343=ORIENTED_EDGE('',*,*,#18240,.F.); +#12344=EDGE_LOOP('',(#12339,#12340,#12341,#12342,#12343)); +#12345=FACE_OUTER_BOUND('',#12344,.F.); +#12346=ADVANCED_FACE('',(#12345),#12338,.T.); +#12347=CARTESIAN_POINT('',(-1.175E1,4.13E0,-1.055E1)); +#12348=AXIS2_PLACEMENT_3D('',#12347,#80,#84); +#12349=PLANE('',#12348); +#12350=ORIENTED_EDGE('',*,*,#18031,.F.); +#12351=ORIENTED_EDGE('',*,*,#19408,.T.); +#12352=ORIENTED_EDGE('',*,*,#19409,.T.); +#12353=ORIENTED_EDGE('',*,*,#19405,.F.); +#12354=EDGE_LOOP('',(#12350,#12351,#12352,#12353)); +#12355=FACE_OUTER_BOUND('',#12354,.F.); +#12356=ADVANCED_FACE('',(#12355),#12349,.T.); +#12357=AXIS2_PLACEMENT_3D('',#3292,#76,#80); +#12358=PLANE('',#12357); +#12359=ORIENTED_EDGE('',*,*,#18030,.F.); +#12360=ORIENTED_EDGE('',*,*,#18242,.T.); +#12361=ORIENTED_EDGE('',*,*,#19410,.T.); +#12362=ORIENTED_EDGE('',*,*,#19411,.T.); +#12363=ORIENTED_EDGE('',*,*,#19408,.F.); +#12364=EDGE_LOOP('',(#12359,#12360,#12361,#12362,#12363)); +#12365=FACE_OUTER_BOUND('',#12364,.F.); +#12366=ADVANCED_FACE('',(#12365),#12358,.T.); +#12367=AXIS2_PLACEMENT_3D('',#1573,#72,#76); +#12368=PLANE('',#12367); +#12369=ORIENTED_EDGE('',*,*,#18241,.F.); +#12370=ORIENTED_EDGE('',*,*,#19407,.T.); +#12371=ORIENTED_EDGE('',*,*,#19412,.T.); +#12372=ORIENTED_EDGE('',*,*,#19410,.F.); +#12373=EDGE_LOOP('',(#12369,#12370,#12371,#12372)); +#12374=FACE_OUTER_BOUND('',#12373,.F.); +#12375=ADVANCED_FACE('',(#12374),#12368,.T.); +#12376=ORIENTED_EDGE('',*,*,#19406,.F.); +#12377=ORIENTED_EDGE('',*,*,#19409,.F.); +#12378=ORIENTED_EDGE('',*,*,#19411,.F.); +#12379=ORIENTED_EDGE('',*,*,#19412,.F.); +#12380=EDGE_LOOP('',(#12376,#12377,#12378,#12379)); +#12381=FACE_OUTER_BOUND('',#12380,.F.); +#12382=ADVANCED_FACE('',(#12381),#7485,.T.); +#12383=CARTESIAN_POINT('',(-1.365E1,4.13E0,-1.055E1)); +#12384=AXIS2_PLACEMENT_3D('',#12383,#84,#72); +#12385=PLANE('',#12384); +#12386=ORIENTED_EDGE('',*,*,#18028,.T.); +#12387=ORIENTED_EDGE('',*,*,#19413,.T.); +#12388=ORIENTED_EDGE('',*,*,#19414,.T.); +#12389=ORIENTED_EDGE('',*,*,#19415,.F.); +#12390=ORIENTED_EDGE('',*,*,#18243,.F.); +#12391=EDGE_LOOP('',(#12386,#12387,#12388,#12389,#12390)); +#12392=FACE_OUTER_BOUND('',#12391,.F.); +#12393=ADVANCED_FACE('',(#12392),#12385,.T.); +#12394=CARTESIAN_POINT('',(-1.429E1,4.13E0,-1.055E1)); +#12395=AXIS2_PLACEMENT_3D('',#12394,#80,#84); +#12396=PLANE('',#12395); +#12397=ORIENTED_EDGE('',*,*,#18027,.F.); +#12398=ORIENTED_EDGE('',*,*,#19416,.T.); +#12399=ORIENTED_EDGE('',*,*,#19417,.T.); +#12400=ORIENTED_EDGE('',*,*,#19413,.F.); +#12401=EDGE_LOOP('',(#12397,#12398,#12399,#12400)); +#12402=FACE_OUTER_BOUND('',#12401,.F.); +#12403=ADVANCED_FACE('',(#12402),#12396,.T.); +#12404=AXIS2_PLACEMENT_3D('',#3306,#76,#80); +#12405=PLANE('',#12404); +#12406=ORIENTED_EDGE('',*,*,#18026,.F.); +#12407=ORIENTED_EDGE('',*,*,#18245,.T.); +#12408=ORIENTED_EDGE('',*,*,#19418,.T.); +#12409=ORIENTED_EDGE('',*,*,#19419,.T.); +#12410=ORIENTED_EDGE('',*,*,#19416,.F.); +#12411=EDGE_LOOP('',(#12406,#12407,#12408,#12409,#12410)); +#12412=FACE_OUTER_BOUND('',#12411,.F.); +#12413=ADVANCED_FACE('',(#12412),#12405,.T.); +#12414=AXIS2_PLACEMENT_3D('',#1578,#72,#76); +#12415=PLANE('',#12414); +#12416=ORIENTED_EDGE('',*,*,#18244,.F.); +#12417=ORIENTED_EDGE('',*,*,#19415,.T.); +#12418=ORIENTED_EDGE('',*,*,#19420,.T.); +#12419=ORIENTED_EDGE('',*,*,#19418,.F.); +#12420=EDGE_LOOP('',(#12416,#12417,#12418,#12419)); +#12421=FACE_OUTER_BOUND('',#12420,.F.); +#12422=ADVANCED_FACE('',(#12421),#12415,.T.); +#12423=ORIENTED_EDGE('',*,*,#19414,.F.); +#12424=ORIENTED_EDGE('',*,*,#19417,.F.); +#12425=ORIENTED_EDGE('',*,*,#19419,.F.); +#12426=ORIENTED_EDGE('',*,*,#19420,.F.); +#12427=EDGE_LOOP('',(#12423,#12424,#12425,#12426)); +#12428=FACE_OUTER_BOUND('',#12427,.F.); +#12429=ADVANCED_FACE('',(#12428),#7485,.T.); +#12430=CARTESIAN_POINT('',(-3.9925E1,3.81E0,-1.9E-1)); +#12431=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#12432=AXIS2_PLACEMENT_3D('',#12430,#12431,#72); +#12433=PLANE('',#12432); +#12434=ORIENTED_EDGE('',*,*,#17596,.F.); +#12435=ORIENTED_EDGE('',*,*,#19421,.F.); +#12436=ORIENTED_EDGE('',*,*,#19422,.T.); +#12437=ORIENTED_EDGE('',*,*,#19423,.T.); +#12438=EDGE_LOOP('',(#12434,#12435,#12436,#12437)); +#12439=FACE_OUTER_BOUND('',#12438,.F.); +#12440=ADVANCED_FACE('',(#12439),#12433,.F.); +#12441=CARTESIAN_POINT('',(-3.937E1,4.3625E0,-1.9E-1)); +#12442=DIRECTION('',(0.E0,7.024701641225E-1,-7.117131925978E-1)); +#12443=AXIS2_PLACEMENT_3D('',#12441,#12442,#76); +#12444=PLANE('',#12443); +#12445=ORIENTED_EDGE('',*,*,#17599,.F.); +#12446=ORIENTED_EDGE('',*,*,#19424,.F.); +#12447=ORIENTED_EDGE('',*,*,#19425,.T.); +#12448=ORIENTED_EDGE('',*,*,#19421,.T.); +#12449=EDGE_LOOP('',(#12445,#12446,#12447,#12448)); +#12450=FACE_OUTER_BOUND('',#12449,.F.); +#12451=ADVANCED_FACE('',(#12450),#12444,.F.); +#12452=CARTESIAN_POINT('',(-3.8815E1,3.81E0,-1.9E-1)); +#12453=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#12454=AXIS2_PLACEMENT_3D('',#12452,#12453,#80); +#12455=PLANE('',#12454); +#12456=ORIENTED_EDGE('',*,*,#17598,.F.); +#12457=ORIENTED_EDGE('',*,*,#19426,.F.); +#12458=ORIENTED_EDGE('',*,*,#19427,.T.); +#12459=ORIENTED_EDGE('',*,*,#19424,.T.); +#12460=EDGE_LOOP('',(#12456,#12457,#12458,#12459)); +#12461=FACE_OUTER_BOUND('',#12460,.F.); +#12462=ADVANCED_FACE('',(#12461),#12455,.F.); +#12463=CARTESIAN_POINT('',(-3.937E1,3.2575E0,-1.9E-1)); +#12464=DIRECTION('',(0.E0,-7.024701641225E-1,-7.117131925978E-1)); +#12465=AXIS2_PLACEMENT_3D('',#12463,#12464,#84); +#12466=PLANE('',#12465); +#12467=ORIENTED_EDGE('',*,*,#17597,.F.); +#12468=ORIENTED_EDGE('',*,*,#19423,.F.); +#12469=ORIENTED_EDGE('',*,*,#19428,.T.); +#12470=ORIENTED_EDGE('',*,*,#19426,.T.); +#12471=EDGE_LOOP('',(#12467,#12468,#12469,#12470)); +#12472=FACE_OUTER_BOUND('',#12471,.F.); +#12473=ADVANCED_FACE('',(#12472),#12466,.F.); +#12474=CARTESIAN_POINT('',(0.E0,0.E0,-3.8E-1)); +#12475=AXIS2_PLACEMENT_3D('',#12474,#2100,#84); +#12476=PLANE('',#12475); +#12477=ORIENTED_EDGE('',*,*,#19422,.F.); +#12478=ORIENTED_EDGE('',*,*,#19425,.F.); +#12479=ORIENTED_EDGE('',*,*,#19427,.F.); +#12480=ORIENTED_EDGE('',*,*,#19428,.F.); +#12481=EDGE_LOOP('',(#12477,#12478,#12479,#12480)); +#12482=FACE_OUTER_BOUND('',#12481,.F.); +#12483=ADVANCED_FACE('',(#12482),#12476,.T.); +#12484=CARTESIAN_POINT('',(-3.7385E1,3.81E0,-1.9E-1)); +#12485=AXIS2_PLACEMENT_3D('',#12484,#12431,#72); +#12486=PLANE('',#12485); +#12487=ORIENTED_EDGE('',*,*,#17600,.F.); +#12488=ORIENTED_EDGE('',*,*,#19429,.F.); +#12489=ORIENTED_EDGE('',*,*,#19430,.T.); +#12490=ORIENTED_EDGE('',*,*,#19431,.T.); +#12491=EDGE_LOOP('',(#12487,#12488,#12489,#12490)); +#12492=FACE_OUTER_BOUND('',#12491,.F.); +#12493=ADVANCED_FACE('',(#12492),#12486,.F.); +#12494=CARTESIAN_POINT('',(-3.683E1,4.3625E0,-1.9E-1)); +#12495=AXIS2_PLACEMENT_3D('',#12494,#12442,#76); +#12496=PLANE('',#12495); +#12497=ORIENTED_EDGE('',*,*,#17603,.F.); +#12498=ORIENTED_EDGE('',*,*,#19432,.F.); +#12499=ORIENTED_EDGE('',*,*,#19433,.T.); +#12500=ORIENTED_EDGE('',*,*,#19429,.T.); +#12501=EDGE_LOOP('',(#12497,#12498,#12499,#12500)); +#12502=FACE_OUTER_BOUND('',#12501,.F.); +#12503=ADVANCED_FACE('',(#12502),#12496,.F.); +#12504=CARTESIAN_POINT('',(-3.6275E1,3.81E0,-1.9E-1)); +#12505=AXIS2_PLACEMENT_3D('',#12504,#12453,#80); +#12506=PLANE('',#12505); +#12507=ORIENTED_EDGE('',*,*,#17602,.F.); +#12508=ORIENTED_EDGE('',*,*,#19434,.F.); +#12509=ORIENTED_EDGE('',*,*,#19435,.T.); +#12510=ORIENTED_EDGE('',*,*,#19432,.T.); +#12511=EDGE_LOOP('',(#12507,#12508,#12509,#12510)); +#12512=FACE_OUTER_BOUND('',#12511,.F.); +#12513=ADVANCED_FACE('',(#12512),#12506,.F.); +#12514=CARTESIAN_POINT('',(-3.683E1,3.2575E0,-1.9E-1)); +#12515=AXIS2_PLACEMENT_3D('',#12514,#12464,#84); +#12516=PLANE('',#12515); +#12517=ORIENTED_EDGE('',*,*,#17601,.F.); +#12518=ORIENTED_EDGE('',*,*,#19431,.F.); +#12519=ORIENTED_EDGE('',*,*,#19436,.T.); +#12520=ORIENTED_EDGE('',*,*,#19434,.T.); +#12521=EDGE_LOOP('',(#12517,#12518,#12519,#12520)); +#12522=FACE_OUTER_BOUND('',#12521,.F.); +#12523=ADVANCED_FACE('',(#12522),#12516,.F.); +#12524=CARTESIAN_POINT('',(2.54E0,0.E0,-3.8E-1)); +#12525=AXIS2_PLACEMENT_3D('',#12524,#2100,#84); +#12526=PLANE('',#12525); +#12527=ORIENTED_EDGE('',*,*,#19430,.F.); +#12528=ORIENTED_EDGE('',*,*,#19433,.F.); +#12529=ORIENTED_EDGE('',*,*,#19435,.F.); +#12530=ORIENTED_EDGE('',*,*,#19436,.F.); +#12531=EDGE_LOOP('',(#12527,#12528,#12529,#12530)); +#12532=FACE_OUTER_BOUND('',#12531,.F.); +#12533=ADVANCED_FACE('',(#12532),#12526,.T.); +#12534=CARTESIAN_POINT('',(-3.4845E1,3.81E0,-1.9E-1)); +#12535=AXIS2_PLACEMENT_3D('',#12534,#12431,#72); +#12536=PLANE('',#12535); +#12537=ORIENTED_EDGE('',*,*,#17604,.F.); +#12538=ORIENTED_EDGE('',*,*,#19437,.F.); +#12539=ORIENTED_EDGE('',*,*,#19438,.T.); +#12540=ORIENTED_EDGE('',*,*,#19439,.T.); +#12541=EDGE_LOOP('',(#12537,#12538,#12539,#12540)); +#12542=FACE_OUTER_BOUND('',#12541,.F.); +#12543=ADVANCED_FACE('',(#12542),#12536,.F.); +#12544=CARTESIAN_POINT('',(-3.429E1,4.3625E0,-1.9E-1)); +#12545=AXIS2_PLACEMENT_3D('',#12544,#12442,#76); +#12546=PLANE('',#12545); +#12547=ORIENTED_EDGE('',*,*,#17607,.F.); +#12548=ORIENTED_EDGE('',*,*,#19440,.F.); +#12549=ORIENTED_EDGE('',*,*,#19441,.T.); +#12550=ORIENTED_EDGE('',*,*,#19437,.T.); +#12551=EDGE_LOOP('',(#12547,#12548,#12549,#12550)); +#12552=FACE_OUTER_BOUND('',#12551,.F.); +#12553=ADVANCED_FACE('',(#12552),#12546,.F.); +#12554=CARTESIAN_POINT('',(-3.3735E1,3.81E0,-1.9E-1)); +#12555=AXIS2_PLACEMENT_3D('',#12554,#12453,#80); +#12556=PLANE('',#12555); +#12557=ORIENTED_EDGE('',*,*,#17606,.F.); +#12558=ORIENTED_EDGE('',*,*,#19442,.F.); +#12559=ORIENTED_EDGE('',*,*,#19443,.T.); +#12560=ORIENTED_EDGE('',*,*,#19440,.T.); +#12561=EDGE_LOOP('',(#12557,#12558,#12559,#12560)); +#12562=FACE_OUTER_BOUND('',#12561,.F.); +#12563=ADVANCED_FACE('',(#12562),#12556,.F.); +#12564=CARTESIAN_POINT('',(-3.429E1,3.2575E0,-1.9E-1)); +#12565=AXIS2_PLACEMENT_3D('',#12564,#12464,#84); +#12566=PLANE('',#12565); +#12567=ORIENTED_EDGE('',*,*,#17605,.F.); +#12568=ORIENTED_EDGE('',*,*,#19439,.F.); +#12569=ORIENTED_EDGE('',*,*,#19444,.T.); +#12570=ORIENTED_EDGE('',*,*,#19442,.T.); +#12571=EDGE_LOOP('',(#12567,#12568,#12569,#12570)); +#12572=FACE_OUTER_BOUND('',#12571,.F.); +#12573=ADVANCED_FACE('',(#12572),#12566,.F.); +#12574=CARTESIAN_POINT('',(5.08E0,0.E0,-3.8E-1)); +#12575=AXIS2_PLACEMENT_3D('',#12574,#2100,#84); +#12576=PLANE('',#12575); +#12577=ORIENTED_EDGE('',*,*,#19438,.F.); +#12578=ORIENTED_EDGE('',*,*,#19441,.F.); +#12579=ORIENTED_EDGE('',*,*,#19443,.F.); +#12580=ORIENTED_EDGE('',*,*,#19444,.F.); +#12581=EDGE_LOOP('',(#12577,#12578,#12579,#12580)); +#12582=FACE_OUTER_BOUND('',#12581,.F.); +#12583=ADVANCED_FACE('',(#12582),#12576,.T.); +#12584=CARTESIAN_POINT('',(-3.2305E1,3.81E0,-1.9E-1)); +#12585=AXIS2_PLACEMENT_3D('',#12584,#12431,#72); +#12586=PLANE('',#12585); +#12587=ORIENTED_EDGE('',*,*,#17608,.F.); +#12588=ORIENTED_EDGE('',*,*,#19445,.F.); +#12589=ORIENTED_EDGE('',*,*,#19446,.T.); +#12590=ORIENTED_EDGE('',*,*,#19447,.T.); +#12591=EDGE_LOOP('',(#12587,#12588,#12589,#12590)); +#12592=FACE_OUTER_BOUND('',#12591,.F.); +#12593=ADVANCED_FACE('',(#12592),#12586,.F.); +#12594=CARTESIAN_POINT('',(-3.175E1,4.3625E0,-1.9E-1)); +#12595=AXIS2_PLACEMENT_3D('',#12594,#12442,#76); +#12596=PLANE('',#12595); +#12597=ORIENTED_EDGE('',*,*,#17611,.F.); +#12598=ORIENTED_EDGE('',*,*,#19448,.F.); +#12599=ORIENTED_EDGE('',*,*,#19449,.T.); +#12600=ORIENTED_EDGE('',*,*,#19445,.T.); +#12601=EDGE_LOOP('',(#12597,#12598,#12599,#12600)); +#12602=FACE_OUTER_BOUND('',#12601,.F.); +#12603=ADVANCED_FACE('',(#12602),#12596,.F.); +#12604=CARTESIAN_POINT('',(-3.1195E1,3.81E0,-1.9E-1)); +#12605=AXIS2_PLACEMENT_3D('',#12604,#12453,#80); +#12606=PLANE('',#12605); +#12607=ORIENTED_EDGE('',*,*,#17610,.F.); +#12608=ORIENTED_EDGE('',*,*,#19450,.F.); +#12609=ORIENTED_EDGE('',*,*,#19451,.T.); +#12610=ORIENTED_EDGE('',*,*,#19448,.T.); +#12611=EDGE_LOOP('',(#12607,#12608,#12609,#12610)); +#12612=FACE_OUTER_BOUND('',#12611,.F.); +#12613=ADVANCED_FACE('',(#12612),#12606,.F.); +#12614=CARTESIAN_POINT('',(-3.175E1,3.2575E0,-1.9E-1)); +#12615=AXIS2_PLACEMENT_3D('',#12614,#12464,#84); +#12616=PLANE('',#12615); +#12617=ORIENTED_EDGE('',*,*,#17609,.F.); +#12618=ORIENTED_EDGE('',*,*,#19447,.F.); +#12619=ORIENTED_EDGE('',*,*,#19452,.T.); +#12620=ORIENTED_EDGE('',*,*,#19450,.T.); +#12621=EDGE_LOOP('',(#12617,#12618,#12619,#12620)); +#12622=FACE_OUTER_BOUND('',#12621,.F.); +#12623=ADVANCED_FACE('',(#12622),#12616,.F.); +#12624=CARTESIAN_POINT('',(7.62E0,0.E0,-3.8E-1)); +#12625=AXIS2_PLACEMENT_3D('',#12624,#2100,#84); +#12626=PLANE('',#12625); +#12627=ORIENTED_EDGE('',*,*,#19446,.F.); +#12628=ORIENTED_EDGE('',*,*,#19449,.F.); +#12629=ORIENTED_EDGE('',*,*,#19451,.F.); +#12630=ORIENTED_EDGE('',*,*,#19452,.F.); +#12631=EDGE_LOOP('',(#12627,#12628,#12629,#12630)); +#12632=FACE_OUTER_BOUND('',#12631,.F.); +#12633=ADVANCED_FACE('',(#12632),#12626,.T.); +#12634=CARTESIAN_POINT('',(-2.9765E1,3.81E0,-1.9E-1)); +#12635=AXIS2_PLACEMENT_3D('',#12634,#12431,#72); +#12636=PLANE('',#12635); +#12637=ORIENTED_EDGE('',*,*,#17612,.F.); +#12638=ORIENTED_EDGE('',*,*,#19453,.F.); +#12639=ORIENTED_EDGE('',*,*,#19454,.T.); +#12640=ORIENTED_EDGE('',*,*,#19455,.T.); +#12641=EDGE_LOOP('',(#12637,#12638,#12639,#12640)); +#12642=FACE_OUTER_BOUND('',#12641,.F.); +#12643=ADVANCED_FACE('',(#12642),#12636,.F.); +#12644=CARTESIAN_POINT('',(-2.921E1,4.3625E0,-1.9E-1)); +#12645=AXIS2_PLACEMENT_3D('',#12644,#12442,#76); +#12646=PLANE('',#12645); +#12647=ORIENTED_EDGE('',*,*,#17615,.F.); +#12648=ORIENTED_EDGE('',*,*,#19456,.F.); +#12649=ORIENTED_EDGE('',*,*,#19457,.T.); +#12650=ORIENTED_EDGE('',*,*,#19453,.T.); +#12651=EDGE_LOOP('',(#12647,#12648,#12649,#12650)); +#12652=FACE_OUTER_BOUND('',#12651,.F.); +#12653=ADVANCED_FACE('',(#12652),#12646,.F.); +#12654=CARTESIAN_POINT('',(-2.8655E1,3.81E0,-1.9E-1)); +#12655=AXIS2_PLACEMENT_3D('',#12654,#12453,#80); +#12656=PLANE('',#12655); +#12657=ORIENTED_EDGE('',*,*,#17614,.F.); +#12658=ORIENTED_EDGE('',*,*,#19458,.F.); +#12659=ORIENTED_EDGE('',*,*,#19459,.T.); +#12660=ORIENTED_EDGE('',*,*,#19456,.T.); +#12661=EDGE_LOOP('',(#12657,#12658,#12659,#12660)); +#12662=FACE_OUTER_BOUND('',#12661,.F.); +#12663=ADVANCED_FACE('',(#12662),#12656,.F.); +#12664=CARTESIAN_POINT('',(-2.921E1,3.2575E0,-1.9E-1)); +#12665=AXIS2_PLACEMENT_3D('',#12664,#12464,#84); +#12666=PLANE('',#12665); +#12667=ORIENTED_EDGE('',*,*,#17613,.F.); +#12668=ORIENTED_EDGE('',*,*,#19455,.F.); +#12669=ORIENTED_EDGE('',*,*,#19460,.T.); +#12670=ORIENTED_EDGE('',*,*,#19458,.T.); +#12671=EDGE_LOOP('',(#12667,#12668,#12669,#12670)); +#12672=FACE_OUTER_BOUND('',#12671,.F.); +#12673=ADVANCED_FACE('',(#12672),#12666,.F.); +#12674=CARTESIAN_POINT('',(1.016E1,0.E0,-3.8E-1)); +#12675=AXIS2_PLACEMENT_3D('',#12674,#2100,#84); +#12676=PLANE('',#12675); +#12677=ORIENTED_EDGE('',*,*,#19454,.F.); +#12678=ORIENTED_EDGE('',*,*,#19457,.F.); +#12679=ORIENTED_EDGE('',*,*,#19459,.F.); +#12680=ORIENTED_EDGE('',*,*,#19460,.F.); +#12681=EDGE_LOOP('',(#12677,#12678,#12679,#12680)); +#12682=FACE_OUTER_BOUND('',#12681,.F.); +#12683=ADVANCED_FACE('',(#12682),#12676,.T.); +#12684=CARTESIAN_POINT('',(-2.7225E1,3.81E0,-1.9E-1)); +#12685=AXIS2_PLACEMENT_3D('',#12684,#12431,#72); +#12686=PLANE('',#12685); +#12687=ORIENTED_EDGE('',*,*,#17616,.F.); +#12688=ORIENTED_EDGE('',*,*,#19461,.F.); +#12689=ORIENTED_EDGE('',*,*,#19462,.T.); +#12690=ORIENTED_EDGE('',*,*,#19463,.T.); +#12691=EDGE_LOOP('',(#12687,#12688,#12689,#12690)); +#12692=FACE_OUTER_BOUND('',#12691,.F.); +#12693=ADVANCED_FACE('',(#12692),#12686,.F.); +#12694=CARTESIAN_POINT('',(-2.667E1,4.3625E0,-1.9E-1)); +#12695=AXIS2_PLACEMENT_3D('',#12694,#12442,#76); +#12696=PLANE('',#12695); +#12697=ORIENTED_EDGE('',*,*,#17619,.F.); +#12698=ORIENTED_EDGE('',*,*,#19464,.F.); +#12699=ORIENTED_EDGE('',*,*,#19465,.T.); +#12700=ORIENTED_EDGE('',*,*,#19461,.T.); +#12701=EDGE_LOOP('',(#12697,#12698,#12699,#12700)); +#12702=FACE_OUTER_BOUND('',#12701,.F.); +#12703=ADVANCED_FACE('',(#12702),#12696,.F.); +#12704=CARTESIAN_POINT('',(-2.6115E1,3.81E0,-1.9E-1)); +#12705=AXIS2_PLACEMENT_3D('',#12704,#12453,#80); +#12706=PLANE('',#12705); +#12707=ORIENTED_EDGE('',*,*,#17618,.F.); +#12708=ORIENTED_EDGE('',*,*,#19466,.F.); +#12709=ORIENTED_EDGE('',*,*,#19467,.T.); +#12710=ORIENTED_EDGE('',*,*,#19464,.T.); +#12711=EDGE_LOOP('',(#12707,#12708,#12709,#12710)); +#12712=FACE_OUTER_BOUND('',#12711,.F.); +#12713=ADVANCED_FACE('',(#12712),#12706,.F.); +#12714=CARTESIAN_POINT('',(-2.667E1,3.2575E0,-1.9E-1)); +#12715=AXIS2_PLACEMENT_3D('',#12714,#12464,#84); +#12716=PLANE('',#12715); +#12717=ORIENTED_EDGE('',*,*,#17617,.F.); +#12718=ORIENTED_EDGE('',*,*,#19463,.F.); +#12719=ORIENTED_EDGE('',*,*,#19468,.T.); +#12720=ORIENTED_EDGE('',*,*,#19466,.T.); +#12721=EDGE_LOOP('',(#12717,#12718,#12719,#12720)); +#12722=FACE_OUTER_BOUND('',#12721,.F.); +#12723=ADVANCED_FACE('',(#12722),#12716,.F.); +#12724=CARTESIAN_POINT('',(1.27E1,0.E0,-3.8E-1)); +#12725=AXIS2_PLACEMENT_3D('',#12724,#2100,#84); +#12726=PLANE('',#12725); +#12727=ORIENTED_EDGE('',*,*,#19462,.F.); +#12728=ORIENTED_EDGE('',*,*,#19465,.F.); +#12729=ORIENTED_EDGE('',*,*,#19467,.F.); +#12730=ORIENTED_EDGE('',*,*,#19468,.F.); +#12731=EDGE_LOOP('',(#12727,#12728,#12729,#12730)); +#12732=FACE_OUTER_BOUND('',#12731,.F.); +#12733=ADVANCED_FACE('',(#12732),#12726,.T.); +#12734=CARTESIAN_POINT('',(-2.4685E1,3.81E0,-1.9E-1)); +#12735=AXIS2_PLACEMENT_3D('',#12734,#12431,#72); +#12736=PLANE('',#12735); +#12737=ORIENTED_EDGE('',*,*,#17620,.F.); +#12738=ORIENTED_EDGE('',*,*,#19469,.F.); +#12739=ORIENTED_EDGE('',*,*,#19470,.T.); +#12740=ORIENTED_EDGE('',*,*,#19471,.T.); +#12741=EDGE_LOOP('',(#12737,#12738,#12739,#12740)); +#12742=FACE_OUTER_BOUND('',#12741,.F.); +#12743=ADVANCED_FACE('',(#12742),#12736,.F.); +#12744=CARTESIAN_POINT('',(-2.413E1,4.3625E0,-1.9E-1)); +#12745=AXIS2_PLACEMENT_3D('',#12744,#12442,#76); +#12746=PLANE('',#12745); +#12747=ORIENTED_EDGE('',*,*,#17623,.F.); +#12748=ORIENTED_EDGE('',*,*,#19472,.F.); +#12749=ORIENTED_EDGE('',*,*,#19473,.T.); +#12750=ORIENTED_EDGE('',*,*,#19469,.T.); +#12751=EDGE_LOOP('',(#12747,#12748,#12749,#12750)); +#12752=FACE_OUTER_BOUND('',#12751,.F.); +#12753=ADVANCED_FACE('',(#12752),#12746,.F.); +#12754=CARTESIAN_POINT('',(-2.3575E1,3.81E0,-1.9E-1)); +#12755=AXIS2_PLACEMENT_3D('',#12754,#12453,#80); +#12756=PLANE('',#12755); +#12757=ORIENTED_EDGE('',*,*,#17622,.F.); +#12758=ORIENTED_EDGE('',*,*,#19474,.F.); +#12759=ORIENTED_EDGE('',*,*,#19475,.T.); +#12760=ORIENTED_EDGE('',*,*,#19472,.T.); +#12761=EDGE_LOOP('',(#12757,#12758,#12759,#12760)); +#12762=FACE_OUTER_BOUND('',#12761,.F.); +#12763=ADVANCED_FACE('',(#12762),#12756,.F.); +#12764=CARTESIAN_POINT('',(-2.413E1,3.2575E0,-1.9E-1)); +#12765=AXIS2_PLACEMENT_3D('',#12764,#12464,#84); +#12766=PLANE('',#12765); +#12767=ORIENTED_EDGE('',*,*,#17621,.F.); +#12768=ORIENTED_EDGE('',*,*,#19471,.F.); +#12769=ORIENTED_EDGE('',*,*,#19476,.T.); +#12770=ORIENTED_EDGE('',*,*,#19474,.T.); +#12771=EDGE_LOOP('',(#12767,#12768,#12769,#12770)); +#12772=FACE_OUTER_BOUND('',#12771,.F.); +#12773=ADVANCED_FACE('',(#12772),#12766,.F.); +#12774=CARTESIAN_POINT('',(1.524E1,0.E0,-3.8E-1)); +#12775=AXIS2_PLACEMENT_3D('',#12774,#2100,#84); +#12776=PLANE('',#12775); +#12777=ORIENTED_EDGE('',*,*,#19470,.F.); +#12778=ORIENTED_EDGE('',*,*,#19473,.F.); +#12779=ORIENTED_EDGE('',*,*,#19475,.F.); +#12780=ORIENTED_EDGE('',*,*,#19476,.F.); +#12781=EDGE_LOOP('',(#12777,#12778,#12779,#12780)); +#12782=FACE_OUTER_BOUND('',#12781,.F.); +#12783=ADVANCED_FACE('',(#12782),#12776,.T.); +#12784=CARTESIAN_POINT('',(-2.2145E1,3.81E0,-1.9E-1)); +#12785=AXIS2_PLACEMENT_3D('',#12784,#12431,#72); +#12786=PLANE('',#12785); +#12787=ORIENTED_EDGE('',*,*,#17624,.F.); +#12788=ORIENTED_EDGE('',*,*,#19477,.F.); +#12789=ORIENTED_EDGE('',*,*,#19478,.T.); +#12790=ORIENTED_EDGE('',*,*,#19479,.T.); +#12791=EDGE_LOOP('',(#12787,#12788,#12789,#12790)); +#12792=FACE_OUTER_BOUND('',#12791,.F.); +#12793=ADVANCED_FACE('',(#12792),#12786,.F.); +#12794=CARTESIAN_POINT('',(-2.159E1,4.3625E0,-1.9E-1)); +#12795=AXIS2_PLACEMENT_3D('',#12794,#12442,#76); +#12796=PLANE('',#12795); +#12797=ORIENTED_EDGE('',*,*,#17627,.F.); +#12798=ORIENTED_EDGE('',*,*,#19480,.F.); +#12799=ORIENTED_EDGE('',*,*,#19481,.T.); +#12800=ORIENTED_EDGE('',*,*,#19477,.T.); +#12801=EDGE_LOOP('',(#12797,#12798,#12799,#12800)); +#12802=FACE_OUTER_BOUND('',#12801,.F.); +#12803=ADVANCED_FACE('',(#12802),#12796,.F.); +#12804=CARTESIAN_POINT('',(-2.1035E1,3.81E0,-1.9E-1)); +#12805=AXIS2_PLACEMENT_3D('',#12804,#12453,#80); +#12806=PLANE('',#12805); +#12807=ORIENTED_EDGE('',*,*,#17626,.F.); +#12808=ORIENTED_EDGE('',*,*,#19482,.F.); +#12809=ORIENTED_EDGE('',*,*,#19483,.T.); +#12810=ORIENTED_EDGE('',*,*,#19480,.T.); +#12811=EDGE_LOOP('',(#12807,#12808,#12809,#12810)); +#12812=FACE_OUTER_BOUND('',#12811,.F.); +#12813=ADVANCED_FACE('',(#12812),#12806,.F.); +#12814=CARTESIAN_POINT('',(-2.159E1,3.2575E0,-1.9E-1)); +#12815=AXIS2_PLACEMENT_3D('',#12814,#12464,#84); +#12816=PLANE('',#12815); +#12817=ORIENTED_EDGE('',*,*,#17625,.F.); +#12818=ORIENTED_EDGE('',*,*,#19479,.F.); +#12819=ORIENTED_EDGE('',*,*,#19484,.T.); +#12820=ORIENTED_EDGE('',*,*,#19482,.T.); +#12821=EDGE_LOOP('',(#12817,#12818,#12819,#12820)); +#12822=FACE_OUTER_BOUND('',#12821,.F.); +#12823=ADVANCED_FACE('',(#12822),#12816,.F.); +#12824=CARTESIAN_POINT('',(1.778E1,0.E0,-3.8E-1)); +#12825=AXIS2_PLACEMENT_3D('',#12824,#2100,#84); +#12826=PLANE('',#12825); +#12827=ORIENTED_EDGE('',*,*,#19478,.F.); +#12828=ORIENTED_EDGE('',*,*,#19481,.F.); +#12829=ORIENTED_EDGE('',*,*,#19483,.F.); +#12830=ORIENTED_EDGE('',*,*,#19484,.F.); +#12831=EDGE_LOOP('',(#12827,#12828,#12829,#12830)); +#12832=FACE_OUTER_BOUND('',#12831,.F.); +#12833=ADVANCED_FACE('',(#12832),#12826,.T.); +#12834=CARTESIAN_POINT('',(-1.9605E1,3.81E0,-1.9E-1)); +#12835=AXIS2_PLACEMENT_3D('',#12834,#12431,#72); +#12836=PLANE('',#12835); +#12837=ORIENTED_EDGE('',*,*,#17628,.F.); +#12838=ORIENTED_EDGE('',*,*,#19485,.F.); +#12839=ORIENTED_EDGE('',*,*,#19486,.T.); +#12840=ORIENTED_EDGE('',*,*,#19487,.T.); +#12841=EDGE_LOOP('',(#12837,#12838,#12839,#12840)); +#12842=FACE_OUTER_BOUND('',#12841,.F.); +#12843=ADVANCED_FACE('',(#12842),#12836,.F.); +#12844=CARTESIAN_POINT('',(-1.905E1,4.3625E0,-1.9E-1)); +#12845=AXIS2_PLACEMENT_3D('',#12844,#12442,#76); +#12846=PLANE('',#12845); +#12847=ORIENTED_EDGE('',*,*,#17631,.F.); +#12848=ORIENTED_EDGE('',*,*,#19488,.F.); +#12849=ORIENTED_EDGE('',*,*,#19489,.T.); +#12850=ORIENTED_EDGE('',*,*,#19485,.T.); +#12851=EDGE_LOOP('',(#12847,#12848,#12849,#12850)); +#12852=FACE_OUTER_BOUND('',#12851,.F.); +#12853=ADVANCED_FACE('',(#12852),#12846,.F.); +#12854=CARTESIAN_POINT('',(-1.8495E1,3.81E0,-1.9E-1)); +#12855=AXIS2_PLACEMENT_3D('',#12854,#12453,#80); +#12856=PLANE('',#12855); +#12857=ORIENTED_EDGE('',*,*,#17630,.F.); +#12858=ORIENTED_EDGE('',*,*,#19490,.F.); +#12859=ORIENTED_EDGE('',*,*,#19491,.T.); +#12860=ORIENTED_EDGE('',*,*,#19488,.T.); +#12861=EDGE_LOOP('',(#12857,#12858,#12859,#12860)); +#12862=FACE_OUTER_BOUND('',#12861,.F.); +#12863=ADVANCED_FACE('',(#12862),#12856,.F.); +#12864=CARTESIAN_POINT('',(-1.905E1,3.2575E0,-1.9E-1)); +#12865=AXIS2_PLACEMENT_3D('',#12864,#12464,#84); +#12866=PLANE('',#12865); +#12867=ORIENTED_EDGE('',*,*,#17629,.F.); +#12868=ORIENTED_EDGE('',*,*,#19487,.F.); +#12869=ORIENTED_EDGE('',*,*,#19492,.T.); +#12870=ORIENTED_EDGE('',*,*,#19490,.T.); +#12871=EDGE_LOOP('',(#12867,#12868,#12869,#12870)); +#12872=FACE_OUTER_BOUND('',#12871,.F.); +#12873=ADVANCED_FACE('',(#12872),#12866,.F.); +#12874=CARTESIAN_POINT('',(2.032E1,0.E0,-3.8E-1)); +#12875=AXIS2_PLACEMENT_3D('',#12874,#2100,#84); +#12876=PLANE('',#12875); +#12877=ORIENTED_EDGE('',*,*,#19486,.F.); +#12878=ORIENTED_EDGE('',*,*,#19489,.F.); +#12879=ORIENTED_EDGE('',*,*,#19491,.F.); +#12880=ORIENTED_EDGE('',*,*,#19492,.F.); +#12881=EDGE_LOOP('',(#12877,#12878,#12879,#12880)); +#12882=FACE_OUTER_BOUND('',#12881,.F.); +#12883=ADVANCED_FACE('',(#12882),#12876,.T.); +#12884=CARTESIAN_POINT('',(-1.4525E1,3.81E0,-1.9E-1)); +#12885=AXIS2_PLACEMENT_3D('',#12884,#12431,#72); +#12886=PLANE('',#12885); +#12887=ORIENTED_EDGE('',*,*,#17632,.F.); +#12888=ORIENTED_EDGE('',*,*,#19493,.F.); +#12889=ORIENTED_EDGE('',*,*,#19494,.T.); +#12890=ORIENTED_EDGE('',*,*,#19495,.T.); +#12891=EDGE_LOOP('',(#12887,#12888,#12889,#12890)); +#12892=FACE_OUTER_BOUND('',#12891,.F.); +#12893=ADVANCED_FACE('',(#12892),#12886,.F.); +#12894=CARTESIAN_POINT('',(-1.397E1,4.3625E0,-1.9E-1)); +#12895=AXIS2_PLACEMENT_3D('',#12894,#12442,#76); +#12896=PLANE('',#12895); +#12897=ORIENTED_EDGE('',*,*,#17635,.F.); +#12898=ORIENTED_EDGE('',*,*,#19496,.F.); +#12899=ORIENTED_EDGE('',*,*,#19497,.T.); +#12900=ORIENTED_EDGE('',*,*,#19493,.T.); +#12901=EDGE_LOOP('',(#12897,#12898,#12899,#12900)); +#12902=FACE_OUTER_BOUND('',#12901,.F.); +#12903=ADVANCED_FACE('',(#12902),#12896,.F.); +#12904=CARTESIAN_POINT('',(-1.3415E1,3.81E0,-1.9E-1)); +#12905=AXIS2_PLACEMENT_3D('',#12904,#12453,#80); +#12906=PLANE('',#12905); +#12907=ORIENTED_EDGE('',*,*,#17634,.F.); +#12908=ORIENTED_EDGE('',*,*,#19498,.F.); +#12909=ORIENTED_EDGE('',*,*,#19499,.T.); +#12910=ORIENTED_EDGE('',*,*,#19496,.T.); +#12911=EDGE_LOOP('',(#12907,#12908,#12909,#12910)); +#12912=FACE_OUTER_BOUND('',#12911,.F.); +#12913=ADVANCED_FACE('',(#12912),#12906,.F.); +#12914=CARTESIAN_POINT('',(-1.397E1,3.2575E0,-1.9E-1)); +#12915=AXIS2_PLACEMENT_3D('',#12914,#12464,#84); +#12916=PLANE('',#12915); +#12917=ORIENTED_EDGE('',*,*,#17633,.F.); +#12918=ORIENTED_EDGE('',*,*,#19495,.F.); +#12919=ORIENTED_EDGE('',*,*,#19500,.T.); +#12920=ORIENTED_EDGE('',*,*,#19498,.T.); +#12921=EDGE_LOOP('',(#12917,#12918,#12919,#12920)); +#12922=FACE_OUTER_BOUND('',#12921,.F.); +#12923=ADVANCED_FACE('',(#12922),#12916,.F.); +#12924=CARTESIAN_POINT('',(2.54E1,0.E0,-3.8E-1)); +#12925=AXIS2_PLACEMENT_3D('',#12924,#2100,#84); +#12926=PLANE('',#12925); +#12927=ORIENTED_EDGE('',*,*,#19494,.F.); +#12928=ORIENTED_EDGE('',*,*,#19497,.F.); +#12929=ORIENTED_EDGE('',*,*,#19499,.F.); +#12930=ORIENTED_EDGE('',*,*,#19500,.F.); +#12931=EDGE_LOOP('',(#12927,#12928,#12929,#12930)); +#12932=FACE_OUTER_BOUND('',#12931,.F.); +#12933=ADVANCED_FACE('',(#12932),#12926,.T.); +#12934=CARTESIAN_POINT('',(-1.1985E1,3.81E0,-1.9E-1)); +#12935=AXIS2_PLACEMENT_3D('',#12934,#12431,#72); +#12936=PLANE('',#12935); +#12937=ORIENTED_EDGE('',*,*,#17636,.F.); +#12938=ORIENTED_EDGE('',*,*,#19501,.F.); +#12939=ORIENTED_EDGE('',*,*,#19502,.T.); +#12940=ORIENTED_EDGE('',*,*,#19503,.T.); +#12941=EDGE_LOOP('',(#12937,#12938,#12939,#12940)); +#12942=FACE_OUTER_BOUND('',#12941,.F.); +#12943=ADVANCED_FACE('',(#12942),#12936,.F.); +#12944=CARTESIAN_POINT('',(-1.143E1,4.3625E0,-1.9E-1)); +#12945=AXIS2_PLACEMENT_3D('',#12944,#12442,#76); +#12946=PLANE('',#12945); +#12947=ORIENTED_EDGE('',*,*,#17639,.F.); +#12948=ORIENTED_EDGE('',*,*,#19504,.F.); +#12949=ORIENTED_EDGE('',*,*,#19505,.T.); +#12950=ORIENTED_EDGE('',*,*,#19501,.T.); +#12951=EDGE_LOOP('',(#12947,#12948,#12949,#12950)); +#12952=FACE_OUTER_BOUND('',#12951,.F.); +#12953=ADVANCED_FACE('',(#12952),#12946,.F.); +#12954=CARTESIAN_POINT('',(-1.0875E1,3.81E0,-1.9E-1)); +#12955=AXIS2_PLACEMENT_3D('',#12954,#12453,#80); +#12956=PLANE('',#12955); +#12957=ORIENTED_EDGE('',*,*,#17638,.F.); +#12958=ORIENTED_EDGE('',*,*,#19506,.F.); +#12959=ORIENTED_EDGE('',*,*,#19507,.T.); +#12960=ORIENTED_EDGE('',*,*,#19504,.T.); +#12961=EDGE_LOOP('',(#12957,#12958,#12959,#12960)); +#12962=FACE_OUTER_BOUND('',#12961,.F.); +#12963=ADVANCED_FACE('',(#12962),#12956,.F.); +#12964=CARTESIAN_POINT('',(-1.143E1,3.2575E0,-1.9E-1)); +#12965=AXIS2_PLACEMENT_3D('',#12964,#12464,#84); +#12966=PLANE('',#12965); +#12967=ORIENTED_EDGE('',*,*,#17637,.F.); +#12968=ORIENTED_EDGE('',*,*,#19503,.F.); +#12969=ORIENTED_EDGE('',*,*,#19508,.T.); +#12970=ORIENTED_EDGE('',*,*,#19506,.T.); +#12971=EDGE_LOOP('',(#12967,#12968,#12969,#12970)); +#12972=FACE_OUTER_BOUND('',#12971,.F.); +#12973=ADVANCED_FACE('',(#12972),#12966,.F.); +#12974=CARTESIAN_POINT('',(2.794E1,0.E0,-3.8E-1)); +#12975=AXIS2_PLACEMENT_3D('',#12974,#2100,#84); +#12976=PLANE('',#12975); +#12977=ORIENTED_EDGE('',*,*,#19502,.F.); +#12978=ORIENTED_EDGE('',*,*,#19505,.F.); +#12979=ORIENTED_EDGE('',*,*,#19507,.F.); +#12980=ORIENTED_EDGE('',*,*,#19508,.F.); +#12981=EDGE_LOOP('',(#12977,#12978,#12979,#12980)); +#12982=FACE_OUTER_BOUND('',#12981,.F.); +#12983=ADVANCED_FACE('',(#12982),#12976,.T.); +#12984=CARTESIAN_POINT('',(-9.445E0,3.81E0,-1.9E-1)); +#12985=AXIS2_PLACEMENT_3D('',#12984,#12431,#72); +#12986=PLANE('',#12985); +#12987=ORIENTED_EDGE('',*,*,#17640,.F.); +#12988=ORIENTED_EDGE('',*,*,#19509,.F.); +#12989=ORIENTED_EDGE('',*,*,#19510,.T.); +#12990=ORIENTED_EDGE('',*,*,#19511,.T.); +#12991=EDGE_LOOP('',(#12987,#12988,#12989,#12990)); +#12992=FACE_OUTER_BOUND('',#12991,.F.); +#12993=ADVANCED_FACE('',(#12992),#12986,.F.); +#12994=CARTESIAN_POINT('',(-8.89E0,4.3625E0,-1.9E-1)); +#12995=AXIS2_PLACEMENT_3D('',#12994,#12442,#76); +#12996=PLANE('',#12995); +#12997=ORIENTED_EDGE('',*,*,#17643,.F.); +#12998=ORIENTED_EDGE('',*,*,#19512,.F.); +#12999=ORIENTED_EDGE('',*,*,#19513,.T.); +#13000=ORIENTED_EDGE('',*,*,#19509,.T.); +#13001=EDGE_LOOP('',(#12997,#12998,#12999,#13000)); +#13002=FACE_OUTER_BOUND('',#13001,.F.); +#13003=ADVANCED_FACE('',(#13002),#12996,.F.); +#13004=CARTESIAN_POINT('',(-8.335E0,3.81E0,-1.9E-1)); +#13005=AXIS2_PLACEMENT_3D('',#13004,#12453,#80); +#13006=PLANE('',#13005); +#13007=ORIENTED_EDGE('',*,*,#17642,.F.); +#13008=ORIENTED_EDGE('',*,*,#19514,.F.); +#13009=ORIENTED_EDGE('',*,*,#19515,.T.); +#13010=ORIENTED_EDGE('',*,*,#19512,.T.); +#13011=EDGE_LOOP('',(#13007,#13008,#13009,#13010)); +#13012=FACE_OUTER_BOUND('',#13011,.F.); +#13013=ADVANCED_FACE('',(#13012),#13006,.F.); +#13014=CARTESIAN_POINT('',(-8.89E0,3.2575E0,-1.9E-1)); +#13015=AXIS2_PLACEMENT_3D('',#13014,#12464,#84); +#13016=PLANE('',#13015); +#13017=ORIENTED_EDGE('',*,*,#17641,.F.); +#13018=ORIENTED_EDGE('',*,*,#19511,.F.); +#13019=ORIENTED_EDGE('',*,*,#19516,.T.); +#13020=ORIENTED_EDGE('',*,*,#19514,.T.); +#13021=EDGE_LOOP('',(#13017,#13018,#13019,#13020)); +#13022=FACE_OUTER_BOUND('',#13021,.F.); +#13023=ADVANCED_FACE('',(#13022),#13016,.F.); +#13024=CARTESIAN_POINT('',(3.048E1,0.E0,-3.8E-1)); +#13025=AXIS2_PLACEMENT_3D('',#13024,#2100,#84); +#13026=PLANE('',#13025); +#13027=ORIENTED_EDGE('',*,*,#19510,.F.); +#13028=ORIENTED_EDGE('',*,*,#19513,.F.); +#13029=ORIENTED_EDGE('',*,*,#19515,.F.); +#13030=ORIENTED_EDGE('',*,*,#19516,.F.); +#13031=EDGE_LOOP('',(#13027,#13028,#13029,#13030)); +#13032=FACE_OUTER_BOUND('',#13031,.F.); +#13033=ADVANCED_FACE('',(#13032),#13026,.T.); +#13034=CARTESIAN_POINT('',(-6.905E0,3.81E0,-1.9E-1)); +#13035=AXIS2_PLACEMENT_3D('',#13034,#12431,#72); +#13036=PLANE('',#13035); +#13037=ORIENTED_EDGE('',*,*,#17644,.F.); +#13038=ORIENTED_EDGE('',*,*,#19517,.F.); +#13039=ORIENTED_EDGE('',*,*,#19518,.T.); +#13040=ORIENTED_EDGE('',*,*,#19519,.T.); +#13041=EDGE_LOOP('',(#13037,#13038,#13039,#13040)); +#13042=FACE_OUTER_BOUND('',#13041,.F.); +#13043=ADVANCED_FACE('',(#13042),#13036,.F.); +#13044=CARTESIAN_POINT('',(-6.35E0,4.3625E0,-1.9E-1)); +#13045=AXIS2_PLACEMENT_3D('',#13044,#12442,#76); +#13046=PLANE('',#13045); +#13047=ORIENTED_EDGE('',*,*,#17647,.F.); +#13048=ORIENTED_EDGE('',*,*,#19520,.F.); +#13049=ORIENTED_EDGE('',*,*,#19521,.T.); +#13050=ORIENTED_EDGE('',*,*,#19517,.T.); +#13051=EDGE_LOOP('',(#13047,#13048,#13049,#13050)); +#13052=FACE_OUTER_BOUND('',#13051,.F.); +#13053=ADVANCED_FACE('',(#13052),#13046,.F.); +#13054=CARTESIAN_POINT('',(-5.795E0,3.81E0,-1.9E-1)); +#13055=AXIS2_PLACEMENT_3D('',#13054,#12453,#80); +#13056=PLANE('',#13055); +#13057=ORIENTED_EDGE('',*,*,#17646,.F.); +#13058=ORIENTED_EDGE('',*,*,#19522,.F.); +#13059=ORIENTED_EDGE('',*,*,#19523,.T.); +#13060=ORIENTED_EDGE('',*,*,#19520,.T.); +#13061=EDGE_LOOP('',(#13057,#13058,#13059,#13060)); +#13062=FACE_OUTER_BOUND('',#13061,.F.); +#13063=ADVANCED_FACE('',(#13062),#13056,.F.); +#13064=CARTESIAN_POINT('',(-6.35E0,3.2575E0,-1.9E-1)); +#13065=AXIS2_PLACEMENT_3D('',#13064,#12464,#84); +#13066=PLANE('',#13065); +#13067=ORIENTED_EDGE('',*,*,#17645,.F.); +#13068=ORIENTED_EDGE('',*,*,#19519,.F.); +#13069=ORIENTED_EDGE('',*,*,#19524,.T.); +#13070=ORIENTED_EDGE('',*,*,#19522,.T.); +#13071=EDGE_LOOP('',(#13067,#13068,#13069,#13070)); +#13072=FACE_OUTER_BOUND('',#13071,.F.); +#13073=ADVANCED_FACE('',(#13072),#13066,.F.); +#13074=CARTESIAN_POINT('',(3.302E1,0.E0,-3.8E-1)); +#13075=AXIS2_PLACEMENT_3D('',#13074,#2100,#84); +#13076=PLANE('',#13075); +#13077=ORIENTED_EDGE('',*,*,#19518,.F.); +#13078=ORIENTED_EDGE('',*,*,#19521,.F.); +#13079=ORIENTED_EDGE('',*,*,#19523,.F.); +#13080=ORIENTED_EDGE('',*,*,#19524,.F.); +#13081=EDGE_LOOP('',(#13077,#13078,#13079,#13080)); +#13082=FACE_OUTER_BOUND('',#13081,.F.); +#13083=ADVANCED_FACE('',(#13082),#13076,.T.); +#13084=CARTESIAN_POINT('',(-4.365E0,3.81E0,-1.9E-1)); +#13085=AXIS2_PLACEMENT_3D('',#13084,#12431,#72); +#13086=PLANE('',#13085); +#13087=ORIENTED_EDGE('',*,*,#17648,.F.); +#13088=ORIENTED_EDGE('',*,*,#19525,.F.); +#13089=ORIENTED_EDGE('',*,*,#19526,.T.); +#13090=ORIENTED_EDGE('',*,*,#19527,.T.); +#13091=EDGE_LOOP('',(#13087,#13088,#13089,#13090)); +#13092=FACE_OUTER_BOUND('',#13091,.F.); +#13093=ADVANCED_FACE('',(#13092),#13086,.F.); +#13094=CARTESIAN_POINT('',(-3.81E0,4.3625E0,-1.9E-1)); +#13095=AXIS2_PLACEMENT_3D('',#13094,#12442,#76); +#13096=PLANE('',#13095); +#13097=ORIENTED_EDGE('',*,*,#17651,.F.); +#13098=ORIENTED_EDGE('',*,*,#19528,.F.); +#13099=ORIENTED_EDGE('',*,*,#19529,.T.); +#13100=ORIENTED_EDGE('',*,*,#19525,.T.); +#13101=EDGE_LOOP('',(#13097,#13098,#13099,#13100)); +#13102=FACE_OUTER_BOUND('',#13101,.F.); +#13103=ADVANCED_FACE('',(#13102),#13096,.F.); +#13104=CARTESIAN_POINT('',(-3.255E0,3.81E0,-1.9E-1)); +#13105=AXIS2_PLACEMENT_3D('',#13104,#12453,#80); +#13106=PLANE('',#13105); +#13107=ORIENTED_EDGE('',*,*,#17650,.F.); +#13108=ORIENTED_EDGE('',*,*,#19530,.F.); +#13109=ORIENTED_EDGE('',*,*,#19531,.T.); +#13110=ORIENTED_EDGE('',*,*,#19528,.T.); +#13111=EDGE_LOOP('',(#13107,#13108,#13109,#13110)); +#13112=FACE_OUTER_BOUND('',#13111,.F.); +#13113=ADVANCED_FACE('',(#13112),#13106,.F.); +#13114=CARTESIAN_POINT('',(-3.81E0,3.2575E0,-1.9E-1)); +#13115=AXIS2_PLACEMENT_3D('',#13114,#12464,#84); +#13116=PLANE('',#13115); +#13117=ORIENTED_EDGE('',*,*,#17649,.F.); +#13118=ORIENTED_EDGE('',*,*,#19527,.F.); +#13119=ORIENTED_EDGE('',*,*,#19532,.T.); +#13120=ORIENTED_EDGE('',*,*,#19530,.T.); +#13121=EDGE_LOOP('',(#13117,#13118,#13119,#13120)); +#13122=FACE_OUTER_BOUND('',#13121,.F.); +#13123=ADVANCED_FACE('',(#13122),#13116,.F.); +#13124=CARTESIAN_POINT('',(3.556E1,0.E0,-3.8E-1)); +#13125=AXIS2_PLACEMENT_3D('',#13124,#2100,#84); +#13126=PLANE('',#13125); +#13127=ORIENTED_EDGE('',*,*,#19526,.F.); +#13128=ORIENTED_EDGE('',*,*,#19529,.F.); +#13129=ORIENTED_EDGE('',*,*,#19531,.F.); +#13130=ORIENTED_EDGE('',*,*,#19532,.F.); +#13131=EDGE_LOOP('',(#13127,#13128,#13129,#13130)); +#13132=FACE_OUTER_BOUND('',#13131,.F.); +#13133=ADVANCED_FACE('',(#13132),#13126,.T.); +#13134=CARTESIAN_POINT('',(-1.825E0,3.81E0,-1.9E-1)); +#13135=AXIS2_PLACEMENT_3D('',#13134,#12431,#72); +#13136=PLANE('',#13135); +#13137=ORIENTED_EDGE('',*,*,#17652,.F.); +#13138=ORIENTED_EDGE('',*,*,#19533,.F.); +#13139=ORIENTED_EDGE('',*,*,#19534,.T.); +#13140=ORIENTED_EDGE('',*,*,#19535,.T.); +#13141=EDGE_LOOP('',(#13137,#13138,#13139,#13140)); +#13142=FACE_OUTER_BOUND('',#13141,.F.); +#13143=ADVANCED_FACE('',(#13142),#13136,.F.); +#13144=CARTESIAN_POINT('',(-1.27E0,4.3625E0,-1.9E-1)); +#13145=AXIS2_PLACEMENT_3D('',#13144,#12442,#76); +#13146=PLANE('',#13145); +#13147=ORIENTED_EDGE('',*,*,#17655,.F.); +#13148=ORIENTED_EDGE('',*,*,#19536,.F.); +#13149=ORIENTED_EDGE('',*,*,#19537,.T.); +#13150=ORIENTED_EDGE('',*,*,#19533,.T.); +#13151=EDGE_LOOP('',(#13147,#13148,#13149,#13150)); +#13152=FACE_OUTER_BOUND('',#13151,.F.); +#13153=ADVANCED_FACE('',(#13152),#13146,.F.); +#13154=CARTESIAN_POINT('',(-7.15E-1,3.81E0,-1.9E-1)); +#13155=AXIS2_PLACEMENT_3D('',#13154,#12453,#80); +#13156=PLANE('',#13155); +#13157=ORIENTED_EDGE('',*,*,#17654,.F.); +#13158=ORIENTED_EDGE('',*,*,#19538,.F.); +#13159=ORIENTED_EDGE('',*,*,#19539,.T.); +#13160=ORIENTED_EDGE('',*,*,#19536,.T.); +#13161=EDGE_LOOP('',(#13157,#13158,#13159,#13160)); +#13162=FACE_OUTER_BOUND('',#13161,.F.); +#13163=ADVANCED_FACE('',(#13162),#13156,.F.); +#13164=CARTESIAN_POINT('',(-1.27E0,3.2575E0,-1.9E-1)); +#13165=AXIS2_PLACEMENT_3D('',#13164,#12464,#84); +#13166=PLANE('',#13165); +#13167=ORIENTED_EDGE('',*,*,#17653,.F.); +#13168=ORIENTED_EDGE('',*,*,#19535,.F.); +#13169=ORIENTED_EDGE('',*,*,#19540,.T.); +#13170=ORIENTED_EDGE('',*,*,#19538,.T.); +#13171=EDGE_LOOP('',(#13167,#13168,#13169,#13170)); +#13172=FACE_OUTER_BOUND('',#13171,.F.); +#13173=ADVANCED_FACE('',(#13172),#13166,.F.); +#13174=CARTESIAN_POINT('',(3.81E1,0.E0,-3.8E-1)); +#13175=AXIS2_PLACEMENT_3D('',#13174,#2100,#84); +#13176=PLANE('',#13175); +#13177=ORIENTED_EDGE('',*,*,#19534,.F.); +#13178=ORIENTED_EDGE('',*,*,#19537,.F.); +#13179=ORIENTED_EDGE('',*,*,#19539,.F.); +#13180=ORIENTED_EDGE('',*,*,#19540,.F.); +#13181=EDGE_LOOP('',(#13177,#13178,#13179,#13180)); +#13182=FACE_OUTER_BOUND('',#13181,.F.); +#13183=ADVANCED_FACE('',(#13182),#13176,.T.); +#13184=CARTESIAN_POINT('',(7.15E-1,3.81E0,-1.9E-1)); +#13185=AXIS2_PLACEMENT_3D('',#13184,#12431,#72); +#13186=PLANE('',#13185); +#13187=ORIENTED_EDGE('',*,*,#17656,.F.); +#13188=ORIENTED_EDGE('',*,*,#19541,.F.); +#13189=ORIENTED_EDGE('',*,*,#19542,.T.); +#13190=ORIENTED_EDGE('',*,*,#19543,.T.); +#13191=EDGE_LOOP('',(#13187,#13188,#13189,#13190)); +#13192=FACE_OUTER_BOUND('',#13191,.F.); +#13193=ADVANCED_FACE('',(#13192),#13186,.F.); +#13194=CARTESIAN_POINT('',(1.27E0,4.3625E0,-1.9E-1)); +#13195=AXIS2_PLACEMENT_3D('',#13194,#12442,#76); +#13196=PLANE('',#13195); +#13197=ORIENTED_EDGE('',*,*,#17659,.F.); +#13198=ORIENTED_EDGE('',*,*,#19544,.F.); +#13199=ORIENTED_EDGE('',*,*,#19545,.T.); +#13200=ORIENTED_EDGE('',*,*,#19541,.T.); +#13201=EDGE_LOOP('',(#13197,#13198,#13199,#13200)); +#13202=FACE_OUTER_BOUND('',#13201,.F.); +#13203=ADVANCED_FACE('',(#13202),#13196,.F.); +#13204=CARTESIAN_POINT('',(1.825E0,3.81E0,-1.9E-1)); +#13205=AXIS2_PLACEMENT_3D('',#13204,#12453,#80); +#13206=PLANE('',#13205); +#13207=ORIENTED_EDGE('',*,*,#17658,.F.); +#13208=ORIENTED_EDGE('',*,*,#19546,.F.); +#13209=ORIENTED_EDGE('',*,*,#19547,.T.); +#13210=ORIENTED_EDGE('',*,*,#19544,.T.); +#13211=EDGE_LOOP('',(#13207,#13208,#13209,#13210)); +#13212=FACE_OUTER_BOUND('',#13211,.F.); +#13213=ADVANCED_FACE('',(#13212),#13206,.F.); +#13214=CARTESIAN_POINT('',(1.27E0,3.2575E0,-1.9E-1)); +#13215=AXIS2_PLACEMENT_3D('',#13214,#12464,#84); +#13216=PLANE('',#13215); +#13217=ORIENTED_EDGE('',*,*,#17657,.F.); +#13218=ORIENTED_EDGE('',*,*,#19543,.F.); +#13219=ORIENTED_EDGE('',*,*,#19548,.T.); +#13220=ORIENTED_EDGE('',*,*,#19546,.T.); +#13221=EDGE_LOOP('',(#13217,#13218,#13219,#13220)); +#13222=FACE_OUTER_BOUND('',#13221,.F.); +#13223=ADVANCED_FACE('',(#13222),#13216,.F.); +#13224=CARTESIAN_POINT('',(4.064E1,0.E0,-3.8E-1)); +#13225=AXIS2_PLACEMENT_3D('',#13224,#2100,#84); +#13226=PLANE('',#13225); +#13227=ORIENTED_EDGE('',*,*,#19542,.F.); +#13228=ORIENTED_EDGE('',*,*,#19545,.F.); +#13229=ORIENTED_EDGE('',*,*,#19547,.F.); +#13230=ORIENTED_EDGE('',*,*,#19548,.F.); +#13231=EDGE_LOOP('',(#13227,#13228,#13229,#13230)); +#13232=FACE_OUTER_BOUND('',#13231,.F.); +#13233=ADVANCED_FACE('',(#13232),#13226,.T.); +#13234=CARTESIAN_POINT('',(3.255E0,3.81E0,-1.9E-1)); +#13235=AXIS2_PLACEMENT_3D('',#13234,#12431,#72); +#13236=PLANE('',#13235); +#13237=ORIENTED_EDGE('',*,*,#17660,.F.); +#13238=ORIENTED_EDGE('',*,*,#19549,.F.); +#13239=ORIENTED_EDGE('',*,*,#19550,.T.); +#13240=ORIENTED_EDGE('',*,*,#19551,.T.); +#13241=EDGE_LOOP('',(#13237,#13238,#13239,#13240)); +#13242=FACE_OUTER_BOUND('',#13241,.F.); +#13243=ADVANCED_FACE('',(#13242),#13236,.F.); +#13244=CARTESIAN_POINT('',(3.81E0,4.3625E0,-1.9E-1)); +#13245=AXIS2_PLACEMENT_3D('',#13244,#12442,#76); +#13246=PLANE('',#13245); +#13247=ORIENTED_EDGE('',*,*,#17663,.F.); +#13248=ORIENTED_EDGE('',*,*,#19552,.F.); +#13249=ORIENTED_EDGE('',*,*,#19553,.T.); +#13250=ORIENTED_EDGE('',*,*,#19549,.T.); +#13251=EDGE_LOOP('',(#13247,#13248,#13249,#13250)); +#13252=FACE_OUTER_BOUND('',#13251,.F.); +#13253=ADVANCED_FACE('',(#13252),#13246,.F.); +#13254=CARTESIAN_POINT('',(4.365E0,3.81E0,-1.9E-1)); +#13255=AXIS2_PLACEMENT_3D('',#13254,#12453,#80); +#13256=PLANE('',#13255); +#13257=ORIENTED_EDGE('',*,*,#17662,.F.); +#13258=ORIENTED_EDGE('',*,*,#19554,.F.); +#13259=ORIENTED_EDGE('',*,*,#19555,.T.); +#13260=ORIENTED_EDGE('',*,*,#19552,.T.); +#13261=EDGE_LOOP('',(#13257,#13258,#13259,#13260)); +#13262=FACE_OUTER_BOUND('',#13261,.F.); +#13263=ADVANCED_FACE('',(#13262),#13256,.F.); +#13264=CARTESIAN_POINT('',(3.81E0,3.2575E0,-1.9E-1)); +#13265=AXIS2_PLACEMENT_3D('',#13264,#12464,#84); +#13266=PLANE('',#13265); +#13267=ORIENTED_EDGE('',*,*,#17661,.F.); +#13268=ORIENTED_EDGE('',*,*,#19551,.F.); +#13269=ORIENTED_EDGE('',*,*,#19556,.T.); +#13270=ORIENTED_EDGE('',*,*,#19554,.T.); +#13271=EDGE_LOOP('',(#13267,#13268,#13269,#13270)); +#13272=FACE_OUTER_BOUND('',#13271,.F.); +#13273=ADVANCED_FACE('',(#13272),#13266,.F.); +#13274=CARTESIAN_POINT('',(4.318E1,0.E0,-3.8E-1)); +#13275=AXIS2_PLACEMENT_3D('',#13274,#2100,#84); +#13276=PLANE('',#13275); +#13277=ORIENTED_EDGE('',*,*,#19550,.F.); +#13278=ORIENTED_EDGE('',*,*,#19553,.F.); +#13279=ORIENTED_EDGE('',*,*,#19555,.F.); +#13280=ORIENTED_EDGE('',*,*,#19556,.F.); +#13281=EDGE_LOOP('',(#13277,#13278,#13279,#13280)); +#13282=FACE_OUTER_BOUND('',#13281,.F.); +#13283=ADVANCED_FACE('',(#13282),#13276,.T.); +#13284=CARTESIAN_POINT('',(5.795E0,3.81E0,-1.9E-1)); +#13285=AXIS2_PLACEMENT_3D('',#13284,#12431,#72); +#13286=PLANE('',#13285); +#13287=ORIENTED_EDGE('',*,*,#17664,.F.); +#13288=ORIENTED_EDGE('',*,*,#19557,.F.); +#13289=ORIENTED_EDGE('',*,*,#19558,.T.); +#13290=ORIENTED_EDGE('',*,*,#19559,.T.); +#13291=EDGE_LOOP('',(#13287,#13288,#13289,#13290)); +#13292=FACE_OUTER_BOUND('',#13291,.F.); +#13293=ADVANCED_FACE('',(#13292),#13286,.F.); +#13294=CARTESIAN_POINT('',(6.35E0,4.3625E0,-1.9E-1)); +#13295=AXIS2_PLACEMENT_3D('',#13294,#12442,#76); +#13296=PLANE('',#13295); +#13297=ORIENTED_EDGE('',*,*,#17667,.F.); +#13298=ORIENTED_EDGE('',*,*,#19560,.F.); +#13299=ORIENTED_EDGE('',*,*,#19561,.T.); +#13300=ORIENTED_EDGE('',*,*,#19557,.T.); +#13301=EDGE_LOOP('',(#13297,#13298,#13299,#13300)); +#13302=FACE_OUTER_BOUND('',#13301,.F.); +#13303=ADVANCED_FACE('',(#13302),#13296,.F.); +#13304=CARTESIAN_POINT('',(6.905E0,3.81E0,-1.9E-1)); +#13305=AXIS2_PLACEMENT_3D('',#13304,#12453,#80); +#13306=PLANE('',#13305); +#13307=ORIENTED_EDGE('',*,*,#17666,.F.); +#13308=ORIENTED_EDGE('',*,*,#19562,.F.); +#13309=ORIENTED_EDGE('',*,*,#19563,.T.); +#13310=ORIENTED_EDGE('',*,*,#19560,.T.); +#13311=EDGE_LOOP('',(#13307,#13308,#13309,#13310)); +#13312=FACE_OUTER_BOUND('',#13311,.F.); +#13313=ADVANCED_FACE('',(#13312),#13306,.F.); +#13314=CARTESIAN_POINT('',(6.35E0,3.2575E0,-1.9E-1)); +#13315=AXIS2_PLACEMENT_3D('',#13314,#12464,#84); +#13316=PLANE('',#13315); +#13317=ORIENTED_EDGE('',*,*,#17665,.F.); +#13318=ORIENTED_EDGE('',*,*,#19559,.F.); +#13319=ORIENTED_EDGE('',*,*,#19564,.T.); +#13320=ORIENTED_EDGE('',*,*,#19562,.T.); +#13321=EDGE_LOOP('',(#13317,#13318,#13319,#13320)); +#13322=FACE_OUTER_BOUND('',#13321,.F.); +#13323=ADVANCED_FACE('',(#13322),#13316,.F.); +#13324=CARTESIAN_POINT('',(4.572E1,0.E0,-3.8E-1)); +#13325=AXIS2_PLACEMENT_3D('',#13324,#2100,#84); +#13326=PLANE('',#13325); +#13327=ORIENTED_EDGE('',*,*,#19558,.F.); +#13328=ORIENTED_EDGE('',*,*,#19561,.F.); +#13329=ORIENTED_EDGE('',*,*,#19563,.F.); +#13330=ORIENTED_EDGE('',*,*,#19564,.F.); +#13331=EDGE_LOOP('',(#13327,#13328,#13329,#13330)); +#13332=FACE_OUTER_BOUND('',#13331,.F.); +#13333=ADVANCED_FACE('',(#13332),#13326,.T.); +#13334=CARTESIAN_POINT('',(8.335E0,3.81E0,-1.9E-1)); +#13335=AXIS2_PLACEMENT_3D('',#13334,#12431,#72); +#13336=PLANE('',#13335); +#13337=ORIENTED_EDGE('',*,*,#17668,.F.); +#13338=ORIENTED_EDGE('',*,*,#19565,.F.); +#13339=ORIENTED_EDGE('',*,*,#19566,.T.); +#13340=ORIENTED_EDGE('',*,*,#19567,.T.); +#13341=EDGE_LOOP('',(#13337,#13338,#13339,#13340)); +#13342=FACE_OUTER_BOUND('',#13341,.F.); +#13343=ADVANCED_FACE('',(#13342),#13336,.F.); +#13344=CARTESIAN_POINT('',(8.89E0,4.3625E0,-1.9E-1)); +#13345=AXIS2_PLACEMENT_3D('',#13344,#12442,#76); +#13346=PLANE('',#13345); +#13347=ORIENTED_EDGE('',*,*,#17671,.F.); +#13348=ORIENTED_EDGE('',*,*,#19568,.F.); +#13349=ORIENTED_EDGE('',*,*,#19569,.T.); +#13350=ORIENTED_EDGE('',*,*,#19565,.T.); +#13351=EDGE_LOOP('',(#13347,#13348,#13349,#13350)); +#13352=FACE_OUTER_BOUND('',#13351,.F.); +#13353=ADVANCED_FACE('',(#13352),#13346,.F.); +#13354=CARTESIAN_POINT('',(9.445E0,3.81E0,-1.9E-1)); +#13355=AXIS2_PLACEMENT_3D('',#13354,#12453,#80); +#13356=PLANE('',#13355); +#13357=ORIENTED_EDGE('',*,*,#17670,.F.); +#13358=ORIENTED_EDGE('',*,*,#19570,.F.); +#13359=ORIENTED_EDGE('',*,*,#19571,.T.); +#13360=ORIENTED_EDGE('',*,*,#19568,.T.); +#13361=EDGE_LOOP('',(#13357,#13358,#13359,#13360)); +#13362=FACE_OUTER_BOUND('',#13361,.F.); +#13363=ADVANCED_FACE('',(#13362),#13356,.F.); +#13364=CARTESIAN_POINT('',(8.89E0,3.2575E0,-1.9E-1)); +#13365=AXIS2_PLACEMENT_3D('',#13364,#12464,#84); +#13366=PLANE('',#13365); +#13367=ORIENTED_EDGE('',*,*,#17669,.F.); +#13368=ORIENTED_EDGE('',*,*,#19567,.F.); +#13369=ORIENTED_EDGE('',*,*,#19572,.T.); +#13370=ORIENTED_EDGE('',*,*,#19570,.T.); +#13371=EDGE_LOOP('',(#13367,#13368,#13369,#13370)); +#13372=FACE_OUTER_BOUND('',#13371,.F.); +#13373=ADVANCED_FACE('',(#13372),#13366,.F.); +#13374=CARTESIAN_POINT('',(4.826E1,0.E0,-3.8E-1)); +#13375=AXIS2_PLACEMENT_3D('',#13374,#2100,#84); +#13376=PLANE('',#13375); +#13377=ORIENTED_EDGE('',*,*,#19566,.F.); +#13378=ORIENTED_EDGE('',*,*,#19569,.F.); +#13379=ORIENTED_EDGE('',*,*,#19571,.F.); +#13380=ORIENTED_EDGE('',*,*,#19572,.F.); +#13381=EDGE_LOOP('',(#13377,#13378,#13379,#13380)); +#13382=FACE_OUTER_BOUND('',#13381,.F.); +#13383=ADVANCED_FACE('',(#13382),#13376,.T.); +#13384=CARTESIAN_POINT('',(1.0875E1,3.81E0,-1.9E-1)); +#13385=AXIS2_PLACEMENT_3D('',#13384,#12431,#72); +#13386=PLANE('',#13385); +#13387=ORIENTED_EDGE('',*,*,#17672,.F.); +#13388=ORIENTED_EDGE('',*,*,#19573,.F.); +#13389=ORIENTED_EDGE('',*,*,#19574,.T.); +#13390=ORIENTED_EDGE('',*,*,#19575,.T.); +#13391=EDGE_LOOP('',(#13387,#13388,#13389,#13390)); +#13392=FACE_OUTER_BOUND('',#13391,.F.); +#13393=ADVANCED_FACE('',(#13392),#13386,.F.); +#13394=CARTESIAN_POINT('',(1.143E1,4.3625E0,-1.9E-1)); +#13395=AXIS2_PLACEMENT_3D('',#13394,#12442,#76); +#13396=PLANE('',#13395); +#13397=ORIENTED_EDGE('',*,*,#17675,.F.); +#13398=ORIENTED_EDGE('',*,*,#19576,.F.); +#13399=ORIENTED_EDGE('',*,*,#19577,.T.); +#13400=ORIENTED_EDGE('',*,*,#19573,.T.); +#13401=EDGE_LOOP('',(#13397,#13398,#13399,#13400)); +#13402=FACE_OUTER_BOUND('',#13401,.F.); +#13403=ADVANCED_FACE('',(#13402),#13396,.F.); +#13404=CARTESIAN_POINT('',(1.1985E1,3.81E0,-1.9E-1)); +#13405=AXIS2_PLACEMENT_3D('',#13404,#12453,#80); +#13406=PLANE('',#13405); +#13407=ORIENTED_EDGE('',*,*,#17674,.F.); +#13408=ORIENTED_EDGE('',*,*,#19578,.F.); +#13409=ORIENTED_EDGE('',*,*,#19579,.T.); +#13410=ORIENTED_EDGE('',*,*,#19576,.T.); +#13411=EDGE_LOOP('',(#13407,#13408,#13409,#13410)); +#13412=FACE_OUTER_BOUND('',#13411,.F.); +#13413=ADVANCED_FACE('',(#13412),#13406,.F.); +#13414=CARTESIAN_POINT('',(1.143E1,3.2575E0,-1.9E-1)); +#13415=AXIS2_PLACEMENT_3D('',#13414,#12464,#84); +#13416=PLANE('',#13415); +#13417=ORIENTED_EDGE('',*,*,#17673,.F.); +#13418=ORIENTED_EDGE('',*,*,#19575,.F.); +#13419=ORIENTED_EDGE('',*,*,#19580,.T.); +#13420=ORIENTED_EDGE('',*,*,#19578,.T.); +#13421=EDGE_LOOP('',(#13417,#13418,#13419,#13420)); +#13422=FACE_OUTER_BOUND('',#13421,.F.); +#13423=ADVANCED_FACE('',(#13422),#13416,.F.); +#13424=CARTESIAN_POINT('',(5.08E1,0.E0,-3.8E-1)); +#13425=AXIS2_PLACEMENT_3D('',#13424,#2100,#84); +#13426=PLANE('',#13425); +#13427=ORIENTED_EDGE('',*,*,#19574,.F.); +#13428=ORIENTED_EDGE('',*,*,#19577,.F.); +#13429=ORIENTED_EDGE('',*,*,#19579,.F.); +#13430=ORIENTED_EDGE('',*,*,#19580,.F.); +#13431=EDGE_LOOP('',(#13427,#13428,#13429,#13430)); +#13432=FACE_OUTER_BOUND('',#13431,.F.); +#13433=ADVANCED_FACE('',(#13432),#13426,.T.); +#13434=CARTESIAN_POINT('',(1.3415E1,3.81E0,-1.9E-1)); +#13435=AXIS2_PLACEMENT_3D('',#13434,#12431,#72); +#13436=PLANE('',#13435); +#13437=ORIENTED_EDGE('',*,*,#17676,.F.); +#13438=ORIENTED_EDGE('',*,*,#19581,.F.); +#13439=ORIENTED_EDGE('',*,*,#19582,.T.); +#13440=ORIENTED_EDGE('',*,*,#19583,.T.); +#13441=EDGE_LOOP('',(#13437,#13438,#13439,#13440)); +#13442=FACE_OUTER_BOUND('',#13441,.F.); +#13443=ADVANCED_FACE('',(#13442),#13436,.F.); +#13444=CARTESIAN_POINT('',(1.397E1,4.3625E0,-1.9E-1)); +#13445=AXIS2_PLACEMENT_3D('',#13444,#12442,#76); +#13446=PLANE('',#13445); +#13447=ORIENTED_EDGE('',*,*,#17679,.F.); +#13448=ORIENTED_EDGE('',*,*,#19584,.F.); +#13449=ORIENTED_EDGE('',*,*,#19585,.T.); +#13450=ORIENTED_EDGE('',*,*,#19581,.T.); +#13451=EDGE_LOOP('',(#13447,#13448,#13449,#13450)); +#13452=FACE_OUTER_BOUND('',#13451,.F.); +#13453=ADVANCED_FACE('',(#13452),#13446,.F.); +#13454=CARTESIAN_POINT('',(1.4525E1,3.81E0,-1.9E-1)); +#13455=AXIS2_PLACEMENT_3D('',#13454,#12453,#80); +#13456=PLANE('',#13455); +#13457=ORIENTED_EDGE('',*,*,#17678,.F.); +#13458=ORIENTED_EDGE('',*,*,#19586,.F.); +#13459=ORIENTED_EDGE('',*,*,#19587,.T.); +#13460=ORIENTED_EDGE('',*,*,#19584,.T.); +#13461=EDGE_LOOP('',(#13457,#13458,#13459,#13460)); +#13462=FACE_OUTER_BOUND('',#13461,.F.); +#13463=ADVANCED_FACE('',(#13462),#13456,.F.); +#13464=CARTESIAN_POINT('',(1.397E1,3.2575E0,-1.9E-1)); +#13465=AXIS2_PLACEMENT_3D('',#13464,#12464,#84); +#13466=PLANE('',#13465); +#13467=ORIENTED_EDGE('',*,*,#17677,.F.); +#13468=ORIENTED_EDGE('',*,*,#19583,.F.); +#13469=ORIENTED_EDGE('',*,*,#19588,.T.); +#13470=ORIENTED_EDGE('',*,*,#19586,.T.); +#13471=EDGE_LOOP('',(#13467,#13468,#13469,#13470)); +#13472=FACE_OUTER_BOUND('',#13471,.F.); +#13473=ADVANCED_FACE('',(#13472),#13466,.F.); +#13474=CARTESIAN_POINT('',(5.334E1,0.E0,-3.8E-1)); +#13475=AXIS2_PLACEMENT_3D('',#13474,#2100,#84); +#13476=PLANE('',#13475); +#13477=ORIENTED_EDGE('',*,*,#19582,.F.); +#13478=ORIENTED_EDGE('',*,*,#19585,.F.); +#13479=ORIENTED_EDGE('',*,*,#19587,.F.); +#13480=ORIENTED_EDGE('',*,*,#19588,.F.); +#13481=EDGE_LOOP('',(#13477,#13478,#13479,#13480)); +#13482=FACE_OUTER_BOUND('',#13481,.F.); +#13483=ADVANCED_FACE('',(#13482),#13476,.T.); +#13484=CARTESIAN_POINT('',(1.5955E1,3.81E0,-1.9E-1)); +#13485=AXIS2_PLACEMENT_3D('',#13484,#12431,#72); +#13486=PLANE('',#13485); +#13487=ORIENTED_EDGE('',*,*,#17680,.F.); +#13488=ORIENTED_EDGE('',*,*,#19589,.F.); +#13489=ORIENTED_EDGE('',*,*,#19590,.T.); +#13490=ORIENTED_EDGE('',*,*,#19591,.T.); +#13491=EDGE_LOOP('',(#13487,#13488,#13489,#13490)); +#13492=FACE_OUTER_BOUND('',#13491,.F.); +#13493=ADVANCED_FACE('',(#13492),#13486,.F.); +#13494=CARTESIAN_POINT('',(1.651E1,4.3625E0,-1.9E-1)); +#13495=AXIS2_PLACEMENT_3D('',#13494,#12442,#76); +#13496=PLANE('',#13495); +#13497=ORIENTED_EDGE('',*,*,#17683,.F.); +#13498=ORIENTED_EDGE('',*,*,#19592,.F.); +#13499=ORIENTED_EDGE('',*,*,#19593,.T.); +#13500=ORIENTED_EDGE('',*,*,#19589,.T.); +#13501=EDGE_LOOP('',(#13497,#13498,#13499,#13500)); +#13502=FACE_OUTER_BOUND('',#13501,.F.); +#13503=ADVANCED_FACE('',(#13502),#13496,.F.); +#13504=CARTESIAN_POINT('',(1.7065E1,3.81E0,-1.9E-1)); +#13505=AXIS2_PLACEMENT_3D('',#13504,#12453,#80); +#13506=PLANE('',#13505); +#13507=ORIENTED_EDGE('',*,*,#17682,.F.); +#13508=ORIENTED_EDGE('',*,*,#19594,.F.); +#13509=ORIENTED_EDGE('',*,*,#19595,.T.); +#13510=ORIENTED_EDGE('',*,*,#19592,.T.); +#13511=EDGE_LOOP('',(#13507,#13508,#13509,#13510)); +#13512=FACE_OUTER_BOUND('',#13511,.F.); +#13513=ADVANCED_FACE('',(#13512),#13506,.F.); +#13514=CARTESIAN_POINT('',(1.651E1,3.2575E0,-1.9E-1)); +#13515=AXIS2_PLACEMENT_3D('',#13514,#12464,#84); +#13516=PLANE('',#13515); +#13517=ORIENTED_EDGE('',*,*,#17681,.F.); +#13518=ORIENTED_EDGE('',*,*,#19591,.F.); +#13519=ORIENTED_EDGE('',*,*,#19596,.T.); +#13520=ORIENTED_EDGE('',*,*,#19594,.T.); +#13521=EDGE_LOOP('',(#13517,#13518,#13519,#13520)); +#13522=FACE_OUTER_BOUND('',#13521,.F.); +#13523=ADVANCED_FACE('',(#13522),#13516,.F.); +#13524=CARTESIAN_POINT('',(5.588E1,0.E0,-3.8E-1)); +#13525=AXIS2_PLACEMENT_3D('',#13524,#2100,#84); +#13526=PLANE('',#13525); +#13527=ORIENTED_EDGE('',*,*,#19590,.F.); +#13528=ORIENTED_EDGE('',*,*,#19593,.F.); +#13529=ORIENTED_EDGE('',*,*,#19595,.F.); +#13530=ORIENTED_EDGE('',*,*,#19596,.F.); +#13531=EDGE_LOOP('',(#13527,#13528,#13529,#13530)); +#13532=FACE_OUTER_BOUND('',#13531,.F.); +#13533=ADVANCED_FACE('',(#13532),#13526,.T.); +#13534=CARTESIAN_POINT('',(1.8495E1,3.81E0,-1.9E-1)); +#13535=AXIS2_PLACEMENT_3D('',#13534,#12431,#72); +#13536=PLANE('',#13535); +#13537=ORIENTED_EDGE('',*,*,#17684,.F.); +#13538=ORIENTED_EDGE('',*,*,#19597,.F.); +#13539=ORIENTED_EDGE('',*,*,#19598,.T.); +#13540=ORIENTED_EDGE('',*,*,#19599,.T.); +#13541=EDGE_LOOP('',(#13537,#13538,#13539,#13540)); +#13542=FACE_OUTER_BOUND('',#13541,.F.); +#13543=ADVANCED_FACE('',(#13542),#13536,.F.); +#13544=CARTESIAN_POINT('',(1.905E1,4.3625E0,-1.9E-1)); +#13545=AXIS2_PLACEMENT_3D('',#13544,#12442,#76); +#13546=PLANE('',#13545); +#13547=ORIENTED_EDGE('',*,*,#17687,.F.); +#13548=ORIENTED_EDGE('',*,*,#19600,.F.); +#13549=ORIENTED_EDGE('',*,*,#19601,.T.); +#13550=ORIENTED_EDGE('',*,*,#19597,.T.); +#13551=EDGE_LOOP('',(#13547,#13548,#13549,#13550)); +#13552=FACE_OUTER_BOUND('',#13551,.F.); +#13553=ADVANCED_FACE('',(#13552),#13546,.F.); +#13554=CARTESIAN_POINT('',(1.9605E1,3.81E0,-1.9E-1)); +#13555=AXIS2_PLACEMENT_3D('',#13554,#12453,#80); +#13556=PLANE('',#13555); +#13557=ORIENTED_EDGE('',*,*,#17686,.F.); +#13558=ORIENTED_EDGE('',*,*,#19602,.F.); +#13559=ORIENTED_EDGE('',*,*,#19603,.T.); +#13560=ORIENTED_EDGE('',*,*,#19600,.T.); +#13561=EDGE_LOOP('',(#13557,#13558,#13559,#13560)); +#13562=FACE_OUTER_BOUND('',#13561,.F.); +#13563=ADVANCED_FACE('',(#13562),#13556,.F.); +#13564=CARTESIAN_POINT('',(1.905E1,3.2575E0,-1.9E-1)); +#13565=AXIS2_PLACEMENT_3D('',#13564,#12464,#84); +#13566=PLANE('',#13565); +#13567=ORIENTED_EDGE('',*,*,#17685,.F.); +#13568=ORIENTED_EDGE('',*,*,#19599,.F.); +#13569=ORIENTED_EDGE('',*,*,#19604,.T.); +#13570=ORIENTED_EDGE('',*,*,#19602,.T.); +#13571=EDGE_LOOP('',(#13567,#13568,#13569,#13570)); +#13572=FACE_OUTER_BOUND('',#13571,.F.); +#13573=ADVANCED_FACE('',(#13572),#13566,.F.); +#13574=CARTESIAN_POINT('',(5.842E1,0.E0,-3.8E-1)); +#13575=AXIS2_PLACEMENT_3D('',#13574,#2100,#84); +#13576=PLANE('',#13575); +#13577=ORIENTED_EDGE('',*,*,#19598,.F.); +#13578=ORIENTED_EDGE('',*,*,#19601,.F.); +#13579=ORIENTED_EDGE('',*,*,#19603,.F.); +#13580=ORIENTED_EDGE('',*,*,#19604,.F.); +#13581=EDGE_LOOP('',(#13577,#13578,#13579,#13580)); +#13582=FACE_OUTER_BOUND('',#13581,.F.); +#13583=ADVANCED_FACE('',(#13582),#13576,.T.); +#13584=CARTESIAN_POINT('',(2.1035E1,3.81E0,-1.9E-1)); +#13585=AXIS2_PLACEMENT_3D('',#13584,#12431,#72); +#13586=PLANE('',#13585); +#13587=ORIENTED_EDGE('',*,*,#17688,.F.); +#13588=ORIENTED_EDGE('',*,*,#19605,.F.); +#13589=ORIENTED_EDGE('',*,*,#19606,.T.); +#13590=ORIENTED_EDGE('',*,*,#19607,.T.); +#13591=EDGE_LOOP('',(#13587,#13588,#13589,#13590)); +#13592=FACE_OUTER_BOUND('',#13591,.F.); +#13593=ADVANCED_FACE('',(#13592),#13586,.F.); +#13594=CARTESIAN_POINT('',(2.159E1,4.3625E0,-1.9E-1)); +#13595=AXIS2_PLACEMENT_3D('',#13594,#12442,#76); +#13596=PLANE('',#13595); +#13597=ORIENTED_EDGE('',*,*,#17691,.F.); +#13598=ORIENTED_EDGE('',*,*,#19608,.F.); +#13599=ORIENTED_EDGE('',*,*,#19609,.T.); +#13600=ORIENTED_EDGE('',*,*,#19605,.T.); +#13601=EDGE_LOOP('',(#13597,#13598,#13599,#13600)); +#13602=FACE_OUTER_BOUND('',#13601,.F.); +#13603=ADVANCED_FACE('',(#13602),#13596,.F.); +#13604=CARTESIAN_POINT('',(2.2145E1,3.81E0,-1.9E-1)); +#13605=AXIS2_PLACEMENT_3D('',#13604,#12453,#80); +#13606=PLANE('',#13605); +#13607=ORIENTED_EDGE('',*,*,#17690,.F.); +#13608=ORIENTED_EDGE('',*,*,#19610,.F.); +#13609=ORIENTED_EDGE('',*,*,#19611,.T.); +#13610=ORIENTED_EDGE('',*,*,#19608,.T.); +#13611=EDGE_LOOP('',(#13607,#13608,#13609,#13610)); +#13612=FACE_OUTER_BOUND('',#13611,.F.); +#13613=ADVANCED_FACE('',(#13612),#13606,.F.); +#13614=CARTESIAN_POINT('',(2.159E1,3.2575E0,-1.9E-1)); +#13615=AXIS2_PLACEMENT_3D('',#13614,#12464,#84); +#13616=PLANE('',#13615); +#13617=ORIENTED_EDGE('',*,*,#17689,.F.); +#13618=ORIENTED_EDGE('',*,*,#19607,.F.); +#13619=ORIENTED_EDGE('',*,*,#19612,.T.); +#13620=ORIENTED_EDGE('',*,*,#19610,.T.); +#13621=EDGE_LOOP('',(#13617,#13618,#13619,#13620)); +#13622=FACE_OUTER_BOUND('',#13621,.F.); +#13623=ADVANCED_FACE('',(#13622),#13616,.F.); +#13624=CARTESIAN_POINT('',(6.096E1,0.E0,-3.8E-1)); +#13625=AXIS2_PLACEMENT_3D('',#13624,#2100,#84); +#13626=PLANE('',#13625); +#13627=ORIENTED_EDGE('',*,*,#19606,.F.); +#13628=ORIENTED_EDGE('',*,*,#19609,.F.); +#13629=ORIENTED_EDGE('',*,*,#19611,.F.); +#13630=ORIENTED_EDGE('',*,*,#19612,.F.); +#13631=EDGE_LOOP('',(#13627,#13628,#13629,#13630)); +#13632=FACE_OUTER_BOUND('',#13631,.F.); +#13633=ADVANCED_FACE('',(#13632),#13626,.T.); +#13634=CARTESIAN_POINT('',(2.3575E1,3.81E0,-1.9E-1)); +#13635=AXIS2_PLACEMENT_3D('',#13634,#12431,#72); +#13636=PLANE('',#13635); +#13637=ORIENTED_EDGE('',*,*,#17692,.F.); +#13638=ORIENTED_EDGE('',*,*,#19613,.F.); +#13639=ORIENTED_EDGE('',*,*,#19614,.T.); +#13640=ORIENTED_EDGE('',*,*,#19615,.T.); +#13641=EDGE_LOOP('',(#13637,#13638,#13639,#13640)); +#13642=FACE_OUTER_BOUND('',#13641,.F.); +#13643=ADVANCED_FACE('',(#13642),#13636,.F.); +#13644=CARTESIAN_POINT('',(2.413E1,4.3625E0,-1.9E-1)); +#13645=AXIS2_PLACEMENT_3D('',#13644,#12442,#76); +#13646=PLANE('',#13645); +#13647=ORIENTED_EDGE('',*,*,#17695,.F.); +#13648=ORIENTED_EDGE('',*,*,#19616,.F.); +#13649=ORIENTED_EDGE('',*,*,#19617,.T.); +#13650=ORIENTED_EDGE('',*,*,#19613,.T.); +#13651=EDGE_LOOP('',(#13647,#13648,#13649,#13650)); +#13652=FACE_OUTER_BOUND('',#13651,.F.); +#13653=ADVANCED_FACE('',(#13652),#13646,.F.); +#13654=CARTESIAN_POINT('',(2.4685E1,3.81E0,-1.9E-1)); +#13655=AXIS2_PLACEMENT_3D('',#13654,#12453,#80); +#13656=PLANE('',#13655); +#13657=ORIENTED_EDGE('',*,*,#17694,.F.); +#13658=ORIENTED_EDGE('',*,*,#19618,.F.); +#13659=ORIENTED_EDGE('',*,*,#19619,.T.); +#13660=ORIENTED_EDGE('',*,*,#19616,.T.); +#13661=EDGE_LOOP('',(#13657,#13658,#13659,#13660)); +#13662=FACE_OUTER_BOUND('',#13661,.F.); +#13663=ADVANCED_FACE('',(#13662),#13656,.F.); +#13664=CARTESIAN_POINT('',(2.413E1,3.2575E0,-1.9E-1)); +#13665=AXIS2_PLACEMENT_3D('',#13664,#12464,#84); +#13666=PLANE('',#13665); +#13667=ORIENTED_EDGE('',*,*,#17693,.F.); +#13668=ORIENTED_EDGE('',*,*,#19615,.F.); +#13669=ORIENTED_EDGE('',*,*,#19620,.T.); +#13670=ORIENTED_EDGE('',*,*,#19618,.T.); +#13671=EDGE_LOOP('',(#13667,#13668,#13669,#13670)); +#13672=FACE_OUTER_BOUND('',#13671,.F.); +#13673=ADVANCED_FACE('',(#13672),#13666,.F.); +#13674=CARTESIAN_POINT('',(6.35E1,0.E0,-3.8E-1)); +#13675=AXIS2_PLACEMENT_3D('',#13674,#2100,#84); +#13676=PLANE('',#13675); +#13677=ORIENTED_EDGE('',*,*,#19614,.F.); +#13678=ORIENTED_EDGE('',*,*,#19617,.F.); +#13679=ORIENTED_EDGE('',*,*,#19619,.F.); +#13680=ORIENTED_EDGE('',*,*,#19620,.F.); +#13681=EDGE_LOOP('',(#13677,#13678,#13679,#13680)); +#13682=FACE_OUTER_BOUND('',#13681,.F.); +#13683=ADVANCED_FACE('',(#13682),#13676,.T.); +#13684=CARTESIAN_POINT('',(2.6115E1,3.81E0,-1.9E-1)); +#13685=AXIS2_PLACEMENT_3D('',#13684,#12431,#72); +#13686=PLANE('',#13685); +#13687=ORIENTED_EDGE('',*,*,#17696,.F.); +#13688=ORIENTED_EDGE('',*,*,#19621,.F.); +#13689=ORIENTED_EDGE('',*,*,#19622,.T.); +#13690=ORIENTED_EDGE('',*,*,#19623,.T.); +#13691=EDGE_LOOP('',(#13687,#13688,#13689,#13690)); +#13692=FACE_OUTER_BOUND('',#13691,.F.); +#13693=ADVANCED_FACE('',(#13692),#13686,.F.); +#13694=CARTESIAN_POINT('',(2.667E1,4.3625E0,-1.9E-1)); +#13695=AXIS2_PLACEMENT_3D('',#13694,#12442,#76); +#13696=PLANE('',#13695); +#13697=ORIENTED_EDGE('',*,*,#17699,.F.); +#13698=ORIENTED_EDGE('',*,*,#19624,.F.); +#13699=ORIENTED_EDGE('',*,*,#19625,.T.); +#13700=ORIENTED_EDGE('',*,*,#19621,.T.); +#13701=EDGE_LOOP('',(#13697,#13698,#13699,#13700)); +#13702=FACE_OUTER_BOUND('',#13701,.F.); +#13703=ADVANCED_FACE('',(#13702),#13696,.F.); +#13704=CARTESIAN_POINT('',(2.7225E1,3.81E0,-1.9E-1)); +#13705=AXIS2_PLACEMENT_3D('',#13704,#12453,#80); +#13706=PLANE('',#13705); +#13707=ORIENTED_EDGE('',*,*,#17698,.F.); +#13708=ORIENTED_EDGE('',*,*,#19626,.F.); +#13709=ORIENTED_EDGE('',*,*,#19627,.T.); +#13710=ORIENTED_EDGE('',*,*,#19624,.T.); +#13711=EDGE_LOOP('',(#13707,#13708,#13709,#13710)); +#13712=FACE_OUTER_BOUND('',#13711,.F.); +#13713=ADVANCED_FACE('',(#13712),#13706,.F.); +#13714=CARTESIAN_POINT('',(2.667E1,3.2575E0,-1.9E-1)); +#13715=AXIS2_PLACEMENT_3D('',#13714,#12464,#84); +#13716=PLANE('',#13715); +#13717=ORIENTED_EDGE('',*,*,#17697,.F.); +#13718=ORIENTED_EDGE('',*,*,#19623,.F.); +#13719=ORIENTED_EDGE('',*,*,#19628,.T.); +#13720=ORIENTED_EDGE('',*,*,#19626,.T.); +#13721=EDGE_LOOP('',(#13717,#13718,#13719,#13720)); +#13722=FACE_OUTER_BOUND('',#13721,.F.); +#13723=ADVANCED_FACE('',(#13722),#13716,.F.); +#13724=CARTESIAN_POINT('',(6.604E1,0.E0,-3.8E-1)); +#13725=AXIS2_PLACEMENT_3D('',#13724,#2100,#84); +#13726=PLANE('',#13725); +#13727=ORIENTED_EDGE('',*,*,#19622,.F.); +#13728=ORIENTED_EDGE('',*,*,#19625,.F.); +#13729=ORIENTED_EDGE('',*,*,#19627,.F.); +#13730=ORIENTED_EDGE('',*,*,#19628,.F.); +#13731=EDGE_LOOP('',(#13727,#13728,#13729,#13730)); +#13732=FACE_OUTER_BOUND('',#13731,.F.); +#13733=ADVANCED_FACE('',(#13732),#13726,.T.); +#13734=CARTESIAN_POINT('',(2.8655E1,3.81E0,-1.9E-1)); +#13735=AXIS2_PLACEMENT_3D('',#13734,#12431,#72); +#13736=PLANE('',#13735); +#13737=ORIENTED_EDGE('',*,*,#17700,.F.); +#13738=ORIENTED_EDGE('',*,*,#19629,.F.); +#13739=ORIENTED_EDGE('',*,*,#19630,.T.); +#13740=ORIENTED_EDGE('',*,*,#19631,.T.); +#13741=EDGE_LOOP('',(#13737,#13738,#13739,#13740)); +#13742=FACE_OUTER_BOUND('',#13741,.F.); +#13743=ADVANCED_FACE('',(#13742),#13736,.F.); +#13744=CARTESIAN_POINT('',(2.921E1,4.3625E0,-1.9E-1)); +#13745=AXIS2_PLACEMENT_3D('',#13744,#12442,#76); +#13746=PLANE('',#13745); +#13747=ORIENTED_EDGE('',*,*,#17703,.F.); +#13748=ORIENTED_EDGE('',*,*,#19632,.F.); +#13749=ORIENTED_EDGE('',*,*,#19633,.T.); +#13750=ORIENTED_EDGE('',*,*,#19629,.T.); +#13751=EDGE_LOOP('',(#13747,#13748,#13749,#13750)); +#13752=FACE_OUTER_BOUND('',#13751,.F.); +#13753=ADVANCED_FACE('',(#13752),#13746,.F.); +#13754=CARTESIAN_POINT('',(2.9765E1,3.81E0,-1.9E-1)); +#13755=AXIS2_PLACEMENT_3D('',#13754,#12453,#80); +#13756=PLANE('',#13755); +#13757=ORIENTED_EDGE('',*,*,#17702,.F.); +#13758=ORIENTED_EDGE('',*,*,#19634,.F.); +#13759=ORIENTED_EDGE('',*,*,#19635,.T.); +#13760=ORIENTED_EDGE('',*,*,#19632,.T.); +#13761=EDGE_LOOP('',(#13757,#13758,#13759,#13760)); +#13762=FACE_OUTER_BOUND('',#13761,.F.); +#13763=ADVANCED_FACE('',(#13762),#13756,.F.); +#13764=CARTESIAN_POINT('',(2.921E1,3.2575E0,-1.9E-1)); +#13765=AXIS2_PLACEMENT_3D('',#13764,#12464,#84); +#13766=PLANE('',#13765); +#13767=ORIENTED_EDGE('',*,*,#17701,.F.); +#13768=ORIENTED_EDGE('',*,*,#19631,.F.); +#13769=ORIENTED_EDGE('',*,*,#19636,.T.); +#13770=ORIENTED_EDGE('',*,*,#19634,.T.); +#13771=EDGE_LOOP('',(#13767,#13768,#13769,#13770)); +#13772=FACE_OUTER_BOUND('',#13771,.F.); +#13773=ADVANCED_FACE('',(#13772),#13766,.F.); +#13774=CARTESIAN_POINT('',(6.858E1,0.E0,-3.8E-1)); +#13775=AXIS2_PLACEMENT_3D('',#13774,#2100,#84); +#13776=PLANE('',#13775); +#13777=ORIENTED_EDGE('',*,*,#19630,.F.); +#13778=ORIENTED_EDGE('',*,*,#19633,.F.); +#13779=ORIENTED_EDGE('',*,*,#19635,.F.); +#13780=ORIENTED_EDGE('',*,*,#19636,.F.); +#13781=EDGE_LOOP('',(#13777,#13778,#13779,#13780)); +#13782=FACE_OUTER_BOUND('',#13781,.F.); +#13783=ADVANCED_FACE('',(#13782),#13776,.T.); +#13784=CARTESIAN_POINT('',(3.1195E1,3.81E0,-1.9E-1)); +#13785=AXIS2_PLACEMENT_3D('',#13784,#12431,#72); +#13786=PLANE('',#13785); +#13787=ORIENTED_EDGE('',*,*,#17704,.F.); +#13788=ORIENTED_EDGE('',*,*,#19637,.F.); +#13789=ORIENTED_EDGE('',*,*,#19638,.T.); +#13790=ORIENTED_EDGE('',*,*,#19639,.T.); +#13791=EDGE_LOOP('',(#13787,#13788,#13789,#13790)); +#13792=FACE_OUTER_BOUND('',#13791,.F.); +#13793=ADVANCED_FACE('',(#13792),#13786,.F.); +#13794=CARTESIAN_POINT('',(3.175E1,4.3625E0,-1.9E-1)); +#13795=AXIS2_PLACEMENT_3D('',#13794,#12442,#76); +#13796=PLANE('',#13795); +#13797=ORIENTED_EDGE('',*,*,#17707,.F.); +#13798=ORIENTED_EDGE('',*,*,#19640,.F.); +#13799=ORIENTED_EDGE('',*,*,#19641,.T.); +#13800=ORIENTED_EDGE('',*,*,#19637,.T.); +#13801=EDGE_LOOP('',(#13797,#13798,#13799,#13800)); +#13802=FACE_OUTER_BOUND('',#13801,.F.); +#13803=ADVANCED_FACE('',(#13802),#13796,.F.); +#13804=CARTESIAN_POINT('',(3.2305E1,3.81E0,-1.9E-1)); +#13805=AXIS2_PLACEMENT_3D('',#13804,#12453,#80); +#13806=PLANE('',#13805); +#13807=ORIENTED_EDGE('',*,*,#17706,.F.); +#13808=ORIENTED_EDGE('',*,*,#19642,.F.); +#13809=ORIENTED_EDGE('',*,*,#19643,.T.); +#13810=ORIENTED_EDGE('',*,*,#19640,.T.); +#13811=EDGE_LOOP('',(#13807,#13808,#13809,#13810)); +#13812=FACE_OUTER_BOUND('',#13811,.F.); +#13813=ADVANCED_FACE('',(#13812),#13806,.F.); +#13814=CARTESIAN_POINT('',(3.175E1,3.2575E0,-1.9E-1)); +#13815=AXIS2_PLACEMENT_3D('',#13814,#12464,#84); +#13816=PLANE('',#13815); +#13817=ORIENTED_EDGE('',*,*,#17705,.F.); +#13818=ORIENTED_EDGE('',*,*,#19639,.F.); +#13819=ORIENTED_EDGE('',*,*,#19644,.T.); +#13820=ORIENTED_EDGE('',*,*,#19642,.T.); +#13821=EDGE_LOOP('',(#13817,#13818,#13819,#13820)); +#13822=FACE_OUTER_BOUND('',#13821,.F.); +#13823=ADVANCED_FACE('',(#13822),#13816,.F.); +#13824=CARTESIAN_POINT('',(7.112E1,0.E0,-3.8E-1)); +#13825=AXIS2_PLACEMENT_3D('',#13824,#2100,#84); +#13826=PLANE('',#13825); +#13827=ORIENTED_EDGE('',*,*,#19638,.F.); +#13828=ORIENTED_EDGE('',*,*,#19641,.F.); +#13829=ORIENTED_EDGE('',*,*,#19643,.F.); +#13830=ORIENTED_EDGE('',*,*,#19644,.F.); +#13831=EDGE_LOOP('',(#13827,#13828,#13829,#13830)); +#13832=FACE_OUTER_BOUND('',#13831,.F.); +#13833=ADVANCED_FACE('',(#13832),#13826,.T.); +#13834=CARTESIAN_POINT('',(3.3735E1,3.81E0,-1.9E-1)); +#13835=AXIS2_PLACEMENT_3D('',#13834,#12431,#72); +#13836=PLANE('',#13835); +#13837=ORIENTED_EDGE('',*,*,#17708,.F.); +#13838=ORIENTED_EDGE('',*,*,#19645,.F.); +#13839=ORIENTED_EDGE('',*,*,#19646,.T.); +#13840=ORIENTED_EDGE('',*,*,#19647,.T.); +#13841=EDGE_LOOP('',(#13837,#13838,#13839,#13840)); +#13842=FACE_OUTER_BOUND('',#13841,.F.); +#13843=ADVANCED_FACE('',(#13842),#13836,.F.); +#13844=CARTESIAN_POINT('',(3.429E1,4.3625E0,-1.9E-1)); +#13845=AXIS2_PLACEMENT_3D('',#13844,#12442,#76); +#13846=PLANE('',#13845); +#13847=ORIENTED_EDGE('',*,*,#17711,.F.); +#13848=ORIENTED_EDGE('',*,*,#19648,.F.); +#13849=ORIENTED_EDGE('',*,*,#19649,.T.); +#13850=ORIENTED_EDGE('',*,*,#19645,.T.); +#13851=EDGE_LOOP('',(#13847,#13848,#13849,#13850)); +#13852=FACE_OUTER_BOUND('',#13851,.F.); +#13853=ADVANCED_FACE('',(#13852),#13846,.F.); +#13854=CARTESIAN_POINT('',(3.4845E1,3.81E0,-1.9E-1)); +#13855=AXIS2_PLACEMENT_3D('',#13854,#12453,#80); +#13856=PLANE('',#13855); +#13857=ORIENTED_EDGE('',*,*,#17710,.F.); +#13858=ORIENTED_EDGE('',*,*,#19650,.F.); +#13859=ORIENTED_EDGE('',*,*,#19651,.T.); +#13860=ORIENTED_EDGE('',*,*,#19648,.T.); +#13861=EDGE_LOOP('',(#13857,#13858,#13859,#13860)); +#13862=FACE_OUTER_BOUND('',#13861,.F.); +#13863=ADVANCED_FACE('',(#13862),#13856,.F.); +#13864=CARTESIAN_POINT('',(3.429E1,3.2575E0,-1.9E-1)); +#13865=AXIS2_PLACEMENT_3D('',#13864,#12464,#84); +#13866=PLANE('',#13865); +#13867=ORIENTED_EDGE('',*,*,#17709,.F.); +#13868=ORIENTED_EDGE('',*,*,#19647,.F.); +#13869=ORIENTED_EDGE('',*,*,#19652,.T.); +#13870=ORIENTED_EDGE('',*,*,#19650,.T.); +#13871=EDGE_LOOP('',(#13867,#13868,#13869,#13870)); +#13872=FACE_OUTER_BOUND('',#13871,.F.); +#13873=ADVANCED_FACE('',(#13872),#13866,.F.); +#13874=CARTESIAN_POINT('',(7.366E1,0.E0,-3.8E-1)); +#13875=AXIS2_PLACEMENT_3D('',#13874,#2100,#84); +#13876=PLANE('',#13875); +#13877=ORIENTED_EDGE('',*,*,#19646,.F.); +#13878=ORIENTED_EDGE('',*,*,#19649,.F.); +#13879=ORIENTED_EDGE('',*,*,#19651,.F.); +#13880=ORIENTED_EDGE('',*,*,#19652,.F.); +#13881=EDGE_LOOP('',(#13877,#13878,#13879,#13880)); +#13882=FACE_OUTER_BOUND('',#13881,.F.); +#13883=ADVANCED_FACE('',(#13882),#13876,.T.); +#13884=CARTESIAN_POINT('',(3.6275E1,3.81E0,-1.9E-1)); +#13885=AXIS2_PLACEMENT_3D('',#13884,#12431,#72); +#13886=PLANE('',#13885); +#13887=ORIENTED_EDGE('',*,*,#17712,.F.); +#13888=ORIENTED_EDGE('',*,*,#19653,.F.); +#13889=ORIENTED_EDGE('',*,*,#19654,.T.); +#13890=ORIENTED_EDGE('',*,*,#19655,.T.); +#13891=EDGE_LOOP('',(#13887,#13888,#13889,#13890)); +#13892=FACE_OUTER_BOUND('',#13891,.F.); +#13893=ADVANCED_FACE('',(#13892),#13886,.F.); +#13894=CARTESIAN_POINT('',(3.683E1,4.3625E0,-1.9E-1)); +#13895=AXIS2_PLACEMENT_3D('',#13894,#12442,#76); +#13896=PLANE('',#13895); +#13897=ORIENTED_EDGE('',*,*,#17715,.F.); +#13898=ORIENTED_EDGE('',*,*,#19656,.F.); +#13899=ORIENTED_EDGE('',*,*,#19657,.T.); +#13900=ORIENTED_EDGE('',*,*,#19653,.T.); +#13901=EDGE_LOOP('',(#13897,#13898,#13899,#13900)); +#13902=FACE_OUTER_BOUND('',#13901,.F.); +#13903=ADVANCED_FACE('',(#13902),#13896,.F.); +#13904=CARTESIAN_POINT('',(3.7385E1,3.81E0,-1.9E-1)); +#13905=AXIS2_PLACEMENT_3D('',#13904,#12453,#80); +#13906=PLANE('',#13905); +#13907=ORIENTED_EDGE('',*,*,#17714,.F.); +#13908=ORIENTED_EDGE('',*,*,#19658,.F.); +#13909=ORIENTED_EDGE('',*,*,#19659,.T.); +#13910=ORIENTED_EDGE('',*,*,#19656,.T.); +#13911=EDGE_LOOP('',(#13907,#13908,#13909,#13910)); +#13912=FACE_OUTER_BOUND('',#13911,.F.); +#13913=ADVANCED_FACE('',(#13912),#13906,.F.); +#13914=CARTESIAN_POINT('',(3.683E1,3.2575E0,-1.9E-1)); +#13915=AXIS2_PLACEMENT_3D('',#13914,#12464,#84); +#13916=PLANE('',#13915); +#13917=ORIENTED_EDGE('',*,*,#17713,.F.); +#13918=ORIENTED_EDGE('',*,*,#19655,.F.); +#13919=ORIENTED_EDGE('',*,*,#19660,.T.); +#13920=ORIENTED_EDGE('',*,*,#19658,.T.); +#13921=EDGE_LOOP('',(#13917,#13918,#13919,#13920)); +#13922=FACE_OUTER_BOUND('',#13921,.F.); +#13923=ADVANCED_FACE('',(#13922),#13916,.F.); +#13924=CARTESIAN_POINT('',(7.62E1,0.E0,-3.8E-1)); +#13925=AXIS2_PLACEMENT_3D('',#13924,#2100,#84); +#13926=PLANE('',#13925); +#13927=ORIENTED_EDGE('',*,*,#19654,.F.); +#13928=ORIENTED_EDGE('',*,*,#19657,.F.); +#13929=ORIENTED_EDGE('',*,*,#19659,.F.); +#13930=ORIENTED_EDGE('',*,*,#19660,.F.); +#13931=EDGE_LOOP('',(#13927,#13928,#13929,#13930)); +#13932=FACE_OUTER_BOUND('',#13931,.F.); +#13933=ADVANCED_FACE('',(#13932),#13926,.T.); +#13934=CARTESIAN_POINT('',(3.8815E1,3.81E0,-1.9E-1)); +#13935=AXIS2_PLACEMENT_3D('',#13934,#12431,#72); +#13936=PLANE('',#13935); +#13937=ORIENTED_EDGE('',*,*,#17716,.F.); +#13938=ORIENTED_EDGE('',*,*,#19661,.F.); +#13939=ORIENTED_EDGE('',*,*,#19662,.T.); +#13940=ORIENTED_EDGE('',*,*,#19663,.T.); +#13941=EDGE_LOOP('',(#13937,#13938,#13939,#13940)); +#13942=FACE_OUTER_BOUND('',#13941,.F.); +#13943=ADVANCED_FACE('',(#13942),#13936,.F.); +#13944=CARTESIAN_POINT('',(3.937E1,4.3625E0,-1.9E-1)); +#13945=AXIS2_PLACEMENT_3D('',#13944,#12442,#76); +#13946=PLANE('',#13945); +#13947=ORIENTED_EDGE('',*,*,#17719,.F.); +#13948=ORIENTED_EDGE('',*,*,#19664,.F.); +#13949=ORIENTED_EDGE('',*,*,#19665,.T.); +#13950=ORIENTED_EDGE('',*,*,#19661,.T.); +#13951=EDGE_LOOP('',(#13947,#13948,#13949,#13950)); +#13952=FACE_OUTER_BOUND('',#13951,.F.); +#13953=ADVANCED_FACE('',(#13952),#13946,.F.); +#13954=CARTESIAN_POINT('',(3.9925E1,3.81E0,-1.9E-1)); +#13955=AXIS2_PLACEMENT_3D('',#13954,#12453,#80); +#13956=PLANE('',#13955); +#13957=ORIENTED_EDGE('',*,*,#17718,.F.); +#13958=ORIENTED_EDGE('',*,*,#19666,.F.); +#13959=ORIENTED_EDGE('',*,*,#19667,.T.); +#13960=ORIENTED_EDGE('',*,*,#19664,.T.); +#13961=EDGE_LOOP('',(#13957,#13958,#13959,#13960)); +#13962=FACE_OUTER_BOUND('',#13961,.F.); +#13963=ADVANCED_FACE('',(#13962),#13956,.F.); +#13964=CARTESIAN_POINT('',(3.937E1,3.2575E0,-1.9E-1)); +#13965=AXIS2_PLACEMENT_3D('',#13964,#12464,#84); +#13966=PLANE('',#13965); +#13967=ORIENTED_EDGE('',*,*,#17717,.F.); +#13968=ORIENTED_EDGE('',*,*,#19663,.F.); +#13969=ORIENTED_EDGE('',*,*,#19668,.T.); +#13970=ORIENTED_EDGE('',*,*,#19666,.T.); +#13971=EDGE_LOOP('',(#13967,#13968,#13969,#13970)); +#13972=FACE_OUTER_BOUND('',#13971,.F.); +#13973=ADVANCED_FACE('',(#13972),#13966,.F.); +#13974=CARTESIAN_POINT('',(7.874E1,0.E0,-3.8E-1)); +#13975=AXIS2_PLACEMENT_3D('',#13974,#2100,#84); +#13976=PLANE('',#13975); +#13977=ORIENTED_EDGE('',*,*,#19662,.F.); +#13978=ORIENTED_EDGE('',*,*,#19665,.F.); +#13979=ORIENTED_EDGE('',*,*,#19667,.F.); +#13980=ORIENTED_EDGE('',*,*,#19668,.F.); +#13981=EDGE_LOOP('',(#13977,#13978,#13979,#13980)); +#13982=FACE_OUTER_BOUND('',#13981,.F.); +#13983=ADVANCED_FACE('',(#13982),#13976,.T.); +#13984=CARTESIAN_POINT('',(-3.9925E1,1.27E0,-1.9E-1)); +#13985=AXIS2_PLACEMENT_3D('',#13984,#12431,#72); +#13986=PLANE('',#13985); +#13987=ORIENTED_EDGE('',*,*,#17720,.F.); +#13988=ORIENTED_EDGE('',*,*,#19669,.F.); +#13989=ORIENTED_EDGE('',*,*,#19670,.T.); +#13990=ORIENTED_EDGE('',*,*,#19671,.T.); +#13991=EDGE_LOOP('',(#13987,#13988,#13989,#13990)); +#13992=FACE_OUTER_BOUND('',#13991,.F.); +#13993=ADVANCED_FACE('',(#13992),#13986,.F.); +#13994=CARTESIAN_POINT('',(-3.937E1,1.8225E0,-1.9E-1)); +#13995=AXIS2_PLACEMENT_3D('',#13994,#12442,#76); +#13996=PLANE('',#13995); +#13997=ORIENTED_EDGE('',*,*,#17723,.F.); +#13998=ORIENTED_EDGE('',*,*,#19672,.F.); +#13999=ORIENTED_EDGE('',*,*,#19673,.T.); +#14000=ORIENTED_EDGE('',*,*,#19669,.T.); +#14001=EDGE_LOOP('',(#13997,#13998,#13999,#14000)); +#14002=FACE_OUTER_BOUND('',#14001,.F.); +#14003=ADVANCED_FACE('',(#14002),#13996,.F.); +#14004=CARTESIAN_POINT('',(-3.8815E1,1.27E0,-1.9E-1)); +#14005=AXIS2_PLACEMENT_3D('',#14004,#12453,#80); +#14006=PLANE('',#14005); +#14007=ORIENTED_EDGE('',*,*,#17722,.F.); +#14008=ORIENTED_EDGE('',*,*,#19674,.F.); +#14009=ORIENTED_EDGE('',*,*,#19675,.T.); +#14010=ORIENTED_EDGE('',*,*,#19672,.T.); +#14011=EDGE_LOOP('',(#14007,#14008,#14009,#14010)); +#14012=FACE_OUTER_BOUND('',#14011,.F.); +#14013=ADVANCED_FACE('',(#14012),#14006,.F.); +#14014=CARTESIAN_POINT('',(-3.937E1,7.175E-1,-1.9E-1)); +#14015=AXIS2_PLACEMENT_3D('',#14014,#12464,#84); +#14016=PLANE('',#14015); +#14017=ORIENTED_EDGE('',*,*,#17721,.F.); +#14018=ORIENTED_EDGE('',*,*,#19671,.F.); +#14019=ORIENTED_EDGE('',*,*,#19676,.T.); +#14020=ORIENTED_EDGE('',*,*,#19674,.T.); +#14021=EDGE_LOOP('',(#14017,#14018,#14019,#14020)); +#14022=FACE_OUTER_BOUND('',#14021,.F.); +#14023=ADVANCED_FACE('',(#14022),#14016,.F.); +#14024=CARTESIAN_POINT('',(0.E0,-2.54E0,-3.8E-1)); +#14025=AXIS2_PLACEMENT_3D('',#14024,#2100,#84); +#14026=PLANE('',#14025); +#14027=ORIENTED_EDGE('',*,*,#19670,.F.); +#14028=ORIENTED_EDGE('',*,*,#19673,.F.); +#14029=ORIENTED_EDGE('',*,*,#19675,.F.); +#14030=ORIENTED_EDGE('',*,*,#19676,.F.); +#14031=EDGE_LOOP('',(#14027,#14028,#14029,#14030)); +#14032=FACE_OUTER_BOUND('',#14031,.F.); +#14033=ADVANCED_FACE('',(#14032),#14026,.T.); +#14034=CARTESIAN_POINT('',(-3.7385E1,1.27E0,-1.9E-1)); +#14035=AXIS2_PLACEMENT_3D('',#14034,#12431,#72); +#14036=PLANE('',#14035); +#14037=ORIENTED_EDGE('',*,*,#17724,.F.); +#14038=ORIENTED_EDGE('',*,*,#19677,.F.); +#14039=ORIENTED_EDGE('',*,*,#19678,.T.); +#14040=ORIENTED_EDGE('',*,*,#19679,.T.); +#14041=EDGE_LOOP('',(#14037,#14038,#14039,#14040)); +#14042=FACE_OUTER_BOUND('',#14041,.F.); +#14043=ADVANCED_FACE('',(#14042),#14036,.F.); +#14044=CARTESIAN_POINT('',(-3.683E1,1.8225E0,-1.9E-1)); +#14045=AXIS2_PLACEMENT_3D('',#14044,#12442,#76); +#14046=PLANE('',#14045); +#14047=ORIENTED_EDGE('',*,*,#17727,.F.); +#14048=ORIENTED_EDGE('',*,*,#19680,.F.); +#14049=ORIENTED_EDGE('',*,*,#19681,.T.); +#14050=ORIENTED_EDGE('',*,*,#19677,.T.); +#14051=EDGE_LOOP('',(#14047,#14048,#14049,#14050)); +#14052=FACE_OUTER_BOUND('',#14051,.F.); +#14053=ADVANCED_FACE('',(#14052),#14046,.F.); +#14054=CARTESIAN_POINT('',(-3.6275E1,1.27E0,-1.9E-1)); +#14055=AXIS2_PLACEMENT_3D('',#14054,#12453,#80); +#14056=PLANE('',#14055); +#14057=ORIENTED_EDGE('',*,*,#17726,.F.); +#14058=ORIENTED_EDGE('',*,*,#19682,.F.); +#14059=ORIENTED_EDGE('',*,*,#19683,.T.); +#14060=ORIENTED_EDGE('',*,*,#19680,.T.); +#14061=EDGE_LOOP('',(#14057,#14058,#14059,#14060)); +#14062=FACE_OUTER_BOUND('',#14061,.F.); +#14063=ADVANCED_FACE('',(#14062),#14056,.F.); +#14064=CARTESIAN_POINT('',(-3.683E1,7.175E-1,-1.9E-1)); +#14065=AXIS2_PLACEMENT_3D('',#14064,#12464,#84); +#14066=PLANE('',#14065); +#14067=ORIENTED_EDGE('',*,*,#17725,.F.); +#14068=ORIENTED_EDGE('',*,*,#19679,.F.); +#14069=ORIENTED_EDGE('',*,*,#19684,.T.); +#14070=ORIENTED_EDGE('',*,*,#19682,.T.); +#14071=EDGE_LOOP('',(#14067,#14068,#14069,#14070)); +#14072=FACE_OUTER_BOUND('',#14071,.F.); +#14073=ADVANCED_FACE('',(#14072),#14066,.F.); +#14074=CARTESIAN_POINT('',(2.54E0,-2.54E0,-3.8E-1)); +#14075=AXIS2_PLACEMENT_3D('',#14074,#2100,#84); +#14076=PLANE('',#14075); +#14077=ORIENTED_EDGE('',*,*,#19678,.F.); +#14078=ORIENTED_EDGE('',*,*,#19681,.F.); +#14079=ORIENTED_EDGE('',*,*,#19683,.F.); +#14080=ORIENTED_EDGE('',*,*,#19684,.F.); +#14081=EDGE_LOOP('',(#14077,#14078,#14079,#14080)); +#14082=FACE_OUTER_BOUND('',#14081,.F.); +#14083=ADVANCED_FACE('',(#14082),#14076,.T.); +#14084=CARTESIAN_POINT('',(-3.4845E1,1.27E0,-1.9E-1)); +#14085=AXIS2_PLACEMENT_3D('',#14084,#12431,#72); +#14086=PLANE('',#14085); +#14087=ORIENTED_EDGE('',*,*,#17728,.F.); +#14088=ORIENTED_EDGE('',*,*,#19685,.F.); +#14089=ORIENTED_EDGE('',*,*,#19686,.T.); +#14090=ORIENTED_EDGE('',*,*,#19687,.T.); +#14091=EDGE_LOOP('',(#14087,#14088,#14089,#14090)); +#14092=FACE_OUTER_BOUND('',#14091,.F.); +#14093=ADVANCED_FACE('',(#14092),#14086,.F.); +#14094=CARTESIAN_POINT('',(-3.429E1,1.8225E0,-1.9E-1)); +#14095=AXIS2_PLACEMENT_3D('',#14094,#12442,#76); +#14096=PLANE('',#14095); +#14097=ORIENTED_EDGE('',*,*,#17731,.F.); +#14098=ORIENTED_EDGE('',*,*,#19688,.F.); +#14099=ORIENTED_EDGE('',*,*,#19689,.T.); +#14100=ORIENTED_EDGE('',*,*,#19685,.T.); +#14101=EDGE_LOOP('',(#14097,#14098,#14099,#14100)); +#14102=FACE_OUTER_BOUND('',#14101,.F.); +#14103=ADVANCED_FACE('',(#14102),#14096,.F.); +#14104=CARTESIAN_POINT('',(-3.3735E1,1.27E0,-1.9E-1)); +#14105=AXIS2_PLACEMENT_3D('',#14104,#12453,#80); +#14106=PLANE('',#14105); +#14107=ORIENTED_EDGE('',*,*,#17730,.F.); +#14108=ORIENTED_EDGE('',*,*,#19690,.F.); +#14109=ORIENTED_EDGE('',*,*,#19691,.T.); +#14110=ORIENTED_EDGE('',*,*,#19688,.T.); +#14111=EDGE_LOOP('',(#14107,#14108,#14109,#14110)); +#14112=FACE_OUTER_BOUND('',#14111,.F.); +#14113=ADVANCED_FACE('',(#14112),#14106,.F.); +#14114=CARTESIAN_POINT('',(-3.429E1,7.175E-1,-1.9E-1)); +#14115=AXIS2_PLACEMENT_3D('',#14114,#12464,#84); +#14116=PLANE('',#14115); +#14117=ORIENTED_EDGE('',*,*,#17729,.F.); +#14118=ORIENTED_EDGE('',*,*,#19687,.F.); +#14119=ORIENTED_EDGE('',*,*,#19692,.T.); +#14120=ORIENTED_EDGE('',*,*,#19690,.T.); +#14121=EDGE_LOOP('',(#14117,#14118,#14119,#14120)); +#14122=FACE_OUTER_BOUND('',#14121,.F.); +#14123=ADVANCED_FACE('',(#14122),#14116,.F.); +#14124=CARTESIAN_POINT('',(5.08E0,-2.54E0,-3.8E-1)); +#14125=AXIS2_PLACEMENT_3D('',#14124,#2100,#84); +#14126=PLANE('',#14125); +#14127=ORIENTED_EDGE('',*,*,#19686,.F.); +#14128=ORIENTED_EDGE('',*,*,#19689,.F.); +#14129=ORIENTED_EDGE('',*,*,#19691,.F.); +#14130=ORIENTED_EDGE('',*,*,#19692,.F.); +#14131=EDGE_LOOP('',(#14127,#14128,#14129,#14130)); +#14132=FACE_OUTER_BOUND('',#14131,.F.); +#14133=ADVANCED_FACE('',(#14132),#14126,.T.); +#14134=CARTESIAN_POINT('',(-3.2305E1,1.27E0,-1.9E-1)); +#14135=AXIS2_PLACEMENT_3D('',#14134,#12431,#72); +#14136=PLANE('',#14135); +#14137=ORIENTED_EDGE('',*,*,#17732,.F.); +#14138=ORIENTED_EDGE('',*,*,#19693,.F.); +#14139=ORIENTED_EDGE('',*,*,#19694,.T.); +#14140=ORIENTED_EDGE('',*,*,#19695,.T.); +#14141=EDGE_LOOP('',(#14137,#14138,#14139,#14140)); +#14142=FACE_OUTER_BOUND('',#14141,.F.); +#14143=ADVANCED_FACE('',(#14142),#14136,.F.); +#14144=CARTESIAN_POINT('',(-3.175E1,1.8225E0,-1.9E-1)); +#14145=AXIS2_PLACEMENT_3D('',#14144,#12442,#76); +#14146=PLANE('',#14145); +#14147=ORIENTED_EDGE('',*,*,#17735,.F.); +#14148=ORIENTED_EDGE('',*,*,#19696,.F.); +#14149=ORIENTED_EDGE('',*,*,#19697,.T.); +#14150=ORIENTED_EDGE('',*,*,#19693,.T.); +#14151=EDGE_LOOP('',(#14147,#14148,#14149,#14150)); +#14152=FACE_OUTER_BOUND('',#14151,.F.); +#14153=ADVANCED_FACE('',(#14152),#14146,.F.); +#14154=CARTESIAN_POINT('',(-3.1195E1,1.27E0,-1.9E-1)); +#14155=AXIS2_PLACEMENT_3D('',#14154,#12453,#80); +#14156=PLANE('',#14155); +#14157=ORIENTED_EDGE('',*,*,#17734,.F.); +#14158=ORIENTED_EDGE('',*,*,#19698,.F.); +#14159=ORIENTED_EDGE('',*,*,#19699,.T.); +#14160=ORIENTED_EDGE('',*,*,#19696,.T.); +#14161=EDGE_LOOP('',(#14157,#14158,#14159,#14160)); +#14162=FACE_OUTER_BOUND('',#14161,.F.); +#14163=ADVANCED_FACE('',(#14162),#14156,.F.); +#14164=CARTESIAN_POINT('',(-3.175E1,7.175E-1,-1.9E-1)); +#14165=AXIS2_PLACEMENT_3D('',#14164,#12464,#84); +#14166=PLANE('',#14165); +#14167=ORIENTED_EDGE('',*,*,#17733,.F.); +#14168=ORIENTED_EDGE('',*,*,#19695,.F.); +#14169=ORIENTED_EDGE('',*,*,#19700,.T.); +#14170=ORIENTED_EDGE('',*,*,#19698,.T.); +#14171=EDGE_LOOP('',(#14167,#14168,#14169,#14170)); +#14172=FACE_OUTER_BOUND('',#14171,.F.); +#14173=ADVANCED_FACE('',(#14172),#14166,.F.); +#14174=CARTESIAN_POINT('',(7.62E0,-2.54E0,-3.8E-1)); +#14175=AXIS2_PLACEMENT_3D('',#14174,#2100,#84); +#14176=PLANE('',#14175); +#14177=ORIENTED_EDGE('',*,*,#19694,.F.); +#14178=ORIENTED_EDGE('',*,*,#19697,.F.); +#14179=ORIENTED_EDGE('',*,*,#19699,.F.); +#14180=ORIENTED_EDGE('',*,*,#19700,.F.); +#14181=EDGE_LOOP('',(#14177,#14178,#14179,#14180)); +#14182=FACE_OUTER_BOUND('',#14181,.F.); +#14183=ADVANCED_FACE('',(#14182),#14176,.T.); +#14184=CARTESIAN_POINT('',(-2.9765E1,1.27E0,-1.9E-1)); +#14185=AXIS2_PLACEMENT_3D('',#14184,#12431,#72); +#14186=PLANE('',#14185); +#14187=ORIENTED_EDGE('',*,*,#17736,.F.); +#14188=ORIENTED_EDGE('',*,*,#19701,.F.); +#14189=ORIENTED_EDGE('',*,*,#19702,.T.); +#14190=ORIENTED_EDGE('',*,*,#19703,.T.); +#14191=EDGE_LOOP('',(#14187,#14188,#14189,#14190)); +#14192=FACE_OUTER_BOUND('',#14191,.F.); +#14193=ADVANCED_FACE('',(#14192),#14186,.F.); +#14194=CARTESIAN_POINT('',(-2.921E1,1.8225E0,-1.9E-1)); +#14195=AXIS2_PLACEMENT_3D('',#14194,#12442,#76); +#14196=PLANE('',#14195); +#14197=ORIENTED_EDGE('',*,*,#17739,.F.); +#14198=ORIENTED_EDGE('',*,*,#19704,.F.); +#14199=ORIENTED_EDGE('',*,*,#19705,.T.); +#14200=ORIENTED_EDGE('',*,*,#19701,.T.); +#14201=EDGE_LOOP('',(#14197,#14198,#14199,#14200)); +#14202=FACE_OUTER_BOUND('',#14201,.F.); +#14203=ADVANCED_FACE('',(#14202),#14196,.F.); +#14204=CARTESIAN_POINT('',(-2.8655E1,1.27E0,-1.9E-1)); +#14205=AXIS2_PLACEMENT_3D('',#14204,#12453,#80); +#14206=PLANE('',#14205); +#14207=ORIENTED_EDGE('',*,*,#17738,.F.); +#14208=ORIENTED_EDGE('',*,*,#19706,.F.); +#14209=ORIENTED_EDGE('',*,*,#19707,.T.); +#14210=ORIENTED_EDGE('',*,*,#19704,.T.); +#14211=EDGE_LOOP('',(#14207,#14208,#14209,#14210)); +#14212=FACE_OUTER_BOUND('',#14211,.F.); +#14213=ADVANCED_FACE('',(#14212),#14206,.F.); +#14214=CARTESIAN_POINT('',(-2.921E1,7.175E-1,-1.9E-1)); +#14215=AXIS2_PLACEMENT_3D('',#14214,#12464,#84); +#14216=PLANE('',#14215); +#14217=ORIENTED_EDGE('',*,*,#17737,.F.); +#14218=ORIENTED_EDGE('',*,*,#19703,.F.); +#14219=ORIENTED_EDGE('',*,*,#19708,.T.); +#14220=ORIENTED_EDGE('',*,*,#19706,.T.); +#14221=EDGE_LOOP('',(#14217,#14218,#14219,#14220)); +#14222=FACE_OUTER_BOUND('',#14221,.F.); +#14223=ADVANCED_FACE('',(#14222),#14216,.F.); +#14224=CARTESIAN_POINT('',(1.016E1,-2.54E0,-3.8E-1)); +#14225=AXIS2_PLACEMENT_3D('',#14224,#2100,#84); +#14226=PLANE('',#14225); +#14227=ORIENTED_EDGE('',*,*,#19702,.F.); +#14228=ORIENTED_EDGE('',*,*,#19705,.F.); +#14229=ORIENTED_EDGE('',*,*,#19707,.F.); +#14230=ORIENTED_EDGE('',*,*,#19708,.F.); +#14231=EDGE_LOOP('',(#14227,#14228,#14229,#14230)); +#14232=FACE_OUTER_BOUND('',#14231,.F.); +#14233=ADVANCED_FACE('',(#14232),#14226,.T.); +#14234=CARTESIAN_POINT('',(-2.7225E1,1.27E0,-1.9E-1)); +#14235=AXIS2_PLACEMENT_3D('',#14234,#12431,#72); +#14236=PLANE('',#14235); +#14237=ORIENTED_EDGE('',*,*,#17740,.F.); +#14238=ORIENTED_EDGE('',*,*,#19709,.F.); +#14239=ORIENTED_EDGE('',*,*,#19710,.T.); +#14240=ORIENTED_EDGE('',*,*,#19711,.T.); +#14241=EDGE_LOOP('',(#14237,#14238,#14239,#14240)); +#14242=FACE_OUTER_BOUND('',#14241,.F.); +#14243=ADVANCED_FACE('',(#14242),#14236,.F.); +#14244=CARTESIAN_POINT('',(-2.667E1,1.8225E0,-1.9E-1)); +#14245=AXIS2_PLACEMENT_3D('',#14244,#12442,#76); +#14246=PLANE('',#14245); +#14247=ORIENTED_EDGE('',*,*,#17743,.F.); +#14248=ORIENTED_EDGE('',*,*,#19712,.F.); +#14249=ORIENTED_EDGE('',*,*,#19713,.T.); +#14250=ORIENTED_EDGE('',*,*,#19709,.T.); +#14251=EDGE_LOOP('',(#14247,#14248,#14249,#14250)); +#14252=FACE_OUTER_BOUND('',#14251,.F.); +#14253=ADVANCED_FACE('',(#14252),#14246,.F.); +#14254=CARTESIAN_POINT('',(-2.6115E1,1.27E0,-1.9E-1)); +#14255=AXIS2_PLACEMENT_3D('',#14254,#12453,#80); +#14256=PLANE('',#14255); +#14257=ORIENTED_EDGE('',*,*,#17742,.F.); +#14258=ORIENTED_EDGE('',*,*,#19714,.F.); +#14259=ORIENTED_EDGE('',*,*,#19715,.T.); +#14260=ORIENTED_EDGE('',*,*,#19712,.T.); +#14261=EDGE_LOOP('',(#14257,#14258,#14259,#14260)); +#14262=FACE_OUTER_BOUND('',#14261,.F.); +#14263=ADVANCED_FACE('',(#14262),#14256,.F.); +#14264=CARTESIAN_POINT('',(-2.667E1,7.175E-1,-1.9E-1)); +#14265=AXIS2_PLACEMENT_3D('',#14264,#12464,#84); +#14266=PLANE('',#14265); +#14267=ORIENTED_EDGE('',*,*,#17741,.F.); +#14268=ORIENTED_EDGE('',*,*,#19711,.F.); +#14269=ORIENTED_EDGE('',*,*,#19716,.T.); +#14270=ORIENTED_EDGE('',*,*,#19714,.T.); +#14271=EDGE_LOOP('',(#14267,#14268,#14269,#14270)); +#14272=FACE_OUTER_BOUND('',#14271,.F.); +#14273=ADVANCED_FACE('',(#14272),#14266,.F.); +#14274=CARTESIAN_POINT('',(1.27E1,-2.54E0,-3.8E-1)); +#14275=AXIS2_PLACEMENT_3D('',#14274,#2100,#84); +#14276=PLANE('',#14275); +#14277=ORIENTED_EDGE('',*,*,#19710,.F.); +#14278=ORIENTED_EDGE('',*,*,#19713,.F.); +#14279=ORIENTED_EDGE('',*,*,#19715,.F.); +#14280=ORIENTED_EDGE('',*,*,#19716,.F.); +#14281=EDGE_LOOP('',(#14277,#14278,#14279,#14280)); +#14282=FACE_OUTER_BOUND('',#14281,.F.); +#14283=ADVANCED_FACE('',(#14282),#14276,.T.); +#14284=CARTESIAN_POINT('',(-2.4685E1,1.27E0,-1.9E-1)); +#14285=AXIS2_PLACEMENT_3D('',#14284,#12431,#72); +#14286=PLANE('',#14285); +#14287=ORIENTED_EDGE('',*,*,#17744,.F.); +#14288=ORIENTED_EDGE('',*,*,#19717,.F.); +#14289=ORIENTED_EDGE('',*,*,#19718,.T.); +#14290=ORIENTED_EDGE('',*,*,#19719,.T.); +#14291=EDGE_LOOP('',(#14287,#14288,#14289,#14290)); +#14292=FACE_OUTER_BOUND('',#14291,.F.); +#14293=ADVANCED_FACE('',(#14292),#14286,.F.); +#14294=CARTESIAN_POINT('',(-2.413E1,1.8225E0,-1.9E-1)); +#14295=AXIS2_PLACEMENT_3D('',#14294,#12442,#76); +#14296=PLANE('',#14295); +#14297=ORIENTED_EDGE('',*,*,#17747,.F.); +#14298=ORIENTED_EDGE('',*,*,#19720,.F.); +#14299=ORIENTED_EDGE('',*,*,#19721,.T.); +#14300=ORIENTED_EDGE('',*,*,#19717,.T.); +#14301=EDGE_LOOP('',(#14297,#14298,#14299,#14300)); +#14302=FACE_OUTER_BOUND('',#14301,.F.); +#14303=ADVANCED_FACE('',(#14302),#14296,.F.); +#14304=CARTESIAN_POINT('',(-2.3575E1,1.27E0,-1.9E-1)); +#14305=AXIS2_PLACEMENT_3D('',#14304,#12453,#80); +#14306=PLANE('',#14305); +#14307=ORIENTED_EDGE('',*,*,#17746,.F.); +#14308=ORIENTED_EDGE('',*,*,#19722,.F.); +#14309=ORIENTED_EDGE('',*,*,#19723,.T.); +#14310=ORIENTED_EDGE('',*,*,#19720,.T.); +#14311=EDGE_LOOP('',(#14307,#14308,#14309,#14310)); +#14312=FACE_OUTER_BOUND('',#14311,.F.); +#14313=ADVANCED_FACE('',(#14312),#14306,.F.); +#14314=CARTESIAN_POINT('',(-2.413E1,7.175E-1,-1.9E-1)); +#14315=AXIS2_PLACEMENT_3D('',#14314,#12464,#84); +#14316=PLANE('',#14315); +#14317=ORIENTED_EDGE('',*,*,#17745,.F.); +#14318=ORIENTED_EDGE('',*,*,#19719,.F.); +#14319=ORIENTED_EDGE('',*,*,#19724,.T.); +#14320=ORIENTED_EDGE('',*,*,#19722,.T.); +#14321=EDGE_LOOP('',(#14317,#14318,#14319,#14320)); +#14322=FACE_OUTER_BOUND('',#14321,.F.); +#14323=ADVANCED_FACE('',(#14322),#14316,.F.); +#14324=CARTESIAN_POINT('',(1.524E1,-2.54E0,-3.8E-1)); +#14325=AXIS2_PLACEMENT_3D('',#14324,#2100,#84); +#14326=PLANE('',#14325); +#14327=ORIENTED_EDGE('',*,*,#19718,.F.); +#14328=ORIENTED_EDGE('',*,*,#19721,.F.); +#14329=ORIENTED_EDGE('',*,*,#19723,.F.); +#14330=ORIENTED_EDGE('',*,*,#19724,.F.); +#14331=EDGE_LOOP('',(#14327,#14328,#14329,#14330)); +#14332=FACE_OUTER_BOUND('',#14331,.F.); +#14333=ADVANCED_FACE('',(#14332),#14326,.T.); +#14334=CARTESIAN_POINT('',(-2.2145E1,1.27E0,-1.9E-1)); +#14335=AXIS2_PLACEMENT_3D('',#14334,#12431,#72); +#14336=PLANE('',#14335); +#14337=ORIENTED_EDGE('',*,*,#17748,.F.); +#14338=ORIENTED_EDGE('',*,*,#19725,.F.); +#14339=ORIENTED_EDGE('',*,*,#19726,.T.); +#14340=ORIENTED_EDGE('',*,*,#19727,.T.); +#14341=EDGE_LOOP('',(#14337,#14338,#14339,#14340)); +#14342=FACE_OUTER_BOUND('',#14341,.F.); +#14343=ADVANCED_FACE('',(#14342),#14336,.F.); +#14344=CARTESIAN_POINT('',(-2.159E1,1.8225E0,-1.9E-1)); +#14345=AXIS2_PLACEMENT_3D('',#14344,#12442,#76); +#14346=PLANE('',#14345); +#14347=ORIENTED_EDGE('',*,*,#17751,.F.); +#14348=ORIENTED_EDGE('',*,*,#19728,.F.); +#14349=ORIENTED_EDGE('',*,*,#19729,.T.); +#14350=ORIENTED_EDGE('',*,*,#19725,.T.); +#14351=EDGE_LOOP('',(#14347,#14348,#14349,#14350)); +#14352=FACE_OUTER_BOUND('',#14351,.F.); +#14353=ADVANCED_FACE('',(#14352),#14346,.F.); +#14354=CARTESIAN_POINT('',(-2.1035E1,1.27E0,-1.9E-1)); +#14355=AXIS2_PLACEMENT_3D('',#14354,#12453,#80); +#14356=PLANE('',#14355); +#14357=ORIENTED_EDGE('',*,*,#17750,.F.); +#14358=ORIENTED_EDGE('',*,*,#19730,.F.); +#14359=ORIENTED_EDGE('',*,*,#19731,.T.); +#14360=ORIENTED_EDGE('',*,*,#19728,.T.); +#14361=EDGE_LOOP('',(#14357,#14358,#14359,#14360)); +#14362=FACE_OUTER_BOUND('',#14361,.F.); +#14363=ADVANCED_FACE('',(#14362),#14356,.F.); +#14364=CARTESIAN_POINT('',(-2.159E1,7.175E-1,-1.9E-1)); +#14365=AXIS2_PLACEMENT_3D('',#14364,#12464,#84); +#14366=PLANE('',#14365); +#14367=ORIENTED_EDGE('',*,*,#17749,.F.); +#14368=ORIENTED_EDGE('',*,*,#19727,.F.); +#14369=ORIENTED_EDGE('',*,*,#19732,.T.); +#14370=ORIENTED_EDGE('',*,*,#19730,.T.); +#14371=EDGE_LOOP('',(#14367,#14368,#14369,#14370)); +#14372=FACE_OUTER_BOUND('',#14371,.F.); +#14373=ADVANCED_FACE('',(#14372),#14366,.F.); +#14374=CARTESIAN_POINT('',(1.778E1,-2.54E0,-3.8E-1)); +#14375=AXIS2_PLACEMENT_3D('',#14374,#2100,#84); +#14376=PLANE('',#14375); +#14377=ORIENTED_EDGE('',*,*,#19726,.F.); +#14378=ORIENTED_EDGE('',*,*,#19729,.F.); +#14379=ORIENTED_EDGE('',*,*,#19731,.F.); +#14380=ORIENTED_EDGE('',*,*,#19732,.F.); +#14381=EDGE_LOOP('',(#14377,#14378,#14379,#14380)); +#14382=FACE_OUTER_BOUND('',#14381,.F.); +#14383=ADVANCED_FACE('',(#14382),#14376,.T.); +#14384=CARTESIAN_POINT('',(-1.9605E1,1.27E0,-1.9E-1)); +#14385=AXIS2_PLACEMENT_3D('',#14384,#12431,#72); +#14386=PLANE('',#14385); +#14387=ORIENTED_EDGE('',*,*,#17752,.F.); +#14388=ORIENTED_EDGE('',*,*,#19733,.F.); +#14389=ORIENTED_EDGE('',*,*,#19734,.T.); +#14390=ORIENTED_EDGE('',*,*,#19735,.T.); +#14391=EDGE_LOOP('',(#14387,#14388,#14389,#14390)); +#14392=FACE_OUTER_BOUND('',#14391,.F.); +#14393=ADVANCED_FACE('',(#14392),#14386,.F.); +#14394=CARTESIAN_POINT('',(-1.905E1,1.8225E0,-1.9E-1)); +#14395=AXIS2_PLACEMENT_3D('',#14394,#12442,#76); +#14396=PLANE('',#14395); +#14397=ORIENTED_EDGE('',*,*,#17755,.F.); +#14398=ORIENTED_EDGE('',*,*,#19736,.F.); +#14399=ORIENTED_EDGE('',*,*,#19737,.T.); +#14400=ORIENTED_EDGE('',*,*,#19733,.T.); +#14401=EDGE_LOOP('',(#14397,#14398,#14399,#14400)); +#14402=FACE_OUTER_BOUND('',#14401,.F.); +#14403=ADVANCED_FACE('',(#14402),#14396,.F.); +#14404=CARTESIAN_POINT('',(-1.8495E1,1.27E0,-1.9E-1)); +#14405=AXIS2_PLACEMENT_3D('',#14404,#12453,#80); +#14406=PLANE('',#14405); +#14407=ORIENTED_EDGE('',*,*,#17754,.F.); +#14408=ORIENTED_EDGE('',*,*,#19738,.F.); +#14409=ORIENTED_EDGE('',*,*,#19739,.T.); +#14410=ORIENTED_EDGE('',*,*,#19736,.T.); +#14411=EDGE_LOOP('',(#14407,#14408,#14409,#14410)); +#14412=FACE_OUTER_BOUND('',#14411,.F.); +#14413=ADVANCED_FACE('',(#14412),#14406,.F.); +#14414=CARTESIAN_POINT('',(-1.905E1,7.175E-1,-1.9E-1)); +#14415=AXIS2_PLACEMENT_3D('',#14414,#12464,#84); +#14416=PLANE('',#14415); +#14417=ORIENTED_EDGE('',*,*,#17753,.F.); +#14418=ORIENTED_EDGE('',*,*,#19735,.F.); +#14419=ORIENTED_EDGE('',*,*,#19740,.T.); +#14420=ORIENTED_EDGE('',*,*,#19738,.T.); +#14421=EDGE_LOOP('',(#14417,#14418,#14419,#14420)); +#14422=FACE_OUTER_BOUND('',#14421,.F.); +#14423=ADVANCED_FACE('',(#14422),#14416,.F.); +#14424=CARTESIAN_POINT('',(2.032E1,-2.54E0,-3.8E-1)); +#14425=AXIS2_PLACEMENT_3D('',#14424,#2100,#84); +#14426=PLANE('',#14425); +#14427=ORIENTED_EDGE('',*,*,#19734,.F.); +#14428=ORIENTED_EDGE('',*,*,#19737,.F.); +#14429=ORIENTED_EDGE('',*,*,#19739,.F.); +#14430=ORIENTED_EDGE('',*,*,#19740,.F.); +#14431=EDGE_LOOP('',(#14427,#14428,#14429,#14430)); +#14432=FACE_OUTER_BOUND('',#14431,.F.); +#14433=ADVANCED_FACE('',(#14432),#14426,.T.); +#14434=CARTESIAN_POINT('',(-1.7065E1,1.27E0,-1.9E-1)); +#14435=AXIS2_PLACEMENT_3D('',#14434,#12431,#72); +#14436=PLANE('',#14435); +#14437=ORIENTED_EDGE('',*,*,#17756,.F.); +#14438=ORIENTED_EDGE('',*,*,#19741,.F.); +#14439=ORIENTED_EDGE('',*,*,#19742,.T.); +#14440=ORIENTED_EDGE('',*,*,#19743,.T.); +#14441=EDGE_LOOP('',(#14437,#14438,#14439,#14440)); +#14442=FACE_OUTER_BOUND('',#14441,.F.); +#14443=ADVANCED_FACE('',(#14442),#14436,.F.); +#14444=CARTESIAN_POINT('',(-1.651E1,1.8225E0,-1.9E-1)); +#14445=AXIS2_PLACEMENT_3D('',#14444,#12442,#76); +#14446=PLANE('',#14445); +#14447=ORIENTED_EDGE('',*,*,#17759,.F.); +#14448=ORIENTED_EDGE('',*,*,#19744,.F.); +#14449=ORIENTED_EDGE('',*,*,#19745,.T.); +#14450=ORIENTED_EDGE('',*,*,#19741,.T.); +#14451=EDGE_LOOP('',(#14447,#14448,#14449,#14450)); +#14452=FACE_OUTER_BOUND('',#14451,.F.); +#14453=ADVANCED_FACE('',(#14452),#14446,.F.); +#14454=CARTESIAN_POINT('',(-1.5955E1,1.27E0,-1.9E-1)); +#14455=AXIS2_PLACEMENT_3D('',#14454,#12453,#80); +#14456=PLANE('',#14455); +#14457=ORIENTED_EDGE('',*,*,#17758,.F.); +#14458=ORIENTED_EDGE('',*,*,#19746,.F.); +#14459=ORIENTED_EDGE('',*,*,#19747,.T.); +#14460=ORIENTED_EDGE('',*,*,#19744,.T.); +#14461=EDGE_LOOP('',(#14457,#14458,#14459,#14460)); +#14462=FACE_OUTER_BOUND('',#14461,.F.); +#14463=ADVANCED_FACE('',(#14462),#14456,.F.); +#14464=CARTESIAN_POINT('',(-1.651E1,7.175E-1,-1.9E-1)); +#14465=AXIS2_PLACEMENT_3D('',#14464,#12464,#84); +#14466=PLANE('',#14465); +#14467=ORIENTED_EDGE('',*,*,#17757,.F.); +#14468=ORIENTED_EDGE('',*,*,#19743,.F.); +#14469=ORIENTED_EDGE('',*,*,#19748,.T.); +#14470=ORIENTED_EDGE('',*,*,#19746,.T.); +#14471=EDGE_LOOP('',(#14467,#14468,#14469,#14470)); +#14472=FACE_OUTER_BOUND('',#14471,.F.); +#14473=ADVANCED_FACE('',(#14472),#14466,.F.); +#14474=CARTESIAN_POINT('',(2.286E1,-2.54E0,-3.8E-1)); +#14475=AXIS2_PLACEMENT_3D('',#14474,#2100,#84); +#14476=PLANE('',#14475); +#14477=ORIENTED_EDGE('',*,*,#19742,.F.); +#14478=ORIENTED_EDGE('',*,*,#19745,.F.); +#14479=ORIENTED_EDGE('',*,*,#19747,.F.); +#14480=ORIENTED_EDGE('',*,*,#19748,.F.); +#14481=EDGE_LOOP('',(#14477,#14478,#14479,#14480)); +#14482=FACE_OUTER_BOUND('',#14481,.F.); +#14483=ADVANCED_FACE('',(#14482),#14476,.T.); +#14484=CARTESIAN_POINT('',(-1.4525E1,1.27E0,-1.9E-1)); +#14485=AXIS2_PLACEMENT_3D('',#14484,#12431,#72); +#14486=PLANE('',#14485); +#14487=ORIENTED_EDGE('',*,*,#17760,.F.); +#14488=ORIENTED_EDGE('',*,*,#19749,.F.); +#14489=ORIENTED_EDGE('',*,*,#19750,.T.); +#14490=ORIENTED_EDGE('',*,*,#19751,.T.); +#14491=EDGE_LOOP('',(#14487,#14488,#14489,#14490)); +#14492=FACE_OUTER_BOUND('',#14491,.F.); +#14493=ADVANCED_FACE('',(#14492),#14486,.F.); +#14494=CARTESIAN_POINT('',(-1.397E1,1.8225E0,-1.9E-1)); +#14495=AXIS2_PLACEMENT_3D('',#14494,#12442,#76); +#14496=PLANE('',#14495); +#14497=ORIENTED_EDGE('',*,*,#17763,.F.); +#14498=ORIENTED_EDGE('',*,*,#19752,.F.); +#14499=ORIENTED_EDGE('',*,*,#19753,.T.); +#14500=ORIENTED_EDGE('',*,*,#19749,.T.); +#14501=EDGE_LOOP('',(#14497,#14498,#14499,#14500)); +#14502=FACE_OUTER_BOUND('',#14501,.F.); +#14503=ADVANCED_FACE('',(#14502),#14496,.F.); +#14504=CARTESIAN_POINT('',(-1.3415E1,1.27E0,-1.9E-1)); +#14505=AXIS2_PLACEMENT_3D('',#14504,#12453,#80); +#14506=PLANE('',#14505); +#14507=ORIENTED_EDGE('',*,*,#17762,.F.); +#14508=ORIENTED_EDGE('',*,*,#19754,.F.); +#14509=ORIENTED_EDGE('',*,*,#19755,.T.); +#14510=ORIENTED_EDGE('',*,*,#19752,.T.); +#14511=EDGE_LOOP('',(#14507,#14508,#14509,#14510)); +#14512=FACE_OUTER_BOUND('',#14511,.F.); +#14513=ADVANCED_FACE('',(#14512),#14506,.F.); +#14514=CARTESIAN_POINT('',(-1.397E1,7.175E-1,-1.9E-1)); +#14515=AXIS2_PLACEMENT_3D('',#14514,#12464,#84); +#14516=PLANE('',#14515); +#14517=ORIENTED_EDGE('',*,*,#17761,.F.); +#14518=ORIENTED_EDGE('',*,*,#19751,.F.); +#14519=ORIENTED_EDGE('',*,*,#19756,.T.); +#14520=ORIENTED_EDGE('',*,*,#19754,.T.); +#14521=EDGE_LOOP('',(#14517,#14518,#14519,#14520)); +#14522=FACE_OUTER_BOUND('',#14521,.F.); +#14523=ADVANCED_FACE('',(#14522),#14516,.F.); +#14524=CARTESIAN_POINT('',(2.54E1,-2.54E0,-3.8E-1)); +#14525=AXIS2_PLACEMENT_3D('',#14524,#2100,#84); +#14526=PLANE('',#14525); +#14527=ORIENTED_EDGE('',*,*,#19750,.F.); +#14528=ORIENTED_EDGE('',*,*,#19753,.F.); +#14529=ORIENTED_EDGE('',*,*,#19755,.F.); +#14530=ORIENTED_EDGE('',*,*,#19756,.F.); +#14531=EDGE_LOOP('',(#14527,#14528,#14529,#14530)); +#14532=FACE_OUTER_BOUND('',#14531,.F.); +#14533=ADVANCED_FACE('',(#14532),#14526,.T.); +#14534=CARTESIAN_POINT('',(-1.1985E1,1.27E0,-1.9E-1)); +#14535=AXIS2_PLACEMENT_3D('',#14534,#12431,#72); +#14536=PLANE('',#14535); +#14537=ORIENTED_EDGE('',*,*,#17764,.F.); +#14538=ORIENTED_EDGE('',*,*,#19757,.F.); +#14539=ORIENTED_EDGE('',*,*,#19758,.T.); +#14540=ORIENTED_EDGE('',*,*,#19759,.T.); +#14541=EDGE_LOOP('',(#14537,#14538,#14539,#14540)); +#14542=FACE_OUTER_BOUND('',#14541,.F.); +#14543=ADVANCED_FACE('',(#14542),#14536,.F.); +#14544=CARTESIAN_POINT('',(-1.143E1,1.8225E0,-1.9E-1)); +#14545=AXIS2_PLACEMENT_3D('',#14544,#12442,#76); +#14546=PLANE('',#14545); +#14547=ORIENTED_EDGE('',*,*,#17767,.F.); +#14548=ORIENTED_EDGE('',*,*,#19760,.F.); +#14549=ORIENTED_EDGE('',*,*,#19761,.T.); +#14550=ORIENTED_EDGE('',*,*,#19757,.T.); +#14551=EDGE_LOOP('',(#14547,#14548,#14549,#14550)); +#14552=FACE_OUTER_BOUND('',#14551,.F.); +#14553=ADVANCED_FACE('',(#14552),#14546,.F.); +#14554=CARTESIAN_POINT('',(-1.0875E1,1.27E0,-1.9E-1)); +#14555=AXIS2_PLACEMENT_3D('',#14554,#12453,#80); +#14556=PLANE('',#14555); +#14557=ORIENTED_EDGE('',*,*,#17766,.F.); +#14558=ORIENTED_EDGE('',*,*,#19762,.F.); +#14559=ORIENTED_EDGE('',*,*,#19763,.T.); +#14560=ORIENTED_EDGE('',*,*,#19760,.T.); +#14561=EDGE_LOOP('',(#14557,#14558,#14559,#14560)); +#14562=FACE_OUTER_BOUND('',#14561,.F.); +#14563=ADVANCED_FACE('',(#14562),#14556,.F.); +#14564=CARTESIAN_POINT('',(-1.143E1,7.175E-1,-1.9E-1)); +#14565=AXIS2_PLACEMENT_3D('',#14564,#12464,#84); +#14566=PLANE('',#14565); +#14567=ORIENTED_EDGE('',*,*,#17765,.F.); +#14568=ORIENTED_EDGE('',*,*,#19759,.F.); +#14569=ORIENTED_EDGE('',*,*,#19764,.T.); +#14570=ORIENTED_EDGE('',*,*,#19762,.T.); +#14571=EDGE_LOOP('',(#14567,#14568,#14569,#14570)); +#14572=FACE_OUTER_BOUND('',#14571,.F.); +#14573=ADVANCED_FACE('',(#14572),#14566,.F.); +#14574=CARTESIAN_POINT('',(2.794E1,-2.54E0,-3.8E-1)); +#14575=AXIS2_PLACEMENT_3D('',#14574,#2100,#84); +#14576=PLANE('',#14575); +#14577=ORIENTED_EDGE('',*,*,#19758,.F.); +#14578=ORIENTED_EDGE('',*,*,#19761,.F.); +#14579=ORIENTED_EDGE('',*,*,#19763,.F.); +#14580=ORIENTED_EDGE('',*,*,#19764,.F.); +#14581=EDGE_LOOP('',(#14577,#14578,#14579,#14580)); +#14582=FACE_OUTER_BOUND('',#14581,.F.); +#14583=ADVANCED_FACE('',(#14582),#14576,.T.); +#14584=CARTESIAN_POINT('',(-9.445E0,1.27E0,-1.9E-1)); +#14585=AXIS2_PLACEMENT_3D('',#14584,#12431,#72); +#14586=PLANE('',#14585); +#14587=ORIENTED_EDGE('',*,*,#17768,.F.); +#14588=ORIENTED_EDGE('',*,*,#19765,.F.); +#14589=ORIENTED_EDGE('',*,*,#19766,.T.); +#14590=ORIENTED_EDGE('',*,*,#19767,.T.); +#14591=EDGE_LOOP('',(#14587,#14588,#14589,#14590)); +#14592=FACE_OUTER_BOUND('',#14591,.F.); +#14593=ADVANCED_FACE('',(#14592),#14586,.F.); +#14594=CARTESIAN_POINT('',(-8.89E0,1.8225E0,-1.9E-1)); +#14595=AXIS2_PLACEMENT_3D('',#14594,#12442,#76); +#14596=PLANE('',#14595); +#14597=ORIENTED_EDGE('',*,*,#17771,.F.); +#14598=ORIENTED_EDGE('',*,*,#19768,.F.); +#14599=ORIENTED_EDGE('',*,*,#19769,.T.); +#14600=ORIENTED_EDGE('',*,*,#19765,.T.); +#14601=EDGE_LOOP('',(#14597,#14598,#14599,#14600)); +#14602=FACE_OUTER_BOUND('',#14601,.F.); +#14603=ADVANCED_FACE('',(#14602),#14596,.F.); +#14604=CARTESIAN_POINT('',(-8.335E0,1.27E0,-1.9E-1)); +#14605=AXIS2_PLACEMENT_3D('',#14604,#12453,#80); +#14606=PLANE('',#14605); +#14607=ORIENTED_EDGE('',*,*,#17770,.F.); +#14608=ORIENTED_EDGE('',*,*,#19770,.F.); +#14609=ORIENTED_EDGE('',*,*,#19771,.T.); +#14610=ORIENTED_EDGE('',*,*,#19768,.T.); +#14611=EDGE_LOOP('',(#14607,#14608,#14609,#14610)); +#14612=FACE_OUTER_BOUND('',#14611,.F.); +#14613=ADVANCED_FACE('',(#14612),#14606,.F.); +#14614=CARTESIAN_POINT('',(-8.89E0,7.175E-1,-1.9E-1)); +#14615=AXIS2_PLACEMENT_3D('',#14614,#12464,#84); +#14616=PLANE('',#14615); +#14617=ORIENTED_EDGE('',*,*,#17769,.F.); +#14618=ORIENTED_EDGE('',*,*,#19767,.F.); +#14619=ORIENTED_EDGE('',*,*,#19772,.T.); +#14620=ORIENTED_EDGE('',*,*,#19770,.T.); +#14621=EDGE_LOOP('',(#14617,#14618,#14619,#14620)); +#14622=FACE_OUTER_BOUND('',#14621,.F.); +#14623=ADVANCED_FACE('',(#14622),#14616,.F.); +#14624=CARTESIAN_POINT('',(3.048E1,-2.54E0,-3.8E-1)); +#14625=AXIS2_PLACEMENT_3D('',#14624,#2100,#84); +#14626=PLANE('',#14625); +#14627=ORIENTED_EDGE('',*,*,#19766,.F.); +#14628=ORIENTED_EDGE('',*,*,#19769,.F.); +#14629=ORIENTED_EDGE('',*,*,#19771,.F.); +#14630=ORIENTED_EDGE('',*,*,#19772,.F.); +#14631=EDGE_LOOP('',(#14627,#14628,#14629,#14630)); +#14632=FACE_OUTER_BOUND('',#14631,.F.); +#14633=ADVANCED_FACE('',(#14632),#14626,.T.); +#14634=CARTESIAN_POINT('',(-6.905E0,1.27E0,-1.9E-1)); +#14635=AXIS2_PLACEMENT_3D('',#14634,#12431,#72); +#14636=PLANE('',#14635); +#14637=ORIENTED_EDGE('',*,*,#17772,.F.); +#14638=ORIENTED_EDGE('',*,*,#19773,.F.); +#14639=ORIENTED_EDGE('',*,*,#19774,.T.); +#14640=ORIENTED_EDGE('',*,*,#19775,.T.); +#14641=EDGE_LOOP('',(#14637,#14638,#14639,#14640)); +#14642=FACE_OUTER_BOUND('',#14641,.F.); +#14643=ADVANCED_FACE('',(#14642),#14636,.F.); +#14644=CARTESIAN_POINT('',(-6.35E0,1.8225E0,-1.9E-1)); +#14645=AXIS2_PLACEMENT_3D('',#14644,#12442,#76); +#14646=PLANE('',#14645); +#14647=ORIENTED_EDGE('',*,*,#17775,.F.); +#14648=ORIENTED_EDGE('',*,*,#19776,.F.); +#14649=ORIENTED_EDGE('',*,*,#19777,.T.); +#14650=ORIENTED_EDGE('',*,*,#19773,.T.); +#14651=EDGE_LOOP('',(#14647,#14648,#14649,#14650)); +#14652=FACE_OUTER_BOUND('',#14651,.F.); +#14653=ADVANCED_FACE('',(#14652),#14646,.F.); +#14654=CARTESIAN_POINT('',(-5.795E0,1.27E0,-1.9E-1)); +#14655=AXIS2_PLACEMENT_3D('',#14654,#12453,#80); +#14656=PLANE('',#14655); +#14657=ORIENTED_EDGE('',*,*,#17774,.F.); +#14658=ORIENTED_EDGE('',*,*,#19778,.F.); +#14659=ORIENTED_EDGE('',*,*,#19779,.T.); +#14660=ORIENTED_EDGE('',*,*,#19776,.T.); +#14661=EDGE_LOOP('',(#14657,#14658,#14659,#14660)); +#14662=FACE_OUTER_BOUND('',#14661,.F.); +#14663=ADVANCED_FACE('',(#14662),#14656,.F.); +#14664=CARTESIAN_POINT('',(-6.35E0,7.175E-1,-1.9E-1)); +#14665=AXIS2_PLACEMENT_3D('',#14664,#12464,#84); +#14666=PLANE('',#14665); +#14667=ORIENTED_EDGE('',*,*,#17773,.F.); +#14668=ORIENTED_EDGE('',*,*,#19775,.F.); +#14669=ORIENTED_EDGE('',*,*,#19780,.T.); +#14670=ORIENTED_EDGE('',*,*,#19778,.T.); +#14671=EDGE_LOOP('',(#14667,#14668,#14669,#14670)); +#14672=FACE_OUTER_BOUND('',#14671,.F.); +#14673=ADVANCED_FACE('',(#14672),#14666,.F.); +#14674=CARTESIAN_POINT('',(3.302E1,-2.54E0,-3.8E-1)); +#14675=AXIS2_PLACEMENT_3D('',#14674,#2100,#84); +#14676=PLANE('',#14675); +#14677=ORIENTED_EDGE('',*,*,#19774,.F.); +#14678=ORIENTED_EDGE('',*,*,#19777,.F.); +#14679=ORIENTED_EDGE('',*,*,#19779,.F.); +#14680=ORIENTED_EDGE('',*,*,#19780,.F.); +#14681=EDGE_LOOP('',(#14677,#14678,#14679,#14680)); +#14682=FACE_OUTER_BOUND('',#14681,.F.); +#14683=ADVANCED_FACE('',(#14682),#14676,.T.); +#14684=CARTESIAN_POINT('',(-4.365E0,1.27E0,-1.9E-1)); +#14685=AXIS2_PLACEMENT_3D('',#14684,#12431,#72); +#14686=PLANE('',#14685); +#14687=ORIENTED_EDGE('',*,*,#17776,.F.); +#14688=ORIENTED_EDGE('',*,*,#19781,.F.); +#14689=ORIENTED_EDGE('',*,*,#19782,.T.); +#14690=ORIENTED_EDGE('',*,*,#19783,.T.); +#14691=EDGE_LOOP('',(#14687,#14688,#14689,#14690)); +#14692=FACE_OUTER_BOUND('',#14691,.F.); +#14693=ADVANCED_FACE('',(#14692),#14686,.F.); +#14694=CARTESIAN_POINT('',(-3.81E0,1.8225E0,-1.9E-1)); +#14695=AXIS2_PLACEMENT_3D('',#14694,#12442,#76); +#14696=PLANE('',#14695); +#14697=ORIENTED_EDGE('',*,*,#17779,.F.); +#14698=ORIENTED_EDGE('',*,*,#19784,.F.); +#14699=ORIENTED_EDGE('',*,*,#19785,.T.); +#14700=ORIENTED_EDGE('',*,*,#19781,.T.); +#14701=EDGE_LOOP('',(#14697,#14698,#14699,#14700)); +#14702=FACE_OUTER_BOUND('',#14701,.F.); +#14703=ADVANCED_FACE('',(#14702),#14696,.F.); +#14704=CARTESIAN_POINT('',(-3.255E0,1.27E0,-1.9E-1)); +#14705=AXIS2_PLACEMENT_3D('',#14704,#12453,#80); +#14706=PLANE('',#14705); +#14707=ORIENTED_EDGE('',*,*,#17778,.F.); +#14708=ORIENTED_EDGE('',*,*,#19786,.F.); +#14709=ORIENTED_EDGE('',*,*,#19787,.T.); +#14710=ORIENTED_EDGE('',*,*,#19784,.T.); +#14711=EDGE_LOOP('',(#14707,#14708,#14709,#14710)); +#14712=FACE_OUTER_BOUND('',#14711,.F.); +#14713=ADVANCED_FACE('',(#14712),#14706,.F.); +#14714=CARTESIAN_POINT('',(-3.81E0,7.175E-1,-1.9E-1)); +#14715=AXIS2_PLACEMENT_3D('',#14714,#12464,#84); +#14716=PLANE('',#14715); +#14717=ORIENTED_EDGE('',*,*,#17777,.F.); +#14718=ORIENTED_EDGE('',*,*,#19783,.F.); +#14719=ORIENTED_EDGE('',*,*,#19788,.T.); +#14720=ORIENTED_EDGE('',*,*,#19786,.T.); +#14721=EDGE_LOOP('',(#14717,#14718,#14719,#14720)); +#14722=FACE_OUTER_BOUND('',#14721,.F.); +#14723=ADVANCED_FACE('',(#14722),#14716,.F.); +#14724=CARTESIAN_POINT('',(3.556E1,-2.54E0,-3.8E-1)); +#14725=AXIS2_PLACEMENT_3D('',#14724,#2100,#84); +#14726=PLANE('',#14725); +#14727=ORIENTED_EDGE('',*,*,#19782,.F.); +#14728=ORIENTED_EDGE('',*,*,#19785,.F.); +#14729=ORIENTED_EDGE('',*,*,#19787,.F.); +#14730=ORIENTED_EDGE('',*,*,#19788,.F.); +#14731=EDGE_LOOP('',(#14727,#14728,#14729,#14730)); +#14732=FACE_OUTER_BOUND('',#14731,.F.); +#14733=ADVANCED_FACE('',(#14732),#14726,.T.); +#14734=CARTESIAN_POINT('',(-1.825E0,1.27E0,-1.9E-1)); +#14735=AXIS2_PLACEMENT_3D('',#14734,#12431,#72); +#14736=PLANE('',#14735); +#14737=ORIENTED_EDGE('',*,*,#17780,.F.); +#14738=ORIENTED_EDGE('',*,*,#19789,.F.); +#14739=ORIENTED_EDGE('',*,*,#19790,.T.); +#14740=ORIENTED_EDGE('',*,*,#19791,.T.); +#14741=EDGE_LOOP('',(#14737,#14738,#14739,#14740)); +#14742=FACE_OUTER_BOUND('',#14741,.F.); +#14743=ADVANCED_FACE('',(#14742),#14736,.F.); +#14744=CARTESIAN_POINT('',(-1.27E0,1.8225E0,-1.9E-1)); +#14745=AXIS2_PLACEMENT_3D('',#14744,#12442,#76); +#14746=PLANE('',#14745); +#14747=ORIENTED_EDGE('',*,*,#17783,.F.); +#14748=ORIENTED_EDGE('',*,*,#19792,.F.); +#14749=ORIENTED_EDGE('',*,*,#19793,.T.); +#14750=ORIENTED_EDGE('',*,*,#19789,.T.); +#14751=EDGE_LOOP('',(#14747,#14748,#14749,#14750)); +#14752=FACE_OUTER_BOUND('',#14751,.F.); +#14753=ADVANCED_FACE('',(#14752),#14746,.F.); +#14754=CARTESIAN_POINT('',(-7.15E-1,1.27E0,-1.9E-1)); +#14755=AXIS2_PLACEMENT_3D('',#14754,#12453,#80); +#14756=PLANE('',#14755); +#14757=ORIENTED_EDGE('',*,*,#17782,.F.); +#14758=ORIENTED_EDGE('',*,*,#19794,.F.); +#14759=ORIENTED_EDGE('',*,*,#19795,.T.); +#14760=ORIENTED_EDGE('',*,*,#19792,.T.); +#14761=EDGE_LOOP('',(#14757,#14758,#14759,#14760)); +#14762=FACE_OUTER_BOUND('',#14761,.F.); +#14763=ADVANCED_FACE('',(#14762),#14756,.F.); +#14764=CARTESIAN_POINT('',(-1.27E0,7.175E-1,-1.9E-1)); +#14765=AXIS2_PLACEMENT_3D('',#14764,#12464,#84); +#14766=PLANE('',#14765); +#14767=ORIENTED_EDGE('',*,*,#17781,.F.); +#14768=ORIENTED_EDGE('',*,*,#19791,.F.); +#14769=ORIENTED_EDGE('',*,*,#19796,.T.); +#14770=ORIENTED_EDGE('',*,*,#19794,.T.); +#14771=EDGE_LOOP('',(#14767,#14768,#14769,#14770)); +#14772=FACE_OUTER_BOUND('',#14771,.F.); +#14773=ADVANCED_FACE('',(#14772),#14766,.F.); +#14774=CARTESIAN_POINT('',(3.81E1,-2.54E0,-3.8E-1)); +#14775=AXIS2_PLACEMENT_3D('',#14774,#2100,#84); +#14776=PLANE('',#14775); +#14777=ORIENTED_EDGE('',*,*,#19790,.F.); +#14778=ORIENTED_EDGE('',*,*,#19793,.F.); +#14779=ORIENTED_EDGE('',*,*,#19795,.F.); +#14780=ORIENTED_EDGE('',*,*,#19796,.F.); +#14781=EDGE_LOOP('',(#14777,#14778,#14779,#14780)); +#14782=FACE_OUTER_BOUND('',#14781,.F.); +#14783=ADVANCED_FACE('',(#14782),#14776,.T.); +#14784=CARTESIAN_POINT('',(7.15E-1,1.27E0,-1.9E-1)); +#14785=AXIS2_PLACEMENT_3D('',#14784,#12431,#72); +#14786=PLANE('',#14785); +#14787=ORIENTED_EDGE('',*,*,#17784,.F.); +#14788=ORIENTED_EDGE('',*,*,#19797,.F.); +#14789=ORIENTED_EDGE('',*,*,#19798,.T.); +#14790=ORIENTED_EDGE('',*,*,#19799,.T.); +#14791=EDGE_LOOP('',(#14787,#14788,#14789,#14790)); +#14792=FACE_OUTER_BOUND('',#14791,.F.); +#14793=ADVANCED_FACE('',(#14792),#14786,.F.); +#14794=CARTESIAN_POINT('',(1.27E0,1.8225E0,-1.9E-1)); +#14795=AXIS2_PLACEMENT_3D('',#14794,#12442,#76); +#14796=PLANE('',#14795); +#14797=ORIENTED_EDGE('',*,*,#17787,.F.); +#14798=ORIENTED_EDGE('',*,*,#19800,.F.); +#14799=ORIENTED_EDGE('',*,*,#19801,.T.); +#14800=ORIENTED_EDGE('',*,*,#19797,.T.); +#14801=EDGE_LOOP('',(#14797,#14798,#14799,#14800)); +#14802=FACE_OUTER_BOUND('',#14801,.F.); +#14803=ADVANCED_FACE('',(#14802),#14796,.F.); +#14804=CARTESIAN_POINT('',(1.825E0,1.27E0,-1.9E-1)); +#14805=AXIS2_PLACEMENT_3D('',#14804,#12453,#80); +#14806=PLANE('',#14805); +#14807=ORIENTED_EDGE('',*,*,#17786,.F.); +#14808=ORIENTED_EDGE('',*,*,#19802,.F.); +#14809=ORIENTED_EDGE('',*,*,#19803,.T.); +#14810=ORIENTED_EDGE('',*,*,#19800,.T.); +#14811=EDGE_LOOP('',(#14807,#14808,#14809,#14810)); +#14812=FACE_OUTER_BOUND('',#14811,.F.); +#14813=ADVANCED_FACE('',(#14812),#14806,.F.); +#14814=CARTESIAN_POINT('',(1.27E0,7.175E-1,-1.9E-1)); +#14815=AXIS2_PLACEMENT_3D('',#14814,#12464,#84); +#14816=PLANE('',#14815); +#14817=ORIENTED_EDGE('',*,*,#17785,.F.); +#14818=ORIENTED_EDGE('',*,*,#19799,.F.); +#14819=ORIENTED_EDGE('',*,*,#19804,.T.); +#14820=ORIENTED_EDGE('',*,*,#19802,.T.); +#14821=EDGE_LOOP('',(#14817,#14818,#14819,#14820)); +#14822=FACE_OUTER_BOUND('',#14821,.F.); +#14823=ADVANCED_FACE('',(#14822),#14816,.F.); +#14824=CARTESIAN_POINT('',(4.064E1,-2.54E0,-3.8E-1)); +#14825=AXIS2_PLACEMENT_3D('',#14824,#2100,#84); +#14826=PLANE('',#14825); +#14827=ORIENTED_EDGE('',*,*,#19798,.F.); +#14828=ORIENTED_EDGE('',*,*,#19801,.F.); +#14829=ORIENTED_EDGE('',*,*,#19803,.F.); +#14830=ORIENTED_EDGE('',*,*,#19804,.F.); +#14831=EDGE_LOOP('',(#14827,#14828,#14829,#14830)); +#14832=FACE_OUTER_BOUND('',#14831,.F.); +#14833=ADVANCED_FACE('',(#14832),#14826,.T.); +#14834=CARTESIAN_POINT('',(3.255E0,1.27E0,-1.9E-1)); +#14835=AXIS2_PLACEMENT_3D('',#14834,#12431,#72); +#14836=PLANE('',#14835); +#14837=ORIENTED_EDGE('',*,*,#17788,.F.); +#14838=ORIENTED_EDGE('',*,*,#19805,.F.); +#14839=ORIENTED_EDGE('',*,*,#19806,.T.); +#14840=ORIENTED_EDGE('',*,*,#19807,.T.); +#14841=EDGE_LOOP('',(#14837,#14838,#14839,#14840)); +#14842=FACE_OUTER_BOUND('',#14841,.F.); +#14843=ADVANCED_FACE('',(#14842),#14836,.F.); +#14844=CARTESIAN_POINT('',(3.81E0,1.8225E0,-1.9E-1)); +#14845=AXIS2_PLACEMENT_3D('',#14844,#12442,#76); +#14846=PLANE('',#14845); +#14847=ORIENTED_EDGE('',*,*,#17791,.F.); +#14848=ORIENTED_EDGE('',*,*,#19808,.F.); +#14849=ORIENTED_EDGE('',*,*,#19809,.T.); +#14850=ORIENTED_EDGE('',*,*,#19805,.T.); +#14851=EDGE_LOOP('',(#14847,#14848,#14849,#14850)); +#14852=FACE_OUTER_BOUND('',#14851,.F.); +#14853=ADVANCED_FACE('',(#14852),#14846,.F.); +#14854=CARTESIAN_POINT('',(4.365E0,1.27E0,-1.9E-1)); +#14855=AXIS2_PLACEMENT_3D('',#14854,#12453,#80); +#14856=PLANE('',#14855); +#14857=ORIENTED_EDGE('',*,*,#17790,.F.); +#14858=ORIENTED_EDGE('',*,*,#19810,.F.); +#14859=ORIENTED_EDGE('',*,*,#19811,.T.); +#14860=ORIENTED_EDGE('',*,*,#19808,.T.); +#14861=EDGE_LOOP('',(#14857,#14858,#14859,#14860)); +#14862=FACE_OUTER_BOUND('',#14861,.F.); +#14863=ADVANCED_FACE('',(#14862),#14856,.F.); +#14864=CARTESIAN_POINT('',(3.81E0,7.175E-1,-1.9E-1)); +#14865=AXIS2_PLACEMENT_3D('',#14864,#12464,#84); +#14866=PLANE('',#14865); +#14867=ORIENTED_EDGE('',*,*,#17789,.F.); +#14868=ORIENTED_EDGE('',*,*,#19807,.F.); +#14869=ORIENTED_EDGE('',*,*,#19812,.T.); +#14870=ORIENTED_EDGE('',*,*,#19810,.T.); +#14871=EDGE_LOOP('',(#14867,#14868,#14869,#14870)); +#14872=FACE_OUTER_BOUND('',#14871,.F.); +#14873=ADVANCED_FACE('',(#14872),#14866,.F.); +#14874=CARTESIAN_POINT('',(4.318E1,-2.54E0,-3.8E-1)); +#14875=AXIS2_PLACEMENT_3D('',#14874,#2100,#84); +#14876=PLANE('',#14875); +#14877=ORIENTED_EDGE('',*,*,#19806,.F.); +#14878=ORIENTED_EDGE('',*,*,#19809,.F.); +#14879=ORIENTED_EDGE('',*,*,#19811,.F.); +#14880=ORIENTED_EDGE('',*,*,#19812,.F.); +#14881=EDGE_LOOP('',(#14877,#14878,#14879,#14880)); +#14882=FACE_OUTER_BOUND('',#14881,.F.); +#14883=ADVANCED_FACE('',(#14882),#14876,.T.); +#14884=CARTESIAN_POINT('',(5.795E0,1.27E0,-1.9E-1)); +#14885=AXIS2_PLACEMENT_3D('',#14884,#12431,#72); +#14886=PLANE('',#14885); +#14887=ORIENTED_EDGE('',*,*,#17792,.F.); +#14888=ORIENTED_EDGE('',*,*,#19813,.F.); +#14889=ORIENTED_EDGE('',*,*,#19814,.T.); +#14890=ORIENTED_EDGE('',*,*,#19815,.T.); +#14891=EDGE_LOOP('',(#14887,#14888,#14889,#14890)); +#14892=FACE_OUTER_BOUND('',#14891,.F.); +#14893=ADVANCED_FACE('',(#14892),#14886,.F.); +#14894=CARTESIAN_POINT('',(6.35E0,1.8225E0,-1.9E-1)); +#14895=AXIS2_PLACEMENT_3D('',#14894,#12442,#76); +#14896=PLANE('',#14895); +#14897=ORIENTED_EDGE('',*,*,#17795,.F.); +#14898=ORIENTED_EDGE('',*,*,#19816,.F.); +#14899=ORIENTED_EDGE('',*,*,#19817,.T.); +#14900=ORIENTED_EDGE('',*,*,#19813,.T.); +#14901=EDGE_LOOP('',(#14897,#14898,#14899,#14900)); +#14902=FACE_OUTER_BOUND('',#14901,.F.); +#14903=ADVANCED_FACE('',(#14902),#14896,.F.); +#14904=CARTESIAN_POINT('',(6.905E0,1.27E0,-1.9E-1)); +#14905=AXIS2_PLACEMENT_3D('',#14904,#12453,#80); +#14906=PLANE('',#14905); +#14907=ORIENTED_EDGE('',*,*,#17794,.F.); +#14908=ORIENTED_EDGE('',*,*,#19818,.F.); +#14909=ORIENTED_EDGE('',*,*,#19819,.T.); +#14910=ORIENTED_EDGE('',*,*,#19816,.T.); +#14911=EDGE_LOOP('',(#14907,#14908,#14909,#14910)); +#14912=FACE_OUTER_BOUND('',#14911,.F.); +#14913=ADVANCED_FACE('',(#14912),#14906,.F.); +#14914=CARTESIAN_POINT('',(6.35E0,7.175E-1,-1.9E-1)); +#14915=AXIS2_PLACEMENT_3D('',#14914,#12464,#84); +#14916=PLANE('',#14915); +#14917=ORIENTED_EDGE('',*,*,#17793,.F.); +#14918=ORIENTED_EDGE('',*,*,#19815,.F.); +#14919=ORIENTED_EDGE('',*,*,#19820,.T.); +#14920=ORIENTED_EDGE('',*,*,#19818,.T.); +#14921=EDGE_LOOP('',(#14917,#14918,#14919,#14920)); +#14922=FACE_OUTER_BOUND('',#14921,.F.); +#14923=ADVANCED_FACE('',(#14922),#14916,.F.); +#14924=CARTESIAN_POINT('',(4.572E1,-2.54E0,-3.8E-1)); +#14925=AXIS2_PLACEMENT_3D('',#14924,#2100,#84); +#14926=PLANE('',#14925); +#14927=ORIENTED_EDGE('',*,*,#19814,.F.); +#14928=ORIENTED_EDGE('',*,*,#19817,.F.); +#14929=ORIENTED_EDGE('',*,*,#19819,.F.); +#14930=ORIENTED_EDGE('',*,*,#19820,.F.); +#14931=EDGE_LOOP('',(#14927,#14928,#14929,#14930)); +#14932=FACE_OUTER_BOUND('',#14931,.F.); +#14933=ADVANCED_FACE('',(#14932),#14926,.T.); +#14934=CARTESIAN_POINT('',(8.335E0,1.27E0,-1.9E-1)); +#14935=AXIS2_PLACEMENT_3D('',#14934,#12431,#72); +#14936=PLANE('',#14935); +#14937=ORIENTED_EDGE('',*,*,#17796,.F.); +#14938=ORIENTED_EDGE('',*,*,#19821,.F.); +#14939=ORIENTED_EDGE('',*,*,#19822,.T.); +#14940=ORIENTED_EDGE('',*,*,#19823,.T.); +#14941=EDGE_LOOP('',(#14937,#14938,#14939,#14940)); +#14942=FACE_OUTER_BOUND('',#14941,.F.); +#14943=ADVANCED_FACE('',(#14942),#14936,.F.); +#14944=CARTESIAN_POINT('',(8.89E0,1.8225E0,-1.9E-1)); +#14945=AXIS2_PLACEMENT_3D('',#14944,#12442,#76); +#14946=PLANE('',#14945); +#14947=ORIENTED_EDGE('',*,*,#17799,.F.); +#14948=ORIENTED_EDGE('',*,*,#19824,.F.); +#14949=ORIENTED_EDGE('',*,*,#19825,.T.); +#14950=ORIENTED_EDGE('',*,*,#19821,.T.); +#14951=EDGE_LOOP('',(#14947,#14948,#14949,#14950)); +#14952=FACE_OUTER_BOUND('',#14951,.F.); +#14953=ADVANCED_FACE('',(#14952),#14946,.F.); +#14954=CARTESIAN_POINT('',(9.445E0,1.27E0,-1.9E-1)); +#14955=AXIS2_PLACEMENT_3D('',#14954,#12453,#80); +#14956=PLANE('',#14955); +#14957=ORIENTED_EDGE('',*,*,#17798,.F.); +#14958=ORIENTED_EDGE('',*,*,#19826,.F.); +#14959=ORIENTED_EDGE('',*,*,#19827,.T.); +#14960=ORIENTED_EDGE('',*,*,#19824,.T.); +#14961=EDGE_LOOP('',(#14957,#14958,#14959,#14960)); +#14962=FACE_OUTER_BOUND('',#14961,.F.); +#14963=ADVANCED_FACE('',(#14962),#14956,.F.); +#14964=CARTESIAN_POINT('',(8.89E0,7.175E-1,-1.9E-1)); +#14965=AXIS2_PLACEMENT_3D('',#14964,#12464,#84); +#14966=PLANE('',#14965); +#14967=ORIENTED_EDGE('',*,*,#17797,.F.); +#14968=ORIENTED_EDGE('',*,*,#19823,.F.); +#14969=ORIENTED_EDGE('',*,*,#19828,.T.); +#14970=ORIENTED_EDGE('',*,*,#19826,.T.); +#14971=EDGE_LOOP('',(#14967,#14968,#14969,#14970)); +#14972=FACE_OUTER_BOUND('',#14971,.F.); +#14973=ADVANCED_FACE('',(#14972),#14966,.F.); +#14974=CARTESIAN_POINT('',(4.826E1,-2.54E0,-3.8E-1)); +#14975=AXIS2_PLACEMENT_3D('',#14974,#2100,#84); +#14976=PLANE('',#14975); +#14977=ORIENTED_EDGE('',*,*,#19822,.F.); +#14978=ORIENTED_EDGE('',*,*,#19825,.F.); +#14979=ORIENTED_EDGE('',*,*,#19827,.F.); +#14980=ORIENTED_EDGE('',*,*,#19828,.F.); +#14981=EDGE_LOOP('',(#14977,#14978,#14979,#14980)); +#14982=FACE_OUTER_BOUND('',#14981,.F.); +#14983=ADVANCED_FACE('',(#14982),#14976,.T.); +#14984=CARTESIAN_POINT('',(1.0875E1,1.27E0,-1.9E-1)); +#14985=AXIS2_PLACEMENT_3D('',#14984,#12431,#72); +#14986=PLANE('',#14985); +#14987=ORIENTED_EDGE('',*,*,#17800,.F.); +#14988=ORIENTED_EDGE('',*,*,#19829,.F.); +#14989=ORIENTED_EDGE('',*,*,#19830,.T.); +#14990=ORIENTED_EDGE('',*,*,#19831,.T.); +#14991=EDGE_LOOP('',(#14987,#14988,#14989,#14990)); +#14992=FACE_OUTER_BOUND('',#14991,.F.); +#14993=ADVANCED_FACE('',(#14992),#14986,.F.); +#14994=CARTESIAN_POINT('',(1.143E1,1.8225E0,-1.9E-1)); +#14995=AXIS2_PLACEMENT_3D('',#14994,#12442,#76); +#14996=PLANE('',#14995); +#14997=ORIENTED_EDGE('',*,*,#17803,.F.); +#14998=ORIENTED_EDGE('',*,*,#19832,.F.); +#14999=ORIENTED_EDGE('',*,*,#19833,.T.); +#15000=ORIENTED_EDGE('',*,*,#19829,.T.); +#15001=EDGE_LOOP('',(#14997,#14998,#14999,#15000)); +#15002=FACE_OUTER_BOUND('',#15001,.F.); +#15003=ADVANCED_FACE('',(#15002),#14996,.F.); +#15004=CARTESIAN_POINT('',(1.1985E1,1.27E0,-1.9E-1)); +#15005=AXIS2_PLACEMENT_3D('',#15004,#12453,#80); +#15006=PLANE('',#15005); +#15007=ORIENTED_EDGE('',*,*,#17802,.F.); +#15008=ORIENTED_EDGE('',*,*,#19834,.F.); +#15009=ORIENTED_EDGE('',*,*,#19835,.T.); +#15010=ORIENTED_EDGE('',*,*,#19832,.T.); +#15011=EDGE_LOOP('',(#15007,#15008,#15009,#15010)); +#15012=FACE_OUTER_BOUND('',#15011,.F.); +#15013=ADVANCED_FACE('',(#15012),#15006,.F.); +#15014=CARTESIAN_POINT('',(1.143E1,7.175E-1,-1.9E-1)); +#15015=AXIS2_PLACEMENT_3D('',#15014,#12464,#84); +#15016=PLANE('',#15015); +#15017=ORIENTED_EDGE('',*,*,#17801,.F.); +#15018=ORIENTED_EDGE('',*,*,#19831,.F.); +#15019=ORIENTED_EDGE('',*,*,#19836,.T.); +#15020=ORIENTED_EDGE('',*,*,#19834,.T.); +#15021=EDGE_LOOP('',(#15017,#15018,#15019,#15020)); +#15022=FACE_OUTER_BOUND('',#15021,.F.); +#15023=ADVANCED_FACE('',(#15022),#15016,.F.); +#15024=CARTESIAN_POINT('',(5.08E1,-2.54E0,-3.8E-1)); +#15025=AXIS2_PLACEMENT_3D('',#15024,#2100,#84); +#15026=PLANE('',#15025); +#15027=ORIENTED_EDGE('',*,*,#19830,.F.); +#15028=ORIENTED_EDGE('',*,*,#19833,.F.); +#15029=ORIENTED_EDGE('',*,*,#19835,.F.); +#15030=ORIENTED_EDGE('',*,*,#19836,.F.); +#15031=EDGE_LOOP('',(#15027,#15028,#15029,#15030)); +#15032=FACE_OUTER_BOUND('',#15031,.F.); +#15033=ADVANCED_FACE('',(#15032),#15026,.T.); +#15034=CARTESIAN_POINT('',(1.3415E1,1.27E0,-1.9E-1)); +#15035=AXIS2_PLACEMENT_3D('',#15034,#12431,#72); +#15036=PLANE('',#15035); +#15037=ORIENTED_EDGE('',*,*,#17804,.F.); +#15038=ORIENTED_EDGE('',*,*,#19837,.F.); +#15039=ORIENTED_EDGE('',*,*,#19838,.T.); +#15040=ORIENTED_EDGE('',*,*,#19839,.T.); +#15041=EDGE_LOOP('',(#15037,#15038,#15039,#15040)); +#15042=FACE_OUTER_BOUND('',#15041,.F.); +#15043=ADVANCED_FACE('',(#15042),#15036,.F.); +#15044=CARTESIAN_POINT('',(1.397E1,1.8225E0,-1.9E-1)); +#15045=AXIS2_PLACEMENT_3D('',#15044,#12442,#76); +#15046=PLANE('',#15045); +#15047=ORIENTED_EDGE('',*,*,#17807,.F.); +#15048=ORIENTED_EDGE('',*,*,#19840,.F.); +#15049=ORIENTED_EDGE('',*,*,#19841,.T.); +#15050=ORIENTED_EDGE('',*,*,#19837,.T.); +#15051=EDGE_LOOP('',(#15047,#15048,#15049,#15050)); +#15052=FACE_OUTER_BOUND('',#15051,.F.); +#15053=ADVANCED_FACE('',(#15052),#15046,.F.); +#15054=CARTESIAN_POINT('',(1.4525E1,1.27E0,-1.9E-1)); +#15055=AXIS2_PLACEMENT_3D('',#15054,#12453,#80); +#15056=PLANE('',#15055); +#15057=ORIENTED_EDGE('',*,*,#17806,.F.); +#15058=ORIENTED_EDGE('',*,*,#19842,.F.); +#15059=ORIENTED_EDGE('',*,*,#19843,.T.); +#15060=ORIENTED_EDGE('',*,*,#19840,.T.); +#15061=EDGE_LOOP('',(#15057,#15058,#15059,#15060)); +#15062=FACE_OUTER_BOUND('',#15061,.F.); +#15063=ADVANCED_FACE('',(#15062),#15056,.F.); +#15064=CARTESIAN_POINT('',(1.397E1,7.175E-1,-1.9E-1)); +#15065=AXIS2_PLACEMENT_3D('',#15064,#12464,#84); +#15066=PLANE('',#15065); +#15067=ORIENTED_EDGE('',*,*,#17805,.F.); +#15068=ORIENTED_EDGE('',*,*,#19839,.F.); +#15069=ORIENTED_EDGE('',*,*,#19844,.T.); +#15070=ORIENTED_EDGE('',*,*,#19842,.T.); +#15071=EDGE_LOOP('',(#15067,#15068,#15069,#15070)); +#15072=FACE_OUTER_BOUND('',#15071,.F.); +#15073=ADVANCED_FACE('',(#15072),#15066,.F.); +#15074=CARTESIAN_POINT('',(5.334E1,-2.54E0,-3.8E-1)); +#15075=AXIS2_PLACEMENT_3D('',#15074,#2100,#84); +#15076=PLANE('',#15075); +#15077=ORIENTED_EDGE('',*,*,#19838,.F.); +#15078=ORIENTED_EDGE('',*,*,#19841,.F.); +#15079=ORIENTED_EDGE('',*,*,#19843,.F.); +#15080=ORIENTED_EDGE('',*,*,#19844,.F.); +#15081=EDGE_LOOP('',(#15077,#15078,#15079,#15080)); +#15082=FACE_OUTER_BOUND('',#15081,.F.); +#15083=ADVANCED_FACE('',(#15082),#15076,.T.); +#15084=CARTESIAN_POINT('',(1.5955E1,1.27E0,-1.9E-1)); +#15085=AXIS2_PLACEMENT_3D('',#15084,#12431,#72); +#15086=PLANE('',#15085); +#15087=ORIENTED_EDGE('',*,*,#17808,.F.); +#15088=ORIENTED_EDGE('',*,*,#19845,.F.); +#15089=ORIENTED_EDGE('',*,*,#19846,.T.); +#15090=ORIENTED_EDGE('',*,*,#19847,.T.); +#15091=EDGE_LOOP('',(#15087,#15088,#15089,#15090)); +#15092=FACE_OUTER_BOUND('',#15091,.F.); +#15093=ADVANCED_FACE('',(#15092),#15086,.F.); +#15094=CARTESIAN_POINT('',(1.651E1,1.8225E0,-1.9E-1)); +#15095=AXIS2_PLACEMENT_3D('',#15094,#12442,#76); +#15096=PLANE('',#15095); +#15097=ORIENTED_EDGE('',*,*,#17811,.F.); +#15098=ORIENTED_EDGE('',*,*,#19848,.F.); +#15099=ORIENTED_EDGE('',*,*,#19849,.T.); +#15100=ORIENTED_EDGE('',*,*,#19845,.T.); +#15101=EDGE_LOOP('',(#15097,#15098,#15099,#15100)); +#15102=FACE_OUTER_BOUND('',#15101,.F.); +#15103=ADVANCED_FACE('',(#15102),#15096,.F.); +#15104=CARTESIAN_POINT('',(1.7065E1,1.27E0,-1.9E-1)); +#15105=AXIS2_PLACEMENT_3D('',#15104,#12453,#80); +#15106=PLANE('',#15105); +#15107=ORIENTED_EDGE('',*,*,#17810,.F.); +#15108=ORIENTED_EDGE('',*,*,#19850,.F.); +#15109=ORIENTED_EDGE('',*,*,#19851,.T.); +#15110=ORIENTED_EDGE('',*,*,#19848,.T.); +#15111=EDGE_LOOP('',(#15107,#15108,#15109,#15110)); +#15112=FACE_OUTER_BOUND('',#15111,.F.); +#15113=ADVANCED_FACE('',(#15112),#15106,.F.); +#15114=CARTESIAN_POINT('',(1.651E1,7.175E-1,-1.9E-1)); +#15115=AXIS2_PLACEMENT_3D('',#15114,#12464,#84); +#15116=PLANE('',#15115); +#15117=ORIENTED_EDGE('',*,*,#17809,.F.); +#15118=ORIENTED_EDGE('',*,*,#19847,.F.); +#15119=ORIENTED_EDGE('',*,*,#19852,.T.); +#15120=ORIENTED_EDGE('',*,*,#19850,.T.); +#15121=EDGE_LOOP('',(#15117,#15118,#15119,#15120)); +#15122=FACE_OUTER_BOUND('',#15121,.F.); +#15123=ADVANCED_FACE('',(#15122),#15116,.F.); +#15124=CARTESIAN_POINT('',(5.588E1,-2.54E0,-3.8E-1)); +#15125=AXIS2_PLACEMENT_3D('',#15124,#2100,#84); +#15126=PLANE('',#15125); +#15127=ORIENTED_EDGE('',*,*,#19846,.F.); +#15128=ORIENTED_EDGE('',*,*,#19849,.F.); +#15129=ORIENTED_EDGE('',*,*,#19851,.F.); +#15130=ORIENTED_EDGE('',*,*,#19852,.F.); +#15131=EDGE_LOOP('',(#15127,#15128,#15129,#15130)); +#15132=FACE_OUTER_BOUND('',#15131,.F.); +#15133=ADVANCED_FACE('',(#15132),#15126,.T.); +#15134=CARTESIAN_POINT('',(1.8495E1,1.27E0,-1.9E-1)); +#15135=AXIS2_PLACEMENT_3D('',#15134,#12431,#72); +#15136=PLANE('',#15135); +#15137=ORIENTED_EDGE('',*,*,#17812,.F.); +#15138=ORIENTED_EDGE('',*,*,#19853,.F.); +#15139=ORIENTED_EDGE('',*,*,#19854,.T.); +#15140=ORIENTED_EDGE('',*,*,#19855,.T.); +#15141=EDGE_LOOP('',(#15137,#15138,#15139,#15140)); +#15142=FACE_OUTER_BOUND('',#15141,.F.); +#15143=ADVANCED_FACE('',(#15142),#15136,.F.); +#15144=CARTESIAN_POINT('',(1.905E1,1.8225E0,-1.9E-1)); +#15145=AXIS2_PLACEMENT_3D('',#15144,#12442,#76); +#15146=PLANE('',#15145); +#15147=ORIENTED_EDGE('',*,*,#17815,.F.); +#15148=ORIENTED_EDGE('',*,*,#19856,.F.); +#15149=ORIENTED_EDGE('',*,*,#19857,.T.); +#15150=ORIENTED_EDGE('',*,*,#19853,.T.); +#15151=EDGE_LOOP('',(#15147,#15148,#15149,#15150)); +#15152=FACE_OUTER_BOUND('',#15151,.F.); +#15153=ADVANCED_FACE('',(#15152),#15146,.F.); +#15154=CARTESIAN_POINT('',(1.9605E1,1.27E0,-1.9E-1)); +#15155=AXIS2_PLACEMENT_3D('',#15154,#12453,#80); +#15156=PLANE('',#15155); +#15157=ORIENTED_EDGE('',*,*,#17814,.F.); +#15158=ORIENTED_EDGE('',*,*,#19858,.F.); +#15159=ORIENTED_EDGE('',*,*,#19859,.T.); +#15160=ORIENTED_EDGE('',*,*,#19856,.T.); +#15161=EDGE_LOOP('',(#15157,#15158,#15159,#15160)); +#15162=FACE_OUTER_BOUND('',#15161,.F.); +#15163=ADVANCED_FACE('',(#15162),#15156,.F.); +#15164=CARTESIAN_POINT('',(1.905E1,7.175E-1,-1.9E-1)); +#15165=AXIS2_PLACEMENT_3D('',#15164,#12464,#84); +#15166=PLANE('',#15165); +#15167=ORIENTED_EDGE('',*,*,#17813,.F.); +#15168=ORIENTED_EDGE('',*,*,#19855,.F.); +#15169=ORIENTED_EDGE('',*,*,#19860,.T.); +#15170=ORIENTED_EDGE('',*,*,#19858,.T.); +#15171=EDGE_LOOP('',(#15167,#15168,#15169,#15170)); +#15172=FACE_OUTER_BOUND('',#15171,.F.); +#15173=ADVANCED_FACE('',(#15172),#15166,.F.); +#15174=CARTESIAN_POINT('',(5.842E1,-2.54E0,-3.8E-1)); +#15175=AXIS2_PLACEMENT_3D('',#15174,#2100,#84); +#15176=PLANE('',#15175); +#15177=ORIENTED_EDGE('',*,*,#19854,.F.); +#15178=ORIENTED_EDGE('',*,*,#19857,.F.); +#15179=ORIENTED_EDGE('',*,*,#19859,.F.); +#15180=ORIENTED_EDGE('',*,*,#19860,.F.); +#15181=EDGE_LOOP('',(#15177,#15178,#15179,#15180)); +#15182=FACE_OUTER_BOUND('',#15181,.F.); +#15183=ADVANCED_FACE('',(#15182),#15176,.T.); +#15184=CARTESIAN_POINT('',(2.1035E1,1.27E0,-1.9E-1)); +#15185=AXIS2_PLACEMENT_3D('',#15184,#12431,#72); +#15186=PLANE('',#15185); +#15187=ORIENTED_EDGE('',*,*,#17816,.F.); +#15188=ORIENTED_EDGE('',*,*,#19861,.F.); +#15189=ORIENTED_EDGE('',*,*,#19862,.T.); +#15190=ORIENTED_EDGE('',*,*,#19863,.T.); +#15191=EDGE_LOOP('',(#15187,#15188,#15189,#15190)); +#15192=FACE_OUTER_BOUND('',#15191,.F.); +#15193=ADVANCED_FACE('',(#15192),#15186,.F.); +#15194=CARTESIAN_POINT('',(2.159E1,1.8225E0,-1.9E-1)); +#15195=AXIS2_PLACEMENT_3D('',#15194,#12442,#76); +#15196=PLANE('',#15195); +#15197=ORIENTED_EDGE('',*,*,#17819,.F.); +#15198=ORIENTED_EDGE('',*,*,#19864,.F.); +#15199=ORIENTED_EDGE('',*,*,#19865,.T.); +#15200=ORIENTED_EDGE('',*,*,#19861,.T.); +#15201=EDGE_LOOP('',(#15197,#15198,#15199,#15200)); +#15202=FACE_OUTER_BOUND('',#15201,.F.); +#15203=ADVANCED_FACE('',(#15202),#15196,.F.); +#15204=CARTESIAN_POINT('',(2.2145E1,1.27E0,-1.9E-1)); +#15205=AXIS2_PLACEMENT_3D('',#15204,#12453,#80); +#15206=PLANE('',#15205); +#15207=ORIENTED_EDGE('',*,*,#17818,.F.); +#15208=ORIENTED_EDGE('',*,*,#19866,.F.); +#15209=ORIENTED_EDGE('',*,*,#19867,.T.); +#15210=ORIENTED_EDGE('',*,*,#19864,.T.); +#15211=EDGE_LOOP('',(#15207,#15208,#15209,#15210)); +#15212=FACE_OUTER_BOUND('',#15211,.F.); +#15213=ADVANCED_FACE('',(#15212),#15206,.F.); +#15214=CARTESIAN_POINT('',(2.159E1,7.175E-1,-1.9E-1)); +#15215=AXIS2_PLACEMENT_3D('',#15214,#12464,#84); +#15216=PLANE('',#15215); +#15217=ORIENTED_EDGE('',*,*,#17817,.F.); +#15218=ORIENTED_EDGE('',*,*,#19863,.F.); +#15219=ORIENTED_EDGE('',*,*,#19868,.T.); +#15220=ORIENTED_EDGE('',*,*,#19866,.T.); +#15221=EDGE_LOOP('',(#15217,#15218,#15219,#15220)); +#15222=FACE_OUTER_BOUND('',#15221,.F.); +#15223=ADVANCED_FACE('',(#15222),#15216,.F.); +#15224=CARTESIAN_POINT('',(6.096E1,-2.54E0,-3.8E-1)); +#15225=AXIS2_PLACEMENT_3D('',#15224,#2100,#84); +#15226=PLANE('',#15225); +#15227=ORIENTED_EDGE('',*,*,#19862,.F.); +#15228=ORIENTED_EDGE('',*,*,#19865,.F.); +#15229=ORIENTED_EDGE('',*,*,#19867,.F.); +#15230=ORIENTED_EDGE('',*,*,#19868,.F.); +#15231=EDGE_LOOP('',(#15227,#15228,#15229,#15230)); +#15232=FACE_OUTER_BOUND('',#15231,.F.); +#15233=ADVANCED_FACE('',(#15232),#15226,.T.); +#15234=CARTESIAN_POINT('',(2.3575E1,1.27E0,-1.9E-1)); +#15235=AXIS2_PLACEMENT_3D('',#15234,#12431,#72); +#15236=PLANE('',#15235); +#15237=ORIENTED_EDGE('',*,*,#17820,.F.); +#15238=ORIENTED_EDGE('',*,*,#19869,.F.); +#15239=ORIENTED_EDGE('',*,*,#19870,.T.); +#15240=ORIENTED_EDGE('',*,*,#19871,.T.); +#15241=EDGE_LOOP('',(#15237,#15238,#15239,#15240)); +#15242=FACE_OUTER_BOUND('',#15241,.F.); +#15243=ADVANCED_FACE('',(#15242),#15236,.F.); +#15244=CARTESIAN_POINT('',(2.413E1,1.8225E0,-1.9E-1)); +#15245=AXIS2_PLACEMENT_3D('',#15244,#12442,#76); +#15246=PLANE('',#15245); +#15247=ORIENTED_EDGE('',*,*,#17823,.F.); +#15248=ORIENTED_EDGE('',*,*,#19872,.F.); +#15249=ORIENTED_EDGE('',*,*,#19873,.T.); +#15250=ORIENTED_EDGE('',*,*,#19869,.T.); +#15251=EDGE_LOOP('',(#15247,#15248,#15249,#15250)); +#15252=FACE_OUTER_BOUND('',#15251,.F.); +#15253=ADVANCED_FACE('',(#15252),#15246,.F.); +#15254=CARTESIAN_POINT('',(2.4685E1,1.27E0,-1.9E-1)); +#15255=AXIS2_PLACEMENT_3D('',#15254,#12453,#80); +#15256=PLANE('',#15255); +#15257=ORIENTED_EDGE('',*,*,#17822,.F.); +#15258=ORIENTED_EDGE('',*,*,#19874,.F.); +#15259=ORIENTED_EDGE('',*,*,#19875,.T.); +#15260=ORIENTED_EDGE('',*,*,#19872,.T.); +#15261=EDGE_LOOP('',(#15257,#15258,#15259,#15260)); +#15262=FACE_OUTER_BOUND('',#15261,.F.); +#15263=ADVANCED_FACE('',(#15262),#15256,.F.); +#15264=CARTESIAN_POINT('',(2.413E1,7.175E-1,-1.9E-1)); +#15265=AXIS2_PLACEMENT_3D('',#15264,#12464,#84); +#15266=PLANE('',#15265); +#15267=ORIENTED_EDGE('',*,*,#17821,.F.); +#15268=ORIENTED_EDGE('',*,*,#19871,.F.); +#15269=ORIENTED_EDGE('',*,*,#19876,.T.); +#15270=ORIENTED_EDGE('',*,*,#19874,.T.); +#15271=EDGE_LOOP('',(#15267,#15268,#15269,#15270)); +#15272=FACE_OUTER_BOUND('',#15271,.F.); +#15273=ADVANCED_FACE('',(#15272),#15266,.F.); +#15274=CARTESIAN_POINT('',(6.35E1,-2.54E0,-3.8E-1)); +#15275=AXIS2_PLACEMENT_3D('',#15274,#2100,#84); +#15276=PLANE('',#15275); +#15277=ORIENTED_EDGE('',*,*,#19870,.F.); +#15278=ORIENTED_EDGE('',*,*,#19873,.F.); +#15279=ORIENTED_EDGE('',*,*,#19875,.F.); +#15280=ORIENTED_EDGE('',*,*,#19876,.F.); +#15281=EDGE_LOOP('',(#15277,#15278,#15279,#15280)); +#15282=FACE_OUTER_BOUND('',#15281,.F.); +#15283=ADVANCED_FACE('',(#15282),#15276,.T.); +#15284=CARTESIAN_POINT('',(2.6115E1,1.27E0,-1.9E-1)); +#15285=AXIS2_PLACEMENT_3D('',#15284,#12431,#72); +#15286=PLANE('',#15285); +#15287=ORIENTED_EDGE('',*,*,#17824,.F.); +#15288=ORIENTED_EDGE('',*,*,#19877,.F.); +#15289=ORIENTED_EDGE('',*,*,#19878,.T.); +#15290=ORIENTED_EDGE('',*,*,#19879,.T.); +#15291=EDGE_LOOP('',(#15287,#15288,#15289,#15290)); +#15292=FACE_OUTER_BOUND('',#15291,.F.); +#15293=ADVANCED_FACE('',(#15292),#15286,.F.); +#15294=CARTESIAN_POINT('',(2.667E1,1.8225E0,-1.9E-1)); +#15295=AXIS2_PLACEMENT_3D('',#15294,#12442,#76); +#15296=PLANE('',#15295); +#15297=ORIENTED_EDGE('',*,*,#17827,.F.); +#15298=ORIENTED_EDGE('',*,*,#19880,.F.); +#15299=ORIENTED_EDGE('',*,*,#19881,.T.); +#15300=ORIENTED_EDGE('',*,*,#19877,.T.); +#15301=EDGE_LOOP('',(#15297,#15298,#15299,#15300)); +#15302=FACE_OUTER_BOUND('',#15301,.F.); +#15303=ADVANCED_FACE('',(#15302),#15296,.F.); +#15304=CARTESIAN_POINT('',(2.7225E1,1.27E0,-1.9E-1)); +#15305=AXIS2_PLACEMENT_3D('',#15304,#12453,#80); +#15306=PLANE('',#15305); +#15307=ORIENTED_EDGE('',*,*,#17826,.F.); +#15308=ORIENTED_EDGE('',*,*,#19882,.F.); +#15309=ORIENTED_EDGE('',*,*,#19883,.T.); +#15310=ORIENTED_EDGE('',*,*,#19880,.T.); +#15311=EDGE_LOOP('',(#15307,#15308,#15309,#15310)); +#15312=FACE_OUTER_BOUND('',#15311,.F.); +#15313=ADVANCED_FACE('',(#15312),#15306,.F.); +#15314=CARTESIAN_POINT('',(2.667E1,7.175E-1,-1.9E-1)); +#15315=AXIS2_PLACEMENT_3D('',#15314,#12464,#84); +#15316=PLANE('',#15315); +#15317=ORIENTED_EDGE('',*,*,#17825,.F.); +#15318=ORIENTED_EDGE('',*,*,#19879,.F.); +#15319=ORIENTED_EDGE('',*,*,#19884,.T.); +#15320=ORIENTED_EDGE('',*,*,#19882,.T.); +#15321=EDGE_LOOP('',(#15317,#15318,#15319,#15320)); +#15322=FACE_OUTER_BOUND('',#15321,.F.); +#15323=ADVANCED_FACE('',(#15322),#15316,.F.); +#15324=CARTESIAN_POINT('',(6.604E1,-2.54E0,-3.8E-1)); +#15325=AXIS2_PLACEMENT_3D('',#15324,#2100,#84); +#15326=PLANE('',#15325); +#15327=ORIENTED_EDGE('',*,*,#19878,.F.); +#15328=ORIENTED_EDGE('',*,*,#19881,.F.); +#15329=ORIENTED_EDGE('',*,*,#19883,.F.); +#15330=ORIENTED_EDGE('',*,*,#19884,.F.); +#15331=EDGE_LOOP('',(#15327,#15328,#15329,#15330)); +#15332=FACE_OUTER_BOUND('',#15331,.F.); +#15333=ADVANCED_FACE('',(#15332),#15326,.T.); +#15334=CARTESIAN_POINT('',(2.8655E1,1.27E0,-1.9E-1)); +#15335=AXIS2_PLACEMENT_3D('',#15334,#12431,#72); +#15336=PLANE('',#15335); +#15337=ORIENTED_EDGE('',*,*,#17828,.F.); +#15338=ORIENTED_EDGE('',*,*,#19885,.F.); +#15339=ORIENTED_EDGE('',*,*,#19886,.T.); +#15340=ORIENTED_EDGE('',*,*,#19887,.T.); +#15341=EDGE_LOOP('',(#15337,#15338,#15339,#15340)); +#15342=FACE_OUTER_BOUND('',#15341,.F.); +#15343=ADVANCED_FACE('',(#15342),#15336,.F.); +#15344=CARTESIAN_POINT('',(2.921E1,1.8225E0,-1.9E-1)); +#15345=AXIS2_PLACEMENT_3D('',#15344,#12442,#76); +#15346=PLANE('',#15345); +#15347=ORIENTED_EDGE('',*,*,#17831,.F.); +#15348=ORIENTED_EDGE('',*,*,#19888,.F.); +#15349=ORIENTED_EDGE('',*,*,#19889,.T.); +#15350=ORIENTED_EDGE('',*,*,#19885,.T.); +#15351=EDGE_LOOP('',(#15347,#15348,#15349,#15350)); +#15352=FACE_OUTER_BOUND('',#15351,.F.); +#15353=ADVANCED_FACE('',(#15352),#15346,.F.); +#15354=CARTESIAN_POINT('',(2.9765E1,1.27E0,-1.9E-1)); +#15355=AXIS2_PLACEMENT_3D('',#15354,#12453,#80); +#15356=PLANE('',#15355); +#15357=ORIENTED_EDGE('',*,*,#17830,.F.); +#15358=ORIENTED_EDGE('',*,*,#19890,.F.); +#15359=ORIENTED_EDGE('',*,*,#19891,.T.); +#15360=ORIENTED_EDGE('',*,*,#19888,.T.); +#15361=EDGE_LOOP('',(#15357,#15358,#15359,#15360)); +#15362=FACE_OUTER_BOUND('',#15361,.F.); +#15363=ADVANCED_FACE('',(#15362),#15356,.F.); +#15364=CARTESIAN_POINT('',(2.921E1,7.175E-1,-1.9E-1)); +#15365=AXIS2_PLACEMENT_3D('',#15364,#12464,#84); +#15366=PLANE('',#15365); +#15367=ORIENTED_EDGE('',*,*,#17829,.F.); +#15368=ORIENTED_EDGE('',*,*,#19887,.F.); +#15369=ORIENTED_EDGE('',*,*,#19892,.T.); +#15370=ORIENTED_EDGE('',*,*,#19890,.T.); +#15371=EDGE_LOOP('',(#15367,#15368,#15369,#15370)); +#15372=FACE_OUTER_BOUND('',#15371,.F.); +#15373=ADVANCED_FACE('',(#15372),#15366,.F.); +#15374=CARTESIAN_POINT('',(6.858E1,-2.54E0,-3.8E-1)); +#15375=AXIS2_PLACEMENT_3D('',#15374,#2100,#84); +#15376=PLANE('',#15375); +#15377=ORIENTED_EDGE('',*,*,#19886,.F.); +#15378=ORIENTED_EDGE('',*,*,#19889,.F.); +#15379=ORIENTED_EDGE('',*,*,#19891,.F.); +#15380=ORIENTED_EDGE('',*,*,#19892,.F.); +#15381=EDGE_LOOP('',(#15377,#15378,#15379,#15380)); +#15382=FACE_OUTER_BOUND('',#15381,.F.); +#15383=ADVANCED_FACE('',(#15382),#15376,.T.); +#15384=CARTESIAN_POINT('',(3.1195E1,1.27E0,-1.9E-1)); +#15385=AXIS2_PLACEMENT_3D('',#15384,#12431,#72); +#15386=PLANE('',#15385); +#15387=ORIENTED_EDGE('',*,*,#17832,.F.); +#15388=ORIENTED_EDGE('',*,*,#19893,.F.); +#15389=ORIENTED_EDGE('',*,*,#19894,.T.); +#15390=ORIENTED_EDGE('',*,*,#19895,.T.); +#15391=EDGE_LOOP('',(#15387,#15388,#15389,#15390)); +#15392=FACE_OUTER_BOUND('',#15391,.F.); +#15393=ADVANCED_FACE('',(#15392),#15386,.F.); +#15394=CARTESIAN_POINT('',(3.175E1,1.8225E0,-1.9E-1)); +#15395=AXIS2_PLACEMENT_3D('',#15394,#12442,#76); +#15396=PLANE('',#15395); +#15397=ORIENTED_EDGE('',*,*,#17835,.F.); +#15398=ORIENTED_EDGE('',*,*,#19896,.F.); +#15399=ORIENTED_EDGE('',*,*,#19897,.T.); +#15400=ORIENTED_EDGE('',*,*,#19893,.T.); +#15401=EDGE_LOOP('',(#15397,#15398,#15399,#15400)); +#15402=FACE_OUTER_BOUND('',#15401,.F.); +#15403=ADVANCED_FACE('',(#15402),#15396,.F.); +#15404=CARTESIAN_POINT('',(3.2305E1,1.27E0,-1.9E-1)); +#15405=AXIS2_PLACEMENT_3D('',#15404,#12453,#80); +#15406=PLANE('',#15405); +#15407=ORIENTED_EDGE('',*,*,#17834,.F.); +#15408=ORIENTED_EDGE('',*,*,#19898,.F.); +#15409=ORIENTED_EDGE('',*,*,#19899,.T.); +#15410=ORIENTED_EDGE('',*,*,#19896,.T.); +#15411=EDGE_LOOP('',(#15407,#15408,#15409,#15410)); +#15412=FACE_OUTER_BOUND('',#15411,.F.); +#15413=ADVANCED_FACE('',(#15412),#15406,.F.); +#15414=CARTESIAN_POINT('',(3.175E1,7.175E-1,-1.9E-1)); +#15415=AXIS2_PLACEMENT_3D('',#15414,#12464,#84); +#15416=PLANE('',#15415); +#15417=ORIENTED_EDGE('',*,*,#17833,.F.); +#15418=ORIENTED_EDGE('',*,*,#19895,.F.); +#15419=ORIENTED_EDGE('',*,*,#19900,.T.); +#15420=ORIENTED_EDGE('',*,*,#19898,.T.); +#15421=EDGE_LOOP('',(#15417,#15418,#15419,#15420)); +#15422=FACE_OUTER_BOUND('',#15421,.F.); +#15423=ADVANCED_FACE('',(#15422),#15416,.F.); +#15424=CARTESIAN_POINT('',(7.112E1,-2.54E0,-3.8E-1)); +#15425=AXIS2_PLACEMENT_3D('',#15424,#2100,#84); +#15426=PLANE('',#15425); +#15427=ORIENTED_EDGE('',*,*,#19894,.F.); +#15428=ORIENTED_EDGE('',*,*,#19897,.F.); +#15429=ORIENTED_EDGE('',*,*,#19899,.F.); +#15430=ORIENTED_EDGE('',*,*,#19900,.F.); +#15431=EDGE_LOOP('',(#15427,#15428,#15429,#15430)); +#15432=FACE_OUTER_BOUND('',#15431,.F.); +#15433=ADVANCED_FACE('',(#15432),#15426,.T.); +#15434=CARTESIAN_POINT('',(3.3735E1,1.27E0,-1.9E-1)); +#15435=AXIS2_PLACEMENT_3D('',#15434,#12431,#72); +#15436=PLANE('',#15435); +#15437=ORIENTED_EDGE('',*,*,#17836,.F.); +#15438=ORIENTED_EDGE('',*,*,#19901,.F.); +#15439=ORIENTED_EDGE('',*,*,#19902,.T.); +#15440=ORIENTED_EDGE('',*,*,#19903,.T.); +#15441=EDGE_LOOP('',(#15437,#15438,#15439,#15440)); +#15442=FACE_OUTER_BOUND('',#15441,.F.); +#15443=ADVANCED_FACE('',(#15442),#15436,.F.); +#15444=CARTESIAN_POINT('',(3.429E1,1.8225E0,-1.9E-1)); +#15445=AXIS2_PLACEMENT_3D('',#15444,#12442,#76); +#15446=PLANE('',#15445); +#15447=ORIENTED_EDGE('',*,*,#17839,.F.); +#15448=ORIENTED_EDGE('',*,*,#19904,.F.); +#15449=ORIENTED_EDGE('',*,*,#19905,.T.); +#15450=ORIENTED_EDGE('',*,*,#19901,.T.); +#15451=EDGE_LOOP('',(#15447,#15448,#15449,#15450)); +#15452=FACE_OUTER_BOUND('',#15451,.F.); +#15453=ADVANCED_FACE('',(#15452),#15446,.F.); +#15454=CARTESIAN_POINT('',(3.4845E1,1.27E0,-1.9E-1)); +#15455=AXIS2_PLACEMENT_3D('',#15454,#12453,#80); +#15456=PLANE('',#15455); +#15457=ORIENTED_EDGE('',*,*,#17838,.F.); +#15458=ORIENTED_EDGE('',*,*,#19906,.F.); +#15459=ORIENTED_EDGE('',*,*,#19907,.T.); +#15460=ORIENTED_EDGE('',*,*,#19904,.T.); +#15461=EDGE_LOOP('',(#15457,#15458,#15459,#15460)); +#15462=FACE_OUTER_BOUND('',#15461,.F.); +#15463=ADVANCED_FACE('',(#15462),#15456,.F.); +#15464=CARTESIAN_POINT('',(3.429E1,7.175E-1,-1.9E-1)); +#15465=AXIS2_PLACEMENT_3D('',#15464,#12464,#84); +#15466=PLANE('',#15465); +#15467=ORIENTED_EDGE('',*,*,#17837,.F.); +#15468=ORIENTED_EDGE('',*,*,#19903,.F.); +#15469=ORIENTED_EDGE('',*,*,#19908,.T.); +#15470=ORIENTED_EDGE('',*,*,#19906,.T.); +#15471=EDGE_LOOP('',(#15467,#15468,#15469,#15470)); +#15472=FACE_OUTER_BOUND('',#15471,.F.); +#15473=ADVANCED_FACE('',(#15472),#15466,.F.); +#15474=CARTESIAN_POINT('',(7.366E1,-2.54E0,-3.8E-1)); +#15475=AXIS2_PLACEMENT_3D('',#15474,#2100,#84); +#15476=PLANE('',#15475); +#15477=ORIENTED_EDGE('',*,*,#19902,.F.); +#15478=ORIENTED_EDGE('',*,*,#19905,.F.); +#15479=ORIENTED_EDGE('',*,*,#19907,.F.); +#15480=ORIENTED_EDGE('',*,*,#19908,.F.); +#15481=EDGE_LOOP('',(#15477,#15478,#15479,#15480)); +#15482=FACE_OUTER_BOUND('',#15481,.F.); +#15483=ADVANCED_FACE('',(#15482),#15476,.T.); +#15484=CARTESIAN_POINT('',(3.6275E1,1.27E0,-1.9E-1)); +#15485=AXIS2_PLACEMENT_3D('',#15484,#12431,#72); +#15486=PLANE('',#15485); +#15487=ORIENTED_EDGE('',*,*,#17840,.F.); +#15488=ORIENTED_EDGE('',*,*,#19909,.F.); +#15489=ORIENTED_EDGE('',*,*,#19910,.T.); +#15490=ORIENTED_EDGE('',*,*,#19911,.T.); +#15491=EDGE_LOOP('',(#15487,#15488,#15489,#15490)); +#15492=FACE_OUTER_BOUND('',#15491,.F.); +#15493=ADVANCED_FACE('',(#15492),#15486,.F.); +#15494=CARTESIAN_POINT('',(3.683E1,1.8225E0,-1.9E-1)); +#15495=AXIS2_PLACEMENT_3D('',#15494,#12442,#76); +#15496=PLANE('',#15495); +#15497=ORIENTED_EDGE('',*,*,#17843,.F.); +#15498=ORIENTED_EDGE('',*,*,#19912,.F.); +#15499=ORIENTED_EDGE('',*,*,#19913,.T.); +#15500=ORIENTED_EDGE('',*,*,#19909,.T.); +#15501=EDGE_LOOP('',(#15497,#15498,#15499,#15500)); +#15502=FACE_OUTER_BOUND('',#15501,.F.); +#15503=ADVANCED_FACE('',(#15502),#15496,.F.); +#15504=CARTESIAN_POINT('',(3.7385E1,1.27E0,-1.9E-1)); +#15505=AXIS2_PLACEMENT_3D('',#15504,#12453,#80); +#15506=PLANE('',#15505); +#15507=ORIENTED_EDGE('',*,*,#17842,.F.); +#15508=ORIENTED_EDGE('',*,*,#19914,.F.); +#15509=ORIENTED_EDGE('',*,*,#19915,.T.); +#15510=ORIENTED_EDGE('',*,*,#19912,.T.); +#15511=EDGE_LOOP('',(#15507,#15508,#15509,#15510)); +#15512=FACE_OUTER_BOUND('',#15511,.F.); +#15513=ADVANCED_FACE('',(#15512),#15506,.F.); +#15514=CARTESIAN_POINT('',(3.683E1,7.175E-1,-1.9E-1)); +#15515=AXIS2_PLACEMENT_3D('',#15514,#12464,#84); +#15516=PLANE('',#15515); +#15517=ORIENTED_EDGE('',*,*,#17841,.F.); +#15518=ORIENTED_EDGE('',*,*,#19911,.F.); +#15519=ORIENTED_EDGE('',*,*,#19916,.T.); +#15520=ORIENTED_EDGE('',*,*,#19914,.T.); +#15521=EDGE_LOOP('',(#15517,#15518,#15519,#15520)); +#15522=FACE_OUTER_BOUND('',#15521,.F.); +#15523=ADVANCED_FACE('',(#15522),#15516,.F.); +#15524=CARTESIAN_POINT('',(7.62E1,-2.54E0,-3.8E-1)); +#15525=AXIS2_PLACEMENT_3D('',#15524,#2100,#84); +#15526=PLANE('',#15525); +#15527=ORIENTED_EDGE('',*,*,#19910,.F.); +#15528=ORIENTED_EDGE('',*,*,#19913,.F.); +#15529=ORIENTED_EDGE('',*,*,#19915,.F.); +#15530=ORIENTED_EDGE('',*,*,#19916,.F.); +#15531=EDGE_LOOP('',(#15527,#15528,#15529,#15530)); +#15532=FACE_OUTER_BOUND('',#15531,.F.); +#15533=ADVANCED_FACE('',(#15532),#15526,.T.); +#15534=CARTESIAN_POINT('',(3.8815E1,1.27E0,-1.9E-1)); +#15535=AXIS2_PLACEMENT_3D('',#15534,#12431,#72); +#15536=PLANE('',#15535); +#15537=ORIENTED_EDGE('',*,*,#17844,.F.); +#15538=ORIENTED_EDGE('',*,*,#19917,.F.); +#15539=ORIENTED_EDGE('',*,*,#19918,.T.); +#15540=ORIENTED_EDGE('',*,*,#19919,.T.); +#15541=EDGE_LOOP('',(#15537,#15538,#15539,#15540)); +#15542=FACE_OUTER_BOUND('',#15541,.F.); +#15543=ADVANCED_FACE('',(#15542),#15536,.F.); +#15544=CARTESIAN_POINT('',(3.937E1,1.8225E0,-1.9E-1)); +#15545=AXIS2_PLACEMENT_3D('',#15544,#12442,#76); +#15546=PLANE('',#15545); +#15547=ORIENTED_EDGE('',*,*,#17847,.F.); +#15548=ORIENTED_EDGE('',*,*,#19920,.F.); +#15549=ORIENTED_EDGE('',*,*,#19921,.T.); +#15550=ORIENTED_EDGE('',*,*,#19917,.T.); +#15551=EDGE_LOOP('',(#15547,#15548,#15549,#15550)); +#15552=FACE_OUTER_BOUND('',#15551,.F.); +#15553=ADVANCED_FACE('',(#15552),#15546,.F.); +#15554=CARTESIAN_POINT('',(3.9925E1,1.27E0,-1.9E-1)); +#15555=AXIS2_PLACEMENT_3D('',#15554,#12453,#80); +#15556=PLANE('',#15555); +#15557=ORIENTED_EDGE('',*,*,#17846,.F.); +#15558=ORIENTED_EDGE('',*,*,#19922,.F.); +#15559=ORIENTED_EDGE('',*,*,#19923,.T.); +#15560=ORIENTED_EDGE('',*,*,#19920,.T.); +#15561=EDGE_LOOP('',(#15557,#15558,#15559,#15560)); +#15562=FACE_OUTER_BOUND('',#15561,.F.); +#15563=ADVANCED_FACE('',(#15562),#15556,.F.); +#15564=CARTESIAN_POINT('',(3.937E1,7.175E-1,-1.9E-1)); +#15565=AXIS2_PLACEMENT_3D('',#15564,#12464,#84); +#15566=PLANE('',#15565); +#15567=ORIENTED_EDGE('',*,*,#17845,.F.); +#15568=ORIENTED_EDGE('',*,*,#19919,.F.); +#15569=ORIENTED_EDGE('',*,*,#19924,.T.); +#15570=ORIENTED_EDGE('',*,*,#19922,.T.); +#15571=EDGE_LOOP('',(#15567,#15568,#15569,#15570)); +#15572=FACE_OUTER_BOUND('',#15571,.F.); +#15573=ADVANCED_FACE('',(#15572),#15566,.F.); +#15574=CARTESIAN_POINT('',(7.874E1,-2.54E0,-3.8E-1)); +#15575=AXIS2_PLACEMENT_3D('',#15574,#2100,#84); +#15576=PLANE('',#15575); +#15577=ORIENTED_EDGE('',*,*,#19918,.F.); +#15578=ORIENTED_EDGE('',*,*,#19921,.F.); +#15579=ORIENTED_EDGE('',*,*,#19923,.F.); +#15580=ORIENTED_EDGE('',*,*,#19924,.F.); +#15581=EDGE_LOOP('',(#15577,#15578,#15579,#15580)); +#15582=FACE_OUTER_BOUND('',#15581,.F.); +#15583=ADVANCED_FACE('',(#15582),#15576,.T.); +#15584=CARTESIAN_POINT('',(-1.9605E1,-1.27E0,-1.9E-1)); +#15585=AXIS2_PLACEMENT_3D('',#15584,#12431,#72); +#15586=PLANE('',#15585); +#15587=ORIENTED_EDGE('',*,*,#17848,.F.); +#15588=ORIENTED_EDGE('',*,*,#19925,.F.); +#15589=ORIENTED_EDGE('',*,*,#19926,.T.); +#15590=ORIENTED_EDGE('',*,*,#19927,.T.); +#15591=EDGE_LOOP('',(#15587,#15588,#15589,#15590)); +#15592=FACE_OUTER_BOUND('',#15591,.F.); +#15593=ADVANCED_FACE('',(#15592),#15586,.F.); +#15594=CARTESIAN_POINT('',(-1.905E1,-7.175E-1,-1.9E-1)); +#15595=AXIS2_PLACEMENT_3D('',#15594,#12442,#76); +#15596=PLANE('',#15595); +#15597=ORIENTED_EDGE('',*,*,#17851,.F.); +#15598=ORIENTED_EDGE('',*,*,#19928,.F.); +#15599=ORIENTED_EDGE('',*,*,#19929,.T.); +#15600=ORIENTED_EDGE('',*,*,#19925,.T.); +#15601=EDGE_LOOP('',(#15597,#15598,#15599,#15600)); +#15602=FACE_OUTER_BOUND('',#15601,.F.); +#15603=ADVANCED_FACE('',(#15602),#15596,.F.); +#15604=CARTESIAN_POINT('',(-1.8495E1,-1.27E0,-1.9E-1)); +#15605=AXIS2_PLACEMENT_3D('',#15604,#12453,#80); +#15606=PLANE('',#15605); +#15607=ORIENTED_EDGE('',*,*,#17850,.F.); +#15608=ORIENTED_EDGE('',*,*,#19930,.F.); +#15609=ORIENTED_EDGE('',*,*,#19931,.T.); +#15610=ORIENTED_EDGE('',*,*,#19928,.T.); +#15611=EDGE_LOOP('',(#15607,#15608,#15609,#15610)); +#15612=FACE_OUTER_BOUND('',#15611,.F.); +#15613=ADVANCED_FACE('',(#15612),#15606,.F.); +#15614=CARTESIAN_POINT('',(-1.905E1,-1.8225E0,-1.9E-1)); +#15615=AXIS2_PLACEMENT_3D('',#15614,#12464,#84); +#15616=PLANE('',#15615); +#15617=ORIENTED_EDGE('',*,*,#17849,.F.); +#15618=ORIENTED_EDGE('',*,*,#19927,.F.); +#15619=ORIENTED_EDGE('',*,*,#19932,.T.); +#15620=ORIENTED_EDGE('',*,*,#19930,.T.); +#15621=EDGE_LOOP('',(#15617,#15618,#15619,#15620)); +#15622=FACE_OUTER_BOUND('',#15621,.F.); +#15623=ADVANCED_FACE('',(#15622),#15616,.F.); +#15624=CARTESIAN_POINT('',(2.032E1,-5.08E0,-3.8E-1)); +#15625=AXIS2_PLACEMENT_3D('',#15624,#2100,#84); +#15626=PLANE('',#15625); +#15627=ORIENTED_EDGE('',*,*,#19926,.F.); +#15628=ORIENTED_EDGE('',*,*,#19929,.F.); +#15629=ORIENTED_EDGE('',*,*,#19931,.F.); +#15630=ORIENTED_EDGE('',*,*,#19932,.F.); +#15631=EDGE_LOOP('',(#15627,#15628,#15629,#15630)); +#15632=FACE_OUTER_BOUND('',#15631,.F.); +#15633=ADVANCED_FACE('',(#15632),#15626,.T.); +#15634=CARTESIAN_POINT('',(-1.7065E1,-1.27E0,-1.9E-1)); +#15635=AXIS2_PLACEMENT_3D('',#15634,#12431,#72); +#15636=PLANE('',#15635); +#15637=ORIENTED_EDGE('',*,*,#17852,.F.); +#15638=ORIENTED_EDGE('',*,*,#19933,.F.); +#15639=ORIENTED_EDGE('',*,*,#19934,.T.); +#15640=ORIENTED_EDGE('',*,*,#19935,.T.); +#15641=EDGE_LOOP('',(#15637,#15638,#15639,#15640)); +#15642=FACE_OUTER_BOUND('',#15641,.F.); +#15643=ADVANCED_FACE('',(#15642),#15636,.F.); +#15644=CARTESIAN_POINT('',(-1.651E1,-7.175E-1,-1.9E-1)); +#15645=AXIS2_PLACEMENT_3D('',#15644,#12442,#76); +#15646=PLANE('',#15645); +#15647=ORIENTED_EDGE('',*,*,#17855,.F.); +#15648=ORIENTED_EDGE('',*,*,#19936,.F.); +#15649=ORIENTED_EDGE('',*,*,#19937,.T.); +#15650=ORIENTED_EDGE('',*,*,#19933,.T.); +#15651=EDGE_LOOP('',(#15647,#15648,#15649,#15650)); +#15652=FACE_OUTER_BOUND('',#15651,.F.); +#15653=ADVANCED_FACE('',(#15652),#15646,.F.); +#15654=CARTESIAN_POINT('',(-1.5955E1,-1.27E0,-1.9E-1)); +#15655=AXIS2_PLACEMENT_3D('',#15654,#12453,#80); +#15656=PLANE('',#15655); +#15657=ORIENTED_EDGE('',*,*,#17854,.F.); +#15658=ORIENTED_EDGE('',*,*,#19938,.F.); +#15659=ORIENTED_EDGE('',*,*,#19939,.T.); +#15660=ORIENTED_EDGE('',*,*,#19936,.T.); +#15661=EDGE_LOOP('',(#15657,#15658,#15659,#15660)); +#15662=FACE_OUTER_BOUND('',#15661,.F.); +#15663=ADVANCED_FACE('',(#15662),#15656,.F.); +#15664=CARTESIAN_POINT('',(-1.651E1,-1.8225E0,-1.9E-1)); +#15665=AXIS2_PLACEMENT_3D('',#15664,#12464,#84); +#15666=PLANE('',#15665); +#15667=ORIENTED_EDGE('',*,*,#17853,.F.); +#15668=ORIENTED_EDGE('',*,*,#19935,.F.); +#15669=ORIENTED_EDGE('',*,*,#19940,.T.); +#15670=ORIENTED_EDGE('',*,*,#19938,.T.); +#15671=EDGE_LOOP('',(#15667,#15668,#15669,#15670)); +#15672=FACE_OUTER_BOUND('',#15671,.F.); +#15673=ADVANCED_FACE('',(#15672),#15666,.F.); +#15674=CARTESIAN_POINT('',(2.286E1,-5.08E0,-3.8E-1)); +#15675=AXIS2_PLACEMENT_3D('',#15674,#2100,#84); +#15676=PLANE('',#15675); +#15677=ORIENTED_EDGE('',*,*,#19934,.F.); +#15678=ORIENTED_EDGE('',*,*,#19937,.F.); +#15679=ORIENTED_EDGE('',*,*,#19939,.F.); +#15680=ORIENTED_EDGE('',*,*,#19940,.F.); +#15681=EDGE_LOOP('',(#15677,#15678,#15679,#15680)); +#15682=FACE_OUTER_BOUND('',#15681,.F.); +#15683=ADVANCED_FACE('',(#15682),#15676,.T.); +#15684=CARTESIAN_POINT('',(-1.4525E1,-1.27E0,-1.9E-1)); +#15685=AXIS2_PLACEMENT_3D('',#15684,#12431,#72); +#15686=PLANE('',#15685); +#15687=ORIENTED_EDGE('',*,*,#17856,.F.); +#15688=ORIENTED_EDGE('',*,*,#19941,.F.); +#15689=ORIENTED_EDGE('',*,*,#19942,.T.); +#15690=ORIENTED_EDGE('',*,*,#19943,.T.); +#15691=EDGE_LOOP('',(#15687,#15688,#15689,#15690)); +#15692=FACE_OUTER_BOUND('',#15691,.F.); +#15693=ADVANCED_FACE('',(#15692),#15686,.F.); +#15694=CARTESIAN_POINT('',(-1.397E1,-7.175E-1,-1.9E-1)); +#15695=AXIS2_PLACEMENT_3D('',#15694,#12442,#76); +#15696=PLANE('',#15695); +#15697=ORIENTED_EDGE('',*,*,#17859,.F.); +#15698=ORIENTED_EDGE('',*,*,#19944,.F.); +#15699=ORIENTED_EDGE('',*,*,#19945,.T.); +#15700=ORIENTED_EDGE('',*,*,#19941,.T.); +#15701=EDGE_LOOP('',(#15697,#15698,#15699,#15700)); +#15702=FACE_OUTER_BOUND('',#15701,.F.); +#15703=ADVANCED_FACE('',(#15702),#15696,.F.); +#15704=CARTESIAN_POINT('',(-1.3415E1,-1.27E0,-1.9E-1)); +#15705=AXIS2_PLACEMENT_3D('',#15704,#12453,#80); +#15706=PLANE('',#15705); +#15707=ORIENTED_EDGE('',*,*,#17858,.F.); +#15708=ORIENTED_EDGE('',*,*,#19946,.F.); +#15709=ORIENTED_EDGE('',*,*,#19947,.T.); +#15710=ORIENTED_EDGE('',*,*,#19944,.T.); +#15711=EDGE_LOOP('',(#15707,#15708,#15709,#15710)); +#15712=FACE_OUTER_BOUND('',#15711,.F.); +#15713=ADVANCED_FACE('',(#15712),#15706,.F.); +#15714=CARTESIAN_POINT('',(-1.397E1,-1.8225E0,-1.9E-1)); +#15715=AXIS2_PLACEMENT_3D('',#15714,#12464,#84); +#15716=PLANE('',#15715); +#15717=ORIENTED_EDGE('',*,*,#17857,.F.); +#15718=ORIENTED_EDGE('',*,*,#19943,.F.); +#15719=ORIENTED_EDGE('',*,*,#19948,.T.); +#15720=ORIENTED_EDGE('',*,*,#19946,.T.); +#15721=EDGE_LOOP('',(#15717,#15718,#15719,#15720)); +#15722=FACE_OUTER_BOUND('',#15721,.F.); +#15723=ADVANCED_FACE('',(#15722),#15716,.F.); +#15724=CARTESIAN_POINT('',(2.54E1,-5.08E0,-3.8E-1)); +#15725=AXIS2_PLACEMENT_3D('',#15724,#2100,#84); +#15726=PLANE('',#15725); +#15727=ORIENTED_EDGE('',*,*,#19942,.F.); +#15728=ORIENTED_EDGE('',*,*,#19945,.F.); +#15729=ORIENTED_EDGE('',*,*,#19947,.F.); +#15730=ORIENTED_EDGE('',*,*,#19948,.F.); +#15731=EDGE_LOOP('',(#15727,#15728,#15729,#15730)); +#15732=FACE_OUTER_BOUND('',#15731,.F.); +#15733=ADVANCED_FACE('',(#15732),#15726,.T.); +#15734=CARTESIAN_POINT('',(-1.1985E1,-1.27E0,-1.9E-1)); +#15735=AXIS2_PLACEMENT_3D('',#15734,#12431,#72); +#15736=PLANE('',#15735); +#15737=ORIENTED_EDGE('',*,*,#17860,.F.); +#15738=ORIENTED_EDGE('',*,*,#19949,.F.); +#15739=ORIENTED_EDGE('',*,*,#19950,.T.); +#15740=ORIENTED_EDGE('',*,*,#19951,.T.); +#15741=EDGE_LOOP('',(#15737,#15738,#15739,#15740)); +#15742=FACE_OUTER_BOUND('',#15741,.F.); +#15743=ADVANCED_FACE('',(#15742),#15736,.F.); +#15744=CARTESIAN_POINT('',(-1.143E1,-7.175E-1,-1.9E-1)); +#15745=AXIS2_PLACEMENT_3D('',#15744,#12442,#76); +#15746=PLANE('',#15745); +#15747=ORIENTED_EDGE('',*,*,#17863,.F.); +#15748=ORIENTED_EDGE('',*,*,#19952,.F.); +#15749=ORIENTED_EDGE('',*,*,#19953,.T.); +#15750=ORIENTED_EDGE('',*,*,#19949,.T.); +#15751=EDGE_LOOP('',(#15747,#15748,#15749,#15750)); +#15752=FACE_OUTER_BOUND('',#15751,.F.); +#15753=ADVANCED_FACE('',(#15752),#15746,.F.); +#15754=CARTESIAN_POINT('',(-1.0875E1,-1.27E0,-1.9E-1)); +#15755=AXIS2_PLACEMENT_3D('',#15754,#12453,#80); +#15756=PLANE('',#15755); +#15757=ORIENTED_EDGE('',*,*,#17862,.F.); +#15758=ORIENTED_EDGE('',*,*,#19954,.F.); +#15759=ORIENTED_EDGE('',*,*,#19955,.T.); +#15760=ORIENTED_EDGE('',*,*,#19952,.T.); +#15761=EDGE_LOOP('',(#15757,#15758,#15759,#15760)); +#15762=FACE_OUTER_BOUND('',#15761,.F.); +#15763=ADVANCED_FACE('',(#15762),#15756,.F.); +#15764=CARTESIAN_POINT('',(-1.143E1,-1.8225E0,-1.9E-1)); +#15765=AXIS2_PLACEMENT_3D('',#15764,#12464,#84); +#15766=PLANE('',#15765); +#15767=ORIENTED_EDGE('',*,*,#17861,.F.); +#15768=ORIENTED_EDGE('',*,*,#19951,.F.); +#15769=ORIENTED_EDGE('',*,*,#19956,.T.); +#15770=ORIENTED_EDGE('',*,*,#19954,.T.); +#15771=EDGE_LOOP('',(#15767,#15768,#15769,#15770)); +#15772=FACE_OUTER_BOUND('',#15771,.F.); +#15773=ADVANCED_FACE('',(#15772),#15766,.F.); +#15774=CARTESIAN_POINT('',(2.794E1,-5.08E0,-3.8E-1)); +#15775=AXIS2_PLACEMENT_3D('',#15774,#2100,#84); +#15776=PLANE('',#15775); +#15777=ORIENTED_EDGE('',*,*,#19950,.F.); +#15778=ORIENTED_EDGE('',*,*,#19953,.F.); +#15779=ORIENTED_EDGE('',*,*,#19955,.F.); +#15780=ORIENTED_EDGE('',*,*,#19956,.F.); +#15781=EDGE_LOOP('',(#15777,#15778,#15779,#15780)); +#15782=FACE_OUTER_BOUND('',#15781,.F.); +#15783=ADVANCED_FACE('',(#15782),#15776,.T.); +#15784=CARTESIAN_POINT('',(-9.445E0,-1.27E0,-1.9E-1)); +#15785=AXIS2_PLACEMENT_3D('',#15784,#12431,#72); +#15786=PLANE('',#15785); +#15787=ORIENTED_EDGE('',*,*,#17864,.F.); +#15788=ORIENTED_EDGE('',*,*,#19957,.F.); +#15789=ORIENTED_EDGE('',*,*,#19958,.T.); +#15790=ORIENTED_EDGE('',*,*,#19959,.T.); +#15791=EDGE_LOOP('',(#15787,#15788,#15789,#15790)); +#15792=FACE_OUTER_BOUND('',#15791,.F.); +#15793=ADVANCED_FACE('',(#15792),#15786,.F.); +#15794=CARTESIAN_POINT('',(-8.89E0,-7.175E-1,-1.9E-1)); +#15795=AXIS2_PLACEMENT_3D('',#15794,#12442,#76); +#15796=PLANE('',#15795); +#15797=ORIENTED_EDGE('',*,*,#17867,.F.); +#15798=ORIENTED_EDGE('',*,*,#19960,.F.); +#15799=ORIENTED_EDGE('',*,*,#19961,.T.); +#15800=ORIENTED_EDGE('',*,*,#19957,.T.); +#15801=EDGE_LOOP('',(#15797,#15798,#15799,#15800)); +#15802=FACE_OUTER_BOUND('',#15801,.F.); +#15803=ADVANCED_FACE('',(#15802),#15796,.F.); +#15804=CARTESIAN_POINT('',(-8.335E0,-1.27E0,-1.9E-1)); +#15805=AXIS2_PLACEMENT_3D('',#15804,#12453,#80); +#15806=PLANE('',#15805); +#15807=ORIENTED_EDGE('',*,*,#17866,.F.); +#15808=ORIENTED_EDGE('',*,*,#19962,.F.); +#15809=ORIENTED_EDGE('',*,*,#19963,.T.); +#15810=ORIENTED_EDGE('',*,*,#19960,.T.); +#15811=EDGE_LOOP('',(#15807,#15808,#15809,#15810)); +#15812=FACE_OUTER_BOUND('',#15811,.F.); +#15813=ADVANCED_FACE('',(#15812),#15806,.F.); +#15814=CARTESIAN_POINT('',(-8.89E0,-1.8225E0,-1.9E-1)); +#15815=AXIS2_PLACEMENT_3D('',#15814,#12464,#84); +#15816=PLANE('',#15815); +#15817=ORIENTED_EDGE('',*,*,#17865,.F.); +#15818=ORIENTED_EDGE('',*,*,#19959,.F.); +#15819=ORIENTED_EDGE('',*,*,#19964,.T.); +#15820=ORIENTED_EDGE('',*,*,#19962,.T.); +#15821=EDGE_LOOP('',(#15817,#15818,#15819,#15820)); +#15822=FACE_OUTER_BOUND('',#15821,.F.); +#15823=ADVANCED_FACE('',(#15822),#15816,.F.); +#15824=CARTESIAN_POINT('',(3.048E1,-5.08E0,-3.8E-1)); +#15825=AXIS2_PLACEMENT_3D('',#15824,#2100,#84); +#15826=PLANE('',#15825); +#15827=ORIENTED_EDGE('',*,*,#19958,.F.); +#15828=ORIENTED_EDGE('',*,*,#19961,.F.); +#15829=ORIENTED_EDGE('',*,*,#19963,.F.); +#15830=ORIENTED_EDGE('',*,*,#19964,.F.); +#15831=EDGE_LOOP('',(#15827,#15828,#15829,#15830)); +#15832=FACE_OUTER_BOUND('',#15831,.F.); +#15833=ADVANCED_FACE('',(#15832),#15826,.T.); +#15834=CARTESIAN_POINT('',(-6.905E0,-1.27E0,-1.9E-1)); +#15835=AXIS2_PLACEMENT_3D('',#15834,#12431,#72); +#15836=PLANE('',#15835); +#15837=ORIENTED_EDGE('',*,*,#17868,.F.); +#15838=ORIENTED_EDGE('',*,*,#19965,.F.); +#15839=ORIENTED_EDGE('',*,*,#19966,.T.); +#15840=ORIENTED_EDGE('',*,*,#19967,.T.); +#15841=EDGE_LOOP('',(#15837,#15838,#15839,#15840)); +#15842=FACE_OUTER_BOUND('',#15841,.F.); +#15843=ADVANCED_FACE('',(#15842),#15836,.F.); +#15844=CARTESIAN_POINT('',(-6.35E0,-7.175E-1,-1.9E-1)); +#15845=AXIS2_PLACEMENT_3D('',#15844,#12442,#76); +#15846=PLANE('',#15845); +#15847=ORIENTED_EDGE('',*,*,#17871,.F.); +#15848=ORIENTED_EDGE('',*,*,#19968,.F.); +#15849=ORIENTED_EDGE('',*,*,#19969,.T.); +#15850=ORIENTED_EDGE('',*,*,#19965,.T.); +#15851=EDGE_LOOP('',(#15847,#15848,#15849,#15850)); +#15852=FACE_OUTER_BOUND('',#15851,.F.); +#15853=ADVANCED_FACE('',(#15852),#15846,.F.); +#15854=CARTESIAN_POINT('',(-5.795E0,-1.27E0,-1.9E-1)); +#15855=AXIS2_PLACEMENT_3D('',#15854,#12453,#80); +#15856=PLANE('',#15855); +#15857=ORIENTED_EDGE('',*,*,#17870,.F.); +#15858=ORIENTED_EDGE('',*,*,#19970,.F.); +#15859=ORIENTED_EDGE('',*,*,#19971,.T.); +#15860=ORIENTED_EDGE('',*,*,#19968,.T.); +#15861=EDGE_LOOP('',(#15857,#15858,#15859,#15860)); +#15862=FACE_OUTER_BOUND('',#15861,.F.); +#15863=ADVANCED_FACE('',(#15862),#15856,.F.); +#15864=CARTESIAN_POINT('',(-6.35E0,-1.8225E0,-1.9E-1)); +#15865=AXIS2_PLACEMENT_3D('',#15864,#12464,#84); +#15866=PLANE('',#15865); +#15867=ORIENTED_EDGE('',*,*,#17869,.F.); +#15868=ORIENTED_EDGE('',*,*,#19967,.F.); +#15869=ORIENTED_EDGE('',*,*,#19972,.T.); +#15870=ORIENTED_EDGE('',*,*,#19970,.T.); +#15871=EDGE_LOOP('',(#15867,#15868,#15869,#15870)); +#15872=FACE_OUTER_BOUND('',#15871,.F.); +#15873=ADVANCED_FACE('',(#15872),#15866,.F.); +#15874=CARTESIAN_POINT('',(3.302E1,-5.08E0,-3.8E-1)); +#15875=AXIS2_PLACEMENT_3D('',#15874,#2100,#84); +#15876=PLANE('',#15875); +#15877=ORIENTED_EDGE('',*,*,#19966,.F.); +#15878=ORIENTED_EDGE('',*,*,#19969,.F.); +#15879=ORIENTED_EDGE('',*,*,#19971,.F.); +#15880=ORIENTED_EDGE('',*,*,#19972,.F.); +#15881=EDGE_LOOP('',(#15877,#15878,#15879,#15880)); +#15882=FACE_OUTER_BOUND('',#15881,.F.); +#15883=ADVANCED_FACE('',(#15882),#15876,.T.); +#15884=CARTESIAN_POINT('',(-4.365E0,-1.27E0,-1.9E-1)); +#15885=AXIS2_PLACEMENT_3D('',#15884,#12431,#72); +#15886=PLANE('',#15885); +#15887=ORIENTED_EDGE('',*,*,#17872,.F.); +#15888=ORIENTED_EDGE('',*,*,#19973,.F.); +#15889=ORIENTED_EDGE('',*,*,#19974,.T.); +#15890=ORIENTED_EDGE('',*,*,#19975,.T.); +#15891=EDGE_LOOP('',(#15887,#15888,#15889,#15890)); +#15892=FACE_OUTER_BOUND('',#15891,.F.); +#15893=ADVANCED_FACE('',(#15892),#15886,.F.); +#15894=CARTESIAN_POINT('',(-3.81E0,-7.175E-1,-1.9E-1)); +#15895=AXIS2_PLACEMENT_3D('',#15894,#12442,#76); +#15896=PLANE('',#15895); +#15897=ORIENTED_EDGE('',*,*,#17875,.F.); +#15898=ORIENTED_EDGE('',*,*,#19976,.F.); +#15899=ORIENTED_EDGE('',*,*,#19977,.T.); +#15900=ORIENTED_EDGE('',*,*,#19973,.T.); +#15901=EDGE_LOOP('',(#15897,#15898,#15899,#15900)); +#15902=FACE_OUTER_BOUND('',#15901,.F.); +#15903=ADVANCED_FACE('',(#15902),#15896,.F.); +#15904=CARTESIAN_POINT('',(-3.255E0,-1.27E0,-1.9E-1)); +#15905=AXIS2_PLACEMENT_3D('',#15904,#12453,#80); +#15906=PLANE('',#15905); +#15907=ORIENTED_EDGE('',*,*,#17874,.F.); +#15908=ORIENTED_EDGE('',*,*,#19978,.F.); +#15909=ORIENTED_EDGE('',*,*,#19979,.T.); +#15910=ORIENTED_EDGE('',*,*,#19976,.T.); +#15911=EDGE_LOOP('',(#15907,#15908,#15909,#15910)); +#15912=FACE_OUTER_BOUND('',#15911,.F.); +#15913=ADVANCED_FACE('',(#15912),#15906,.F.); +#15914=CARTESIAN_POINT('',(-3.81E0,-1.8225E0,-1.9E-1)); +#15915=AXIS2_PLACEMENT_3D('',#15914,#12464,#84); +#15916=PLANE('',#15915); +#15917=ORIENTED_EDGE('',*,*,#17873,.F.); +#15918=ORIENTED_EDGE('',*,*,#19975,.F.); +#15919=ORIENTED_EDGE('',*,*,#19980,.T.); +#15920=ORIENTED_EDGE('',*,*,#19978,.T.); +#15921=EDGE_LOOP('',(#15917,#15918,#15919,#15920)); +#15922=FACE_OUTER_BOUND('',#15921,.F.); +#15923=ADVANCED_FACE('',(#15922),#15916,.F.); +#15924=CARTESIAN_POINT('',(3.556E1,-5.08E0,-3.8E-1)); +#15925=AXIS2_PLACEMENT_3D('',#15924,#2100,#84); +#15926=PLANE('',#15925); +#15927=ORIENTED_EDGE('',*,*,#19974,.F.); +#15928=ORIENTED_EDGE('',*,*,#19977,.F.); +#15929=ORIENTED_EDGE('',*,*,#19979,.F.); +#15930=ORIENTED_EDGE('',*,*,#19980,.F.); +#15931=EDGE_LOOP('',(#15927,#15928,#15929,#15930)); +#15932=FACE_OUTER_BOUND('',#15931,.F.); +#15933=ADVANCED_FACE('',(#15932),#15926,.T.); +#15934=CARTESIAN_POINT('',(-1.825E0,-1.27E0,-1.9E-1)); +#15935=AXIS2_PLACEMENT_3D('',#15934,#12431,#72); +#15936=PLANE('',#15935); +#15937=ORIENTED_EDGE('',*,*,#17876,.F.); +#15938=ORIENTED_EDGE('',*,*,#19981,.F.); +#15939=ORIENTED_EDGE('',*,*,#19982,.T.); +#15940=ORIENTED_EDGE('',*,*,#19983,.T.); +#15941=EDGE_LOOP('',(#15937,#15938,#15939,#15940)); +#15942=FACE_OUTER_BOUND('',#15941,.F.); +#15943=ADVANCED_FACE('',(#15942),#15936,.F.); +#15944=CARTESIAN_POINT('',(-1.27E0,-7.175E-1,-1.9E-1)); +#15945=AXIS2_PLACEMENT_3D('',#15944,#12442,#76); +#15946=PLANE('',#15945); +#15947=ORIENTED_EDGE('',*,*,#17879,.F.); +#15948=ORIENTED_EDGE('',*,*,#19984,.F.); +#15949=ORIENTED_EDGE('',*,*,#19985,.T.); +#15950=ORIENTED_EDGE('',*,*,#19981,.T.); +#15951=EDGE_LOOP('',(#15947,#15948,#15949,#15950)); +#15952=FACE_OUTER_BOUND('',#15951,.F.); +#15953=ADVANCED_FACE('',(#15952),#15946,.F.); +#15954=CARTESIAN_POINT('',(-7.15E-1,-1.27E0,-1.9E-1)); +#15955=AXIS2_PLACEMENT_3D('',#15954,#12453,#80); +#15956=PLANE('',#15955); +#15957=ORIENTED_EDGE('',*,*,#17878,.F.); +#15958=ORIENTED_EDGE('',*,*,#19986,.F.); +#15959=ORIENTED_EDGE('',*,*,#19987,.T.); +#15960=ORIENTED_EDGE('',*,*,#19984,.T.); +#15961=EDGE_LOOP('',(#15957,#15958,#15959,#15960)); +#15962=FACE_OUTER_BOUND('',#15961,.F.); +#15963=ADVANCED_FACE('',(#15962),#15956,.F.); +#15964=CARTESIAN_POINT('',(-1.27E0,-1.8225E0,-1.9E-1)); +#15965=AXIS2_PLACEMENT_3D('',#15964,#12464,#84); +#15966=PLANE('',#15965); +#15967=ORIENTED_EDGE('',*,*,#17877,.F.); +#15968=ORIENTED_EDGE('',*,*,#19983,.F.); +#15969=ORIENTED_EDGE('',*,*,#19988,.T.); +#15970=ORIENTED_EDGE('',*,*,#19986,.T.); +#15971=EDGE_LOOP('',(#15967,#15968,#15969,#15970)); +#15972=FACE_OUTER_BOUND('',#15971,.F.); +#15973=ADVANCED_FACE('',(#15972),#15966,.F.); +#15974=CARTESIAN_POINT('',(3.81E1,-5.08E0,-3.8E-1)); +#15975=AXIS2_PLACEMENT_3D('',#15974,#2100,#84); +#15976=PLANE('',#15975); +#15977=ORIENTED_EDGE('',*,*,#19982,.F.); +#15978=ORIENTED_EDGE('',*,*,#19985,.F.); +#15979=ORIENTED_EDGE('',*,*,#19987,.F.); +#15980=ORIENTED_EDGE('',*,*,#19988,.F.); +#15981=EDGE_LOOP('',(#15977,#15978,#15979,#15980)); +#15982=FACE_OUTER_BOUND('',#15981,.F.); +#15983=ADVANCED_FACE('',(#15982),#15976,.T.); +#15984=CARTESIAN_POINT('',(7.15E-1,-1.27E0,-1.9E-1)); +#15985=AXIS2_PLACEMENT_3D('',#15984,#12431,#72); +#15986=PLANE('',#15985); +#15987=ORIENTED_EDGE('',*,*,#17880,.F.); +#15988=ORIENTED_EDGE('',*,*,#19989,.F.); +#15989=ORIENTED_EDGE('',*,*,#19990,.T.); +#15990=ORIENTED_EDGE('',*,*,#19991,.T.); +#15991=EDGE_LOOP('',(#15987,#15988,#15989,#15990)); +#15992=FACE_OUTER_BOUND('',#15991,.F.); +#15993=ADVANCED_FACE('',(#15992),#15986,.F.); +#15994=CARTESIAN_POINT('',(1.27E0,-7.175E-1,-1.9E-1)); +#15995=AXIS2_PLACEMENT_3D('',#15994,#12442,#76); +#15996=PLANE('',#15995); +#15997=ORIENTED_EDGE('',*,*,#17883,.F.); +#15998=ORIENTED_EDGE('',*,*,#19992,.F.); +#15999=ORIENTED_EDGE('',*,*,#19993,.T.); +#16000=ORIENTED_EDGE('',*,*,#19989,.T.); +#16001=EDGE_LOOP('',(#15997,#15998,#15999,#16000)); +#16002=FACE_OUTER_BOUND('',#16001,.F.); +#16003=ADVANCED_FACE('',(#16002),#15996,.F.); +#16004=CARTESIAN_POINT('',(1.825E0,-1.27E0,-1.9E-1)); +#16005=AXIS2_PLACEMENT_3D('',#16004,#12453,#80); +#16006=PLANE('',#16005); +#16007=ORIENTED_EDGE('',*,*,#17882,.F.); +#16008=ORIENTED_EDGE('',*,*,#19994,.F.); +#16009=ORIENTED_EDGE('',*,*,#19995,.T.); +#16010=ORIENTED_EDGE('',*,*,#19992,.T.); +#16011=EDGE_LOOP('',(#16007,#16008,#16009,#16010)); +#16012=FACE_OUTER_BOUND('',#16011,.F.); +#16013=ADVANCED_FACE('',(#16012),#16006,.F.); +#16014=CARTESIAN_POINT('',(1.27E0,-1.8225E0,-1.9E-1)); +#16015=AXIS2_PLACEMENT_3D('',#16014,#12464,#84); +#16016=PLANE('',#16015); +#16017=ORIENTED_EDGE('',*,*,#17881,.F.); +#16018=ORIENTED_EDGE('',*,*,#19991,.F.); +#16019=ORIENTED_EDGE('',*,*,#19996,.T.); +#16020=ORIENTED_EDGE('',*,*,#19994,.T.); +#16021=EDGE_LOOP('',(#16017,#16018,#16019,#16020)); +#16022=FACE_OUTER_BOUND('',#16021,.F.); +#16023=ADVANCED_FACE('',(#16022),#16016,.F.); +#16024=CARTESIAN_POINT('',(4.064E1,-5.08E0,-3.8E-1)); +#16025=AXIS2_PLACEMENT_3D('',#16024,#2100,#84); +#16026=PLANE('',#16025); +#16027=ORIENTED_EDGE('',*,*,#19990,.F.); +#16028=ORIENTED_EDGE('',*,*,#19993,.F.); +#16029=ORIENTED_EDGE('',*,*,#19995,.F.); +#16030=ORIENTED_EDGE('',*,*,#19996,.F.); +#16031=EDGE_LOOP('',(#16027,#16028,#16029,#16030)); +#16032=FACE_OUTER_BOUND('',#16031,.F.); +#16033=ADVANCED_FACE('',(#16032),#16026,.T.); +#16034=CARTESIAN_POINT('',(3.255E0,-1.27E0,-1.9E-1)); +#16035=AXIS2_PLACEMENT_3D('',#16034,#12431,#72); +#16036=PLANE('',#16035); +#16037=ORIENTED_EDGE('',*,*,#17884,.F.); +#16038=ORIENTED_EDGE('',*,*,#19997,.F.); +#16039=ORIENTED_EDGE('',*,*,#19998,.T.); +#16040=ORIENTED_EDGE('',*,*,#19999,.T.); +#16041=EDGE_LOOP('',(#16037,#16038,#16039,#16040)); +#16042=FACE_OUTER_BOUND('',#16041,.F.); +#16043=ADVANCED_FACE('',(#16042),#16036,.F.); +#16044=CARTESIAN_POINT('',(3.81E0,-7.175E-1,-1.9E-1)); +#16045=AXIS2_PLACEMENT_3D('',#16044,#12442,#76); +#16046=PLANE('',#16045); +#16047=ORIENTED_EDGE('',*,*,#17887,.F.); +#16048=ORIENTED_EDGE('',*,*,#20000,.F.); +#16049=ORIENTED_EDGE('',*,*,#20001,.T.); +#16050=ORIENTED_EDGE('',*,*,#19997,.T.); +#16051=EDGE_LOOP('',(#16047,#16048,#16049,#16050)); +#16052=FACE_OUTER_BOUND('',#16051,.F.); +#16053=ADVANCED_FACE('',(#16052),#16046,.F.); +#16054=CARTESIAN_POINT('',(4.365E0,-1.27E0,-1.9E-1)); +#16055=AXIS2_PLACEMENT_3D('',#16054,#12453,#80); +#16056=PLANE('',#16055); +#16057=ORIENTED_EDGE('',*,*,#17886,.F.); +#16058=ORIENTED_EDGE('',*,*,#20002,.F.); +#16059=ORIENTED_EDGE('',*,*,#20003,.T.); +#16060=ORIENTED_EDGE('',*,*,#20000,.T.); +#16061=EDGE_LOOP('',(#16057,#16058,#16059,#16060)); +#16062=FACE_OUTER_BOUND('',#16061,.F.); +#16063=ADVANCED_FACE('',(#16062),#16056,.F.); +#16064=CARTESIAN_POINT('',(3.81E0,-1.8225E0,-1.9E-1)); +#16065=AXIS2_PLACEMENT_3D('',#16064,#12464,#84); +#16066=PLANE('',#16065); +#16067=ORIENTED_EDGE('',*,*,#17885,.F.); +#16068=ORIENTED_EDGE('',*,*,#19999,.F.); +#16069=ORIENTED_EDGE('',*,*,#20004,.T.); +#16070=ORIENTED_EDGE('',*,*,#20002,.T.); +#16071=EDGE_LOOP('',(#16067,#16068,#16069,#16070)); +#16072=FACE_OUTER_BOUND('',#16071,.F.); +#16073=ADVANCED_FACE('',(#16072),#16066,.F.); +#16074=CARTESIAN_POINT('',(4.318E1,-5.08E0,-3.8E-1)); +#16075=AXIS2_PLACEMENT_3D('',#16074,#2100,#84); +#16076=PLANE('',#16075); +#16077=ORIENTED_EDGE('',*,*,#19998,.F.); +#16078=ORIENTED_EDGE('',*,*,#20001,.F.); +#16079=ORIENTED_EDGE('',*,*,#20003,.F.); +#16080=ORIENTED_EDGE('',*,*,#20004,.F.); +#16081=EDGE_LOOP('',(#16077,#16078,#16079,#16080)); +#16082=FACE_OUTER_BOUND('',#16081,.F.); +#16083=ADVANCED_FACE('',(#16082),#16076,.T.); +#16084=CARTESIAN_POINT('',(5.795E0,-1.27E0,-1.9E-1)); +#16085=AXIS2_PLACEMENT_3D('',#16084,#12431,#72); +#16086=PLANE('',#16085); +#16087=ORIENTED_EDGE('',*,*,#17888,.F.); +#16088=ORIENTED_EDGE('',*,*,#20005,.F.); +#16089=ORIENTED_EDGE('',*,*,#20006,.T.); +#16090=ORIENTED_EDGE('',*,*,#20007,.T.); +#16091=EDGE_LOOP('',(#16087,#16088,#16089,#16090)); +#16092=FACE_OUTER_BOUND('',#16091,.F.); +#16093=ADVANCED_FACE('',(#16092),#16086,.F.); +#16094=CARTESIAN_POINT('',(6.35E0,-7.175E-1,-1.9E-1)); +#16095=AXIS2_PLACEMENT_3D('',#16094,#12442,#76); +#16096=PLANE('',#16095); +#16097=ORIENTED_EDGE('',*,*,#17891,.F.); +#16098=ORIENTED_EDGE('',*,*,#20008,.F.); +#16099=ORIENTED_EDGE('',*,*,#20009,.T.); +#16100=ORIENTED_EDGE('',*,*,#20005,.T.); +#16101=EDGE_LOOP('',(#16097,#16098,#16099,#16100)); +#16102=FACE_OUTER_BOUND('',#16101,.F.); +#16103=ADVANCED_FACE('',(#16102),#16096,.F.); +#16104=CARTESIAN_POINT('',(6.905E0,-1.27E0,-1.9E-1)); +#16105=AXIS2_PLACEMENT_3D('',#16104,#12453,#80); +#16106=PLANE('',#16105); +#16107=ORIENTED_EDGE('',*,*,#17890,.F.); +#16108=ORIENTED_EDGE('',*,*,#20010,.F.); +#16109=ORIENTED_EDGE('',*,*,#20011,.T.); +#16110=ORIENTED_EDGE('',*,*,#20008,.T.); +#16111=EDGE_LOOP('',(#16107,#16108,#16109,#16110)); +#16112=FACE_OUTER_BOUND('',#16111,.F.); +#16113=ADVANCED_FACE('',(#16112),#16106,.F.); +#16114=CARTESIAN_POINT('',(6.35E0,-1.8225E0,-1.9E-1)); +#16115=AXIS2_PLACEMENT_3D('',#16114,#12464,#84); +#16116=PLANE('',#16115); +#16117=ORIENTED_EDGE('',*,*,#17889,.F.); +#16118=ORIENTED_EDGE('',*,*,#20007,.F.); +#16119=ORIENTED_EDGE('',*,*,#20012,.T.); +#16120=ORIENTED_EDGE('',*,*,#20010,.T.); +#16121=EDGE_LOOP('',(#16117,#16118,#16119,#16120)); +#16122=FACE_OUTER_BOUND('',#16121,.F.); +#16123=ADVANCED_FACE('',(#16122),#16116,.F.); +#16124=CARTESIAN_POINT('',(4.572E1,-5.08E0,-3.8E-1)); +#16125=AXIS2_PLACEMENT_3D('',#16124,#2100,#84); +#16126=PLANE('',#16125); +#16127=ORIENTED_EDGE('',*,*,#20006,.F.); +#16128=ORIENTED_EDGE('',*,*,#20009,.F.); +#16129=ORIENTED_EDGE('',*,*,#20011,.F.); +#16130=ORIENTED_EDGE('',*,*,#20012,.F.); +#16131=EDGE_LOOP('',(#16127,#16128,#16129,#16130)); +#16132=FACE_OUTER_BOUND('',#16131,.F.); +#16133=ADVANCED_FACE('',(#16132),#16126,.T.); +#16134=CARTESIAN_POINT('',(8.335E0,-1.27E0,-1.9E-1)); +#16135=AXIS2_PLACEMENT_3D('',#16134,#12431,#72); +#16136=PLANE('',#16135); +#16137=ORIENTED_EDGE('',*,*,#17892,.F.); +#16138=ORIENTED_EDGE('',*,*,#20013,.F.); +#16139=ORIENTED_EDGE('',*,*,#20014,.T.); +#16140=ORIENTED_EDGE('',*,*,#20015,.T.); +#16141=EDGE_LOOP('',(#16137,#16138,#16139,#16140)); +#16142=FACE_OUTER_BOUND('',#16141,.F.); +#16143=ADVANCED_FACE('',(#16142),#16136,.F.); +#16144=CARTESIAN_POINT('',(8.89E0,-7.175E-1,-1.9E-1)); +#16145=AXIS2_PLACEMENT_3D('',#16144,#12442,#76); +#16146=PLANE('',#16145); +#16147=ORIENTED_EDGE('',*,*,#17895,.F.); +#16148=ORIENTED_EDGE('',*,*,#20016,.F.); +#16149=ORIENTED_EDGE('',*,*,#20017,.T.); +#16150=ORIENTED_EDGE('',*,*,#20013,.T.); +#16151=EDGE_LOOP('',(#16147,#16148,#16149,#16150)); +#16152=FACE_OUTER_BOUND('',#16151,.F.); +#16153=ADVANCED_FACE('',(#16152),#16146,.F.); +#16154=CARTESIAN_POINT('',(9.445E0,-1.27E0,-1.9E-1)); +#16155=AXIS2_PLACEMENT_3D('',#16154,#12453,#80); +#16156=PLANE('',#16155); +#16157=ORIENTED_EDGE('',*,*,#17894,.F.); +#16158=ORIENTED_EDGE('',*,*,#20018,.F.); +#16159=ORIENTED_EDGE('',*,*,#20019,.T.); +#16160=ORIENTED_EDGE('',*,*,#20016,.T.); +#16161=EDGE_LOOP('',(#16157,#16158,#16159,#16160)); +#16162=FACE_OUTER_BOUND('',#16161,.F.); +#16163=ADVANCED_FACE('',(#16162),#16156,.F.); +#16164=CARTESIAN_POINT('',(8.89E0,-1.8225E0,-1.9E-1)); +#16165=AXIS2_PLACEMENT_3D('',#16164,#12464,#84); +#16166=PLANE('',#16165); +#16167=ORIENTED_EDGE('',*,*,#17893,.F.); +#16168=ORIENTED_EDGE('',*,*,#20015,.F.); +#16169=ORIENTED_EDGE('',*,*,#20020,.T.); +#16170=ORIENTED_EDGE('',*,*,#20018,.T.); +#16171=EDGE_LOOP('',(#16167,#16168,#16169,#16170)); +#16172=FACE_OUTER_BOUND('',#16171,.F.); +#16173=ADVANCED_FACE('',(#16172),#16166,.F.); +#16174=CARTESIAN_POINT('',(4.826E1,-5.08E0,-3.8E-1)); +#16175=AXIS2_PLACEMENT_3D('',#16174,#2100,#84); +#16176=PLANE('',#16175); +#16177=ORIENTED_EDGE('',*,*,#20014,.F.); +#16178=ORIENTED_EDGE('',*,*,#20017,.F.); +#16179=ORIENTED_EDGE('',*,*,#20019,.F.); +#16180=ORIENTED_EDGE('',*,*,#20020,.F.); +#16181=EDGE_LOOP('',(#16177,#16178,#16179,#16180)); +#16182=FACE_OUTER_BOUND('',#16181,.F.); +#16183=ADVANCED_FACE('',(#16182),#16176,.T.); +#16184=CARTESIAN_POINT('',(1.0875E1,-1.27E0,-1.9E-1)); +#16185=AXIS2_PLACEMENT_3D('',#16184,#12431,#72); +#16186=PLANE('',#16185); +#16187=ORIENTED_EDGE('',*,*,#17896,.F.); +#16188=ORIENTED_EDGE('',*,*,#20021,.F.); +#16189=ORIENTED_EDGE('',*,*,#20022,.T.); +#16190=ORIENTED_EDGE('',*,*,#20023,.T.); +#16191=EDGE_LOOP('',(#16187,#16188,#16189,#16190)); +#16192=FACE_OUTER_BOUND('',#16191,.F.); +#16193=ADVANCED_FACE('',(#16192),#16186,.F.); +#16194=CARTESIAN_POINT('',(1.143E1,-7.175E-1,-1.9E-1)); +#16195=AXIS2_PLACEMENT_3D('',#16194,#12442,#76); +#16196=PLANE('',#16195); +#16197=ORIENTED_EDGE('',*,*,#17899,.F.); +#16198=ORIENTED_EDGE('',*,*,#20024,.F.); +#16199=ORIENTED_EDGE('',*,*,#20025,.T.); +#16200=ORIENTED_EDGE('',*,*,#20021,.T.); +#16201=EDGE_LOOP('',(#16197,#16198,#16199,#16200)); +#16202=FACE_OUTER_BOUND('',#16201,.F.); +#16203=ADVANCED_FACE('',(#16202),#16196,.F.); +#16204=CARTESIAN_POINT('',(1.1985E1,-1.27E0,-1.9E-1)); +#16205=AXIS2_PLACEMENT_3D('',#16204,#12453,#80); +#16206=PLANE('',#16205); +#16207=ORIENTED_EDGE('',*,*,#17898,.F.); +#16208=ORIENTED_EDGE('',*,*,#20026,.F.); +#16209=ORIENTED_EDGE('',*,*,#20027,.T.); +#16210=ORIENTED_EDGE('',*,*,#20024,.T.); +#16211=EDGE_LOOP('',(#16207,#16208,#16209,#16210)); +#16212=FACE_OUTER_BOUND('',#16211,.F.); +#16213=ADVANCED_FACE('',(#16212),#16206,.F.); +#16214=CARTESIAN_POINT('',(1.143E1,-1.8225E0,-1.9E-1)); +#16215=AXIS2_PLACEMENT_3D('',#16214,#12464,#84); +#16216=PLANE('',#16215); +#16217=ORIENTED_EDGE('',*,*,#17897,.F.); +#16218=ORIENTED_EDGE('',*,*,#20023,.F.); +#16219=ORIENTED_EDGE('',*,*,#20028,.T.); +#16220=ORIENTED_EDGE('',*,*,#20026,.T.); +#16221=EDGE_LOOP('',(#16217,#16218,#16219,#16220)); +#16222=FACE_OUTER_BOUND('',#16221,.F.); +#16223=ADVANCED_FACE('',(#16222),#16216,.F.); +#16224=CARTESIAN_POINT('',(5.08E1,-5.08E0,-3.8E-1)); +#16225=AXIS2_PLACEMENT_3D('',#16224,#2100,#84); +#16226=PLANE('',#16225); +#16227=ORIENTED_EDGE('',*,*,#20022,.F.); +#16228=ORIENTED_EDGE('',*,*,#20025,.F.); +#16229=ORIENTED_EDGE('',*,*,#20027,.F.); +#16230=ORIENTED_EDGE('',*,*,#20028,.F.); +#16231=EDGE_LOOP('',(#16227,#16228,#16229,#16230)); +#16232=FACE_OUTER_BOUND('',#16231,.F.); +#16233=ADVANCED_FACE('',(#16232),#16226,.T.); +#16234=CARTESIAN_POINT('',(1.3415E1,-1.27E0,-1.9E-1)); +#16235=AXIS2_PLACEMENT_3D('',#16234,#12431,#72); +#16236=PLANE('',#16235); +#16237=ORIENTED_EDGE('',*,*,#17900,.F.); +#16238=ORIENTED_EDGE('',*,*,#20029,.F.); +#16239=ORIENTED_EDGE('',*,*,#20030,.T.); +#16240=ORIENTED_EDGE('',*,*,#20031,.T.); +#16241=EDGE_LOOP('',(#16237,#16238,#16239,#16240)); +#16242=FACE_OUTER_BOUND('',#16241,.F.); +#16243=ADVANCED_FACE('',(#16242),#16236,.F.); +#16244=CARTESIAN_POINT('',(1.397E1,-7.175E-1,-1.9E-1)); +#16245=AXIS2_PLACEMENT_3D('',#16244,#12442,#76); +#16246=PLANE('',#16245); +#16247=ORIENTED_EDGE('',*,*,#17903,.F.); +#16248=ORIENTED_EDGE('',*,*,#20032,.F.); +#16249=ORIENTED_EDGE('',*,*,#20033,.T.); +#16250=ORIENTED_EDGE('',*,*,#20029,.T.); +#16251=EDGE_LOOP('',(#16247,#16248,#16249,#16250)); +#16252=FACE_OUTER_BOUND('',#16251,.F.); +#16253=ADVANCED_FACE('',(#16252),#16246,.F.); +#16254=CARTESIAN_POINT('',(1.4525E1,-1.27E0,-1.9E-1)); +#16255=AXIS2_PLACEMENT_3D('',#16254,#12453,#80); +#16256=PLANE('',#16255); +#16257=ORIENTED_EDGE('',*,*,#17902,.F.); +#16258=ORIENTED_EDGE('',*,*,#20034,.F.); +#16259=ORIENTED_EDGE('',*,*,#20035,.T.); +#16260=ORIENTED_EDGE('',*,*,#20032,.T.); +#16261=EDGE_LOOP('',(#16257,#16258,#16259,#16260)); +#16262=FACE_OUTER_BOUND('',#16261,.F.); +#16263=ADVANCED_FACE('',(#16262),#16256,.F.); +#16264=CARTESIAN_POINT('',(1.397E1,-1.8225E0,-1.9E-1)); +#16265=AXIS2_PLACEMENT_3D('',#16264,#12464,#84); +#16266=PLANE('',#16265); +#16267=ORIENTED_EDGE('',*,*,#17901,.F.); +#16268=ORIENTED_EDGE('',*,*,#20031,.F.); +#16269=ORIENTED_EDGE('',*,*,#20036,.T.); +#16270=ORIENTED_EDGE('',*,*,#20034,.T.); +#16271=EDGE_LOOP('',(#16267,#16268,#16269,#16270)); +#16272=FACE_OUTER_BOUND('',#16271,.F.); +#16273=ADVANCED_FACE('',(#16272),#16266,.F.); +#16274=CARTESIAN_POINT('',(5.334E1,-5.08E0,-3.8E-1)); +#16275=AXIS2_PLACEMENT_3D('',#16274,#2100,#84); +#16276=PLANE('',#16275); +#16277=ORIENTED_EDGE('',*,*,#20030,.F.); +#16278=ORIENTED_EDGE('',*,*,#20033,.F.); +#16279=ORIENTED_EDGE('',*,*,#20035,.F.); +#16280=ORIENTED_EDGE('',*,*,#20036,.F.); +#16281=EDGE_LOOP('',(#16277,#16278,#16279,#16280)); +#16282=FACE_OUTER_BOUND('',#16281,.F.); +#16283=ADVANCED_FACE('',(#16282),#16276,.T.); +#16284=CARTESIAN_POINT('',(1.5955E1,-1.27E0,-1.9E-1)); +#16285=AXIS2_PLACEMENT_3D('',#16284,#12431,#72); +#16286=PLANE('',#16285); +#16287=ORIENTED_EDGE('',*,*,#17904,.F.); +#16288=ORIENTED_EDGE('',*,*,#20037,.F.); +#16289=ORIENTED_EDGE('',*,*,#20038,.T.); +#16290=ORIENTED_EDGE('',*,*,#20039,.T.); +#16291=EDGE_LOOP('',(#16287,#16288,#16289,#16290)); +#16292=FACE_OUTER_BOUND('',#16291,.F.); +#16293=ADVANCED_FACE('',(#16292),#16286,.F.); +#16294=CARTESIAN_POINT('',(1.651E1,-7.175E-1,-1.9E-1)); +#16295=AXIS2_PLACEMENT_3D('',#16294,#12442,#76); +#16296=PLANE('',#16295); +#16297=ORIENTED_EDGE('',*,*,#17907,.F.); +#16298=ORIENTED_EDGE('',*,*,#20040,.F.); +#16299=ORIENTED_EDGE('',*,*,#20041,.T.); +#16300=ORIENTED_EDGE('',*,*,#20037,.T.); +#16301=EDGE_LOOP('',(#16297,#16298,#16299,#16300)); +#16302=FACE_OUTER_BOUND('',#16301,.F.); +#16303=ADVANCED_FACE('',(#16302),#16296,.F.); +#16304=CARTESIAN_POINT('',(1.7065E1,-1.27E0,-1.9E-1)); +#16305=AXIS2_PLACEMENT_3D('',#16304,#12453,#80); +#16306=PLANE('',#16305); +#16307=ORIENTED_EDGE('',*,*,#17906,.F.); +#16308=ORIENTED_EDGE('',*,*,#20042,.F.); +#16309=ORIENTED_EDGE('',*,*,#20043,.T.); +#16310=ORIENTED_EDGE('',*,*,#20040,.T.); +#16311=EDGE_LOOP('',(#16307,#16308,#16309,#16310)); +#16312=FACE_OUTER_BOUND('',#16311,.F.); +#16313=ADVANCED_FACE('',(#16312),#16306,.F.); +#16314=CARTESIAN_POINT('',(1.651E1,-1.8225E0,-1.9E-1)); +#16315=AXIS2_PLACEMENT_3D('',#16314,#12464,#84); +#16316=PLANE('',#16315); +#16317=ORIENTED_EDGE('',*,*,#17905,.F.); +#16318=ORIENTED_EDGE('',*,*,#20039,.F.); +#16319=ORIENTED_EDGE('',*,*,#20044,.T.); +#16320=ORIENTED_EDGE('',*,*,#20042,.T.); +#16321=EDGE_LOOP('',(#16317,#16318,#16319,#16320)); +#16322=FACE_OUTER_BOUND('',#16321,.F.); +#16323=ADVANCED_FACE('',(#16322),#16316,.F.); +#16324=CARTESIAN_POINT('',(5.588E1,-5.08E0,-3.8E-1)); +#16325=AXIS2_PLACEMENT_3D('',#16324,#2100,#84); +#16326=PLANE('',#16325); +#16327=ORIENTED_EDGE('',*,*,#20038,.F.); +#16328=ORIENTED_EDGE('',*,*,#20041,.F.); +#16329=ORIENTED_EDGE('',*,*,#20043,.F.); +#16330=ORIENTED_EDGE('',*,*,#20044,.F.); +#16331=EDGE_LOOP('',(#16327,#16328,#16329,#16330)); +#16332=FACE_OUTER_BOUND('',#16331,.F.); +#16333=ADVANCED_FACE('',(#16332),#16326,.T.); +#16334=CARTESIAN_POINT('',(1.8495E1,-1.27E0,-1.9E-1)); +#16335=AXIS2_PLACEMENT_3D('',#16334,#12431,#72); +#16336=PLANE('',#16335); +#16337=ORIENTED_EDGE('',*,*,#17908,.F.); +#16338=ORIENTED_EDGE('',*,*,#20045,.F.); +#16339=ORIENTED_EDGE('',*,*,#20046,.T.); +#16340=ORIENTED_EDGE('',*,*,#20047,.T.); +#16341=EDGE_LOOP('',(#16337,#16338,#16339,#16340)); +#16342=FACE_OUTER_BOUND('',#16341,.F.); +#16343=ADVANCED_FACE('',(#16342),#16336,.F.); +#16344=CARTESIAN_POINT('',(1.905E1,-7.175E-1,-1.9E-1)); +#16345=AXIS2_PLACEMENT_3D('',#16344,#12442,#76); +#16346=PLANE('',#16345); +#16347=ORIENTED_EDGE('',*,*,#17911,.F.); +#16348=ORIENTED_EDGE('',*,*,#20048,.F.); +#16349=ORIENTED_EDGE('',*,*,#20049,.T.); +#16350=ORIENTED_EDGE('',*,*,#20045,.T.); +#16351=EDGE_LOOP('',(#16347,#16348,#16349,#16350)); +#16352=FACE_OUTER_BOUND('',#16351,.F.); +#16353=ADVANCED_FACE('',(#16352),#16346,.F.); +#16354=CARTESIAN_POINT('',(1.9605E1,-1.27E0,-1.9E-1)); +#16355=AXIS2_PLACEMENT_3D('',#16354,#12453,#80); +#16356=PLANE('',#16355); +#16357=ORIENTED_EDGE('',*,*,#17910,.F.); +#16358=ORIENTED_EDGE('',*,*,#20050,.F.); +#16359=ORIENTED_EDGE('',*,*,#20051,.T.); +#16360=ORIENTED_EDGE('',*,*,#20048,.T.); +#16361=EDGE_LOOP('',(#16357,#16358,#16359,#16360)); +#16362=FACE_OUTER_BOUND('',#16361,.F.); +#16363=ADVANCED_FACE('',(#16362),#16356,.F.); +#16364=CARTESIAN_POINT('',(1.905E1,-1.8225E0,-1.9E-1)); +#16365=AXIS2_PLACEMENT_3D('',#16364,#12464,#84); +#16366=PLANE('',#16365); +#16367=ORIENTED_EDGE('',*,*,#17909,.F.); +#16368=ORIENTED_EDGE('',*,*,#20047,.F.); +#16369=ORIENTED_EDGE('',*,*,#20052,.T.); +#16370=ORIENTED_EDGE('',*,*,#20050,.T.); +#16371=EDGE_LOOP('',(#16367,#16368,#16369,#16370)); +#16372=FACE_OUTER_BOUND('',#16371,.F.); +#16373=ADVANCED_FACE('',(#16372),#16366,.F.); +#16374=CARTESIAN_POINT('',(5.842E1,-5.08E0,-3.8E-1)); +#16375=AXIS2_PLACEMENT_3D('',#16374,#2100,#84); +#16376=PLANE('',#16375); +#16377=ORIENTED_EDGE('',*,*,#20046,.F.); +#16378=ORIENTED_EDGE('',*,*,#20049,.F.); +#16379=ORIENTED_EDGE('',*,*,#20051,.F.); +#16380=ORIENTED_EDGE('',*,*,#20052,.F.); +#16381=EDGE_LOOP('',(#16377,#16378,#16379,#16380)); +#16382=FACE_OUTER_BOUND('',#16381,.F.); +#16383=ADVANCED_FACE('',(#16382),#16376,.T.); +#16384=CARTESIAN_POINT('',(2.1035E1,-1.27E0,-1.9E-1)); +#16385=AXIS2_PLACEMENT_3D('',#16384,#12431,#72); +#16386=PLANE('',#16385); +#16387=ORIENTED_EDGE('',*,*,#17912,.F.); +#16388=ORIENTED_EDGE('',*,*,#20053,.F.); +#16389=ORIENTED_EDGE('',*,*,#20054,.T.); +#16390=ORIENTED_EDGE('',*,*,#20055,.T.); +#16391=EDGE_LOOP('',(#16387,#16388,#16389,#16390)); +#16392=FACE_OUTER_BOUND('',#16391,.F.); +#16393=ADVANCED_FACE('',(#16392),#16386,.F.); +#16394=CARTESIAN_POINT('',(2.159E1,-7.175E-1,-1.9E-1)); +#16395=AXIS2_PLACEMENT_3D('',#16394,#12442,#76); +#16396=PLANE('',#16395); +#16397=ORIENTED_EDGE('',*,*,#17915,.F.); +#16398=ORIENTED_EDGE('',*,*,#20056,.F.); +#16399=ORIENTED_EDGE('',*,*,#20057,.T.); +#16400=ORIENTED_EDGE('',*,*,#20053,.T.); +#16401=EDGE_LOOP('',(#16397,#16398,#16399,#16400)); +#16402=FACE_OUTER_BOUND('',#16401,.F.); +#16403=ADVANCED_FACE('',(#16402),#16396,.F.); +#16404=CARTESIAN_POINT('',(2.2145E1,-1.27E0,-1.9E-1)); +#16405=AXIS2_PLACEMENT_3D('',#16404,#12453,#80); +#16406=PLANE('',#16405); +#16407=ORIENTED_EDGE('',*,*,#17914,.F.); +#16408=ORIENTED_EDGE('',*,*,#20058,.F.); +#16409=ORIENTED_EDGE('',*,*,#20059,.T.); +#16410=ORIENTED_EDGE('',*,*,#20056,.T.); +#16411=EDGE_LOOP('',(#16407,#16408,#16409,#16410)); +#16412=FACE_OUTER_BOUND('',#16411,.F.); +#16413=ADVANCED_FACE('',(#16412),#16406,.F.); +#16414=CARTESIAN_POINT('',(2.159E1,-1.8225E0,-1.9E-1)); +#16415=AXIS2_PLACEMENT_3D('',#16414,#12464,#84); +#16416=PLANE('',#16415); +#16417=ORIENTED_EDGE('',*,*,#17913,.F.); +#16418=ORIENTED_EDGE('',*,*,#20055,.F.); +#16419=ORIENTED_EDGE('',*,*,#20060,.T.); +#16420=ORIENTED_EDGE('',*,*,#20058,.T.); +#16421=EDGE_LOOP('',(#16417,#16418,#16419,#16420)); +#16422=FACE_OUTER_BOUND('',#16421,.F.); +#16423=ADVANCED_FACE('',(#16422),#16416,.F.); +#16424=CARTESIAN_POINT('',(6.096E1,-5.08E0,-3.8E-1)); +#16425=AXIS2_PLACEMENT_3D('',#16424,#2100,#84); +#16426=PLANE('',#16425); +#16427=ORIENTED_EDGE('',*,*,#20054,.F.); +#16428=ORIENTED_EDGE('',*,*,#20057,.F.); +#16429=ORIENTED_EDGE('',*,*,#20059,.F.); +#16430=ORIENTED_EDGE('',*,*,#20060,.F.); +#16431=EDGE_LOOP('',(#16427,#16428,#16429,#16430)); +#16432=FACE_OUTER_BOUND('',#16431,.F.); +#16433=ADVANCED_FACE('',(#16432),#16426,.T.); +#16434=CARTESIAN_POINT('',(2.3575E1,-1.27E0,-1.9E-1)); +#16435=AXIS2_PLACEMENT_3D('',#16434,#12431,#72); +#16436=PLANE('',#16435); +#16437=ORIENTED_EDGE('',*,*,#17916,.F.); +#16438=ORIENTED_EDGE('',*,*,#20061,.F.); +#16439=ORIENTED_EDGE('',*,*,#20062,.T.); +#16440=ORIENTED_EDGE('',*,*,#20063,.T.); +#16441=EDGE_LOOP('',(#16437,#16438,#16439,#16440)); +#16442=FACE_OUTER_BOUND('',#16441,.F.); +#16443=ADVANCED_FACE('',(#16442),#16436,.F.); +#16444=CARTESIAN_POINT('',(2.413E1,-7.175E-1,-1.9E-1)); +#16445=AXIS2_PLACEMENT_3D('',#16444,#12442,#76); +#16446=PLANE('',#16445); +#16447=ORIENTED_EDGE('',*,*,#17919,.F.); +#16448=ORIENTED_EDGE('',*,*,#20064,.F.); +#16449=ORIENTED_EDGE('',*,*,#20065,.T.); +#16450=ORIENTED_EDGE('',*,*,#20061,.T.); +#16451=EDGE_LOOP('',(#16447,#16448,#16449,#16450)); +#16452=FACE_OUTER_BOUND('',#16451,.F.); +#16453=ADVANCED_FACE('',(#16452),#16446,.F.); +#16454=CARTESIAN_POINT('',(2.4685E1,-1.27E0,-1.9E-1)); +#16455=AXIS2_PLACEMENT_3D('',#16454,#12453,#80); +#16456=PLANE('',#16455); +#16457=ORIENTED_EDGE('',*,*,#17918,.F.); +#16458=ORIENTED_EDGE('',*,*,#20066,.F.); +#16459=ORIENTED_EDGE('',*,*,#20067,.T.); +#16460=ORIENTED_EDGE('',*,*,#20064,.T.); +#16461=EDGE_LOOP('',(#16457,#16458,#16459,#16460)); +#16462=FACE_OUTER_BOUND('',#16461,.F.); +#16463=ADVANCED_FACE('',(#16462),#16456,.F.); +#16464=CARTESIAN_POINT('',(2.413E1,-1.8225E0,-1.9E-1)); +#16465=AXIS2_PLACEMENT_3D('',#16464,#12464,#84); +#16466=PLANE('',#16465); +#16467=ORIENTED_EDGE('',*,*,#17917,.F.); +#16468=ORIENTED_EDGE('',*,*,#20063,.F.); +#16469=ORIENTED_EDGE('',*,*,#20068,.T.); +#16470=ORIENTED_EDGE('',*,*,#20066,.T.); +#16471=EDGE_LOOP('',(#16467,#16468,#16469,#16470)); +#16472=FACE_OUTER_BOUND('',#16471,.F.); +#16473=ADVANCED_FACE('',(#16472),#16466,.F.); +#16474=CARTESIAN_POINT('',(6.35E1,-5.08E0,-3.8E-1)); +#16475=AXIS2_PLACEMENT_3D('',#16474,#2100,#84); +#16476=PLANE('',#16475); +#16477=ORIENTED_EDGE('',*,*,#20062,.F.); +#16478=ORIENTED_EDGE('',*,*,#20065,.F.); +#16479=ORIENTED_EDGE('',*,*,#20067,.F.); +#16480=ORIENTED_EDGE('',*,*,#20068,.F.); +#16481=EDGE_LOOP('',(#16477,#16478,#16479,#16480)); +#16482=FACE_OUTER_BOUND('',#16481,.F.); +#16483=ADVANCED_FACE('',(#16482),#16476,.T.); +#16484=CARTESIAN_POINT('',(2.6115E1,-1.27E0,-1.9E-1)); +#16485=AXIS2_PLACEMENT_3D('',#16484,#12431,#72); +#16486=PLANE('',#16485); +#16487=ORIENTED_EDGE('',*,*,#17920,.F.); +#16488=ORIENTED_EDGE('',*,*,#20069,.F.); +#16489=ORIENTED_EDGE('',*,*,#20070,.T.); +#16490=ORIENTED_EDGE('',*,*,#20071,.T.); +#16491=EDGE_LOOP('',(#16487,#16488,#16489,#16490)); +#16492=FACE_OUTER_BOUND('',#16491,.F.); +#16493=ADVANCED_FACE('',(#16492),#16486,.F.); +#16494=CARTESIAN_POINT('',(2.667E1,-7.175E-1,-1.9E-1)); +#16495=AXIS2_PLACEMENT_3D('',#16494,#12442,#76); +#16496=PLANE('',#16495); +#16497=ORIENTED_EDGE('',*,*,#17923,.F.); +#16498=ORIENTED_EDGE('',*,*,#20072,.F.); +#16499=ORIENTED_EDGE('',*,*,#20073,.T.); +#16500=ORIENTED_EDGE('',*,*,#20069,.T.); +#16501=EDGE_LOOP('',(#16497,#16498,#16499,#16500)); +#16502=FACE_OUTER_BOUND('',#16501,.F.); +#16503=ADVANCED_FACE('',(#16502),#16496,.F.); +#16504=CARTESIAN_POINT('',(2.7225E1,-1.27E0,-1.9E-1)); +#16505=AXIS2_PLACEMENT_3D('',#16504,#12453,#80); +#16506=PLANE('',#16505); +#16507=ORIENTED_EDGE('',*,*,#17922,.F.); +#16508=ORIENTED_EDGE('',*,*,#20074,.F.); +#16509=ORIENTED_EDGE('',*,*,#20075,.T.); +#16510=ORIENTED_EDGE('',*,*,#20072,.T.); +#16511=EDGE_LOOP('',(#16507,#16508,#16509,#16510)); +#16512=FACE_OUTER_BOUND('',#16511,.F.); +#16513=ADVANCED_FACE('',(#16512),#16506,.F.); +#16514=CARTESIAN_POINT('',(2.667E1,-1.8225E0,-1.9E-1)); +#16515=AXIS2_PLACEMENT_3D('',#16514,#12464,#84); +#16516=PLANE('',#16515); +#16517=ORIENTED_EDGE('',*,*,#17921,.F.); +#16518=ORIENTED_EDGE('',*,*,#20071,.F.); +#16519=ORIENTED_EDGE('',*,*,#20076,.T.); +#16520=ORIENTED_EDGE('',*,*,#20074,.T.); +#16521=EDGE_LOOP('',(#16517,#16518,#16519,#16520)); +#16522=FACE_OUTER_BOUND('',#16521,.F.); +#16523=ADVANCED_FACE('',(#16522),#16516,.F.); +#16524=CARTESIAN_POINT('',(6.604E1,-5.08E0,-3.8E-1)); +#16525=AXIS2_PLACEMENT_3D('',#16524,#2100,#84); +#16526=PLANE('',#16525); +#16527=ORIENTED_EDGE('',*,*,#20070,.F.); +#16528=ORIENTED_EDGE('',*,*,#20073,.F.); +#16529=ORIENTED_EDGE('',*,*,#20075,.F.); +#16530=ORIENTED_EDGE('',*,*,#20076,.F.); +#16531=EDGE_LOOP('',(#16527,#16528,#16529,#16530)); +#16532=FACE_OUTER_BOUND('',#16531,.F.); +#16533=ADVANCED_FACE('',(#16532),#16526,.T.); +#16534=CARTESIAN_POINT('',(-1.9605E1,-3.81E0,-1.9E-1)); +#16535=AXIS2_PLACEMENT_3D('',#16534,#12431,#72); +#16536=PLANE('',#16535); +#16537=ORIENTED_EDGE('',*,*,#17924,.F.); +#16538=ORIENTED_EDGE('',*,*,#20077,.F.); +#16539=ORIENTED_EDGE('',*,*,#20078,.T.); +#16540=ORIENTED_EDGE('',*,*,#20079,.T.); +#16541=EDGE_LOOP('',(#16537,#16538,#16539,#16540)); +#16542=FACE_OUTER_BOUND('',#16541,.F.); +#16543=ADVANCED_FACE('',(#16542),#16536,.F.); +#16544=CARTESIAN_POINT('',(-1.905E1,-3.2575E0,-1.9E-1)); +#16545=AXIS2_PLACEMENT_3D('',#16544,#12442,#76); +#16546=PLANE('',#16545); +#16547=ORIENTED_EDGE('',*,*,#17927,.F.); +#16548=ORIENTED_EDGE('',*,*,#20080,.F.); +#16549=ORIENTED_EDGE('',*,*,#20081,.T.); +#16550=ORIENTED_EDGE('',*,*,#20077,.T.); +#16551=EDGE_LOOP('',(#16547,#16548,#16549,#16550)); +#16552=FACE_OUTER_BOUND('',#16551,.F.); +#16553=ADVANCED_FACE('',(#16552),#16546,.F.); +#16554=CARTESIAN_POINT('',(-1.8495E1,-3.81E0,-1.9E-1)); +#16555=AXIS2_PLACEMENT_3D('',#16554,#12453,#80); +#16556=PLANE('',#16555); +#16557=ORIENTED_EDGE('',*,*,#17926,.F.); +#16558=ORIENTED_EDGE('',*,*,#20082,.F.); +#16559=ORIENTED_EDGE('',*,*,#20083,.T.); +#16560=ORIENTED_EDGE('',*,*,#20080,.T.); +#16561=EDGE_LOOP('',(#16557,#16558,#16559,#16560)); +#16562=FACE_OUTER_BOUND('',#16561,.F.); +#16563=ADVANCED_FACE('',(#16562),#16556,.F.); +#16564=CARTESIAN_POINT('',(-1.905E1,-4.3625E0,-1.9E-1)); +#16565=AXIS2_PLACEMENT_3D('',#16564,#12464,#84); +#16566=PLANE('',#16565); +#16567=ORIENTED_EDGE('',*,*,#17925,.F.); +#16568=ORIENTED_EDGE('',*,*,#20079,.F.); +#16569=ORIENTED_EDGE('',*,*,#20084,.T.); +#16570=ORIENTED_EDGE('',*,*,#20082,.T.); +#16571=EDGE_LOOP('',(#16567,#16568,#16569,#16570)); +#16572=FACE_OUTER_BOUND('',#16571,.F.); +#16573=ADVANCED_FACE('',(#16572),#16566,.F.); +#16574=CARTESIAN_POINT('',(2.032E1,-7.62E0,-3.8E-1)); +#16575=AXIS2_PLACEMENT_3D('',#16574,#2100,#84); +#16576=PLANE('',#16575); +#16577=ORIENTED_EDGE('',*,*,#20078,.F.); +#16578=ORIENTED_EDGE('',*,*,#20081,.F.); +#16579=ORIENTED_EDGE('',*,*,#20083,.F.); +#16580=ORIENTED_EDGE('',*,*,#20084,.F.); +#16581=EDGE_LOOP('',(#16577,#16578,#16579,#16580)); +#16582=FACE_OUTER_BOUND('',#16581,.F.); +#16583=ADVANCED_FACE('',(#16582),#16576,.T.); +#16584=CARTESIAN_POINT('',(-1.7065E1,-3.81E0,-1.9E-1)); +#16585=AXIS2_PLACEMENT_3D('',#16584,#12431,#72); +#16586=PLANE('',#16585); +#16587=ORIENTED_EDGE('',*,*,#17928,.F.); +#16588=ORIENTED_EDGE('',*,*,#20085,.F.); +#16589=ORIENTED_EDGE('',*,*,#20086,.T.); +#16590=ORIENTED_EDGE('',*,*,#20087,.T.); +#16591=EDGE_LOOP('',(#16587,#16588,#16589,#16590)); +#16592=FACE_OUTER_BOUND('',#16591,.F.); +#16593=ADVANCED_FACE('',(#16592),#16586,.F.); +#16594=CARTESIAN_POINT('',(-1.651E1,-3.2575E0,-1.9E-1)); +#16595=AXIS2_PLACEMENT_3D('',#16594,#12442,#76); +#16596=PLANE('',#16595); +#16597=ORIENTED_EDGE('',*,*,#17931,.F.); +#16598=ORIENTED_EDGE('',*,*,#20088,.F.); +#16599=ORIENTED_EDGE('',*,*,#20089,.T.); +#16600=ORIENTED_EDGE('',*,*,#20085,.T.); +#16601=EDGE_LOOP('',(#16597,#16598,#16599,#16600)); +#16602=FACE_OUTER_BOUND('',#16601,.F.); +#16603=ADVANCED_FACE('',(#16602),#16596,.F.); +#16604=CARTESIAN_POINT('',(-1.5955E1,-3.81E0,-1.9E-1)); +#16605=AXIS2_PLACEMENT_3D('',#16604,#12453,#80); +#16606=PLANE('',#16605); +#16607=ORIENTED_EDGE('',*,*,#17930,.F.); +#16608=ORIENTED_EDGE('',*,*,#20090,.F.); +#16609=ORIENTED_EDGE('',*,*,#20091,.T.); +#16610=ORIENTED_EDGE('',*,*,#20088,.T.); +#16611=EDGE_LOOP('',(#16607,#16608,#16609,#16610)); +#16612=FACE_OUTER_BOUND('',#16611,.F.); +#16613=ADVANCED_FACE('',(#16612),#16606,.F.); +#16614=CARTESIAN_POINT('',(-1.651E1,-4.3625E0,-1.9E-1)); +#16615=AXIS2_PLACEMENT_3D('',#16614,#12464,#84); +#16616=PLANE('',#16615); +#16617=ORIENTED_EDGE('',*,*,#17929,.F.); +#16618=ORIENTED_EDGE('',*,*,#20087,.F.); +#16619=ORIENTED_EDGE('',*,*,#20092,.T.); +#16620=ORIENTED_EDGE('',*,*,#20090,.T.); +#16621=EDGE_LOOP('',(#16617,#16618,#16619,#16620)); +#16622=FACE_OUTER_BOUND('',#16621,.F.); +#16623=ADVANCED_FACE('',(#16622),#16616,.F.); +#16624=CARTESIAN_POINT('',(2.286E1,-7.62E0,-3.8E-1)); +#16625=AXIS2_PLACEMENT_3D('',#16624,#2100,#84); +#16626=PLANE('',#16625); +#16627=ORIENTED_EDGE('',*,*,#20086,.F.); +#16628=ORIENTED_EDGE('',*,*,#20089,.F.); +#16629=ORIENTED_EDGE('',*,*,#20091,.F.); +#16630=ORIENTED_EDGE('',*,*,#20092,.F.); +#16631=EDGE_LOOP('',(#16627,#16628,#16629,#16630)); +#16632=FACE_OUTER_BOUND('',#16631,.F.); +#16633=ADVANCED_FACE('',(#16632),#16626,.T.); +#16634=CARTESIAN_POINT('',(-1.4525E1,-3.81E0,-1.9E-1)); +#16635=AXIS2_PLACEMENT_3D('',#16634,#12431,#72); +#16636=PLANE('',#16635); +#16637=ORIENTED_EDGE('',*,*,#17932,.F.); +#16638=ORIENTED_EDGE('',*,*,#20093,.F.); +#16639=ORIENTED_EDGE('',*,*,#20094,.T.); +#16640=ORIENTED_EDGE('',*,*,#20095,.T.); +#16641=EDGE_LOOP('',(#16637,#16638,#16639,#16640)); +#16642=FACE_OUTER_BOUND('',#16641,.F.); +#16643=ADVANCED_FACE('',(#16642),#16636,.F.); +#16644=CARTESIAN_POINT('',(-1.397E1,-3.2575E0,-1.9E-1)); +#16645=AXIS2_PLACEMENT_3D('',#16644,#12442,#76); +#16646=PLANE('',#16645); +#16647=ORIENTED_EDGE('',*,*,#17935,.F.); +#16648=ORIENTED_EDGE('',*,*,#20096,.F.); +#16649=ORIENTED_EDGE('',*,*,#20097,.T.); +#16650=ORIENTED_EDGE('',*,*,#20093,.T.); +#16651=EDGE_LOOP('',(#16647,#16648,#16649,#16650)); +#16652=FACE_OUTER_BOUND('',#16651,.F.); +#16653=ADVANCED_FACE('',(#16652),#16646,.F.); +#16654=CARTESIAN_POINT('',(-1.3415E1,-3.81E0,-1.9E-1)); +#16655=AXIS2_PLACEMENT_3D('',#16654,#12453,#80); +#16656=PLANE('',#16655); +#16657=ORIENTED_EDGE('',*,*,#17934,.F.); +#16658=ORIENTED_EDGE('',*,*,#20098,.F.); +#16659=ORIENTED_EDGE('',*,*,#20099,.T.); +#16660=ORIENTED_EDGE('',*,*,#20096,.T.); +#16661=EDGE_LOOP('',(#16657,#16658,#16659,#16660)); +#16662=FACE_OUTER_BOUND('',#16661,.F.); +#16663=ADVANCED_FACE('',(#16662),#16656,.F.); +#16664=CARTESIAN_POINT('',(-1.397E1,-4.3625E0,-1.9E-1)); +#16665=AXIS2_PLACEMENT_3D('',#16664,#12464,#84); +#16666=PLANE('',#16665); +#16667=ORIENTED_EDGE('',*,*,#17933,.F.); +#16668=ORIENTED_EDGE('',*,*,#20095,.F.); +#16669=ORIENTED_EDGE('',*,*,#20100,.T.); +#16670=ORIENTED_EDGE('',*,*,#20098,.T.); +#16671=EDGE_LOOP('',(#16667,#16668,#16669,#16670)); +#16672=FACE_OUTER_BOUND('',#16671,.F.); +#16673=ADVANCED_FACE('',(#16672),#16666,.F.); +#16674=CARTESIAN_POINT('',(2.54E1,-7.62E0,-3.8E-1)); +#16675=AXIS2_PLACEMENT_3D('',#16674,#2100,#84); +#16676=PLANE('',#16675); +#16677=ORIENTED_EDGE('',*,*,#20094,.F.); +#16678=ORIENTED_EDGE('',*,*,#20097,.F.); +#16679=ORIENTED_EDGE('',*,*,#20099,.F.); +#16680=ORIENTED_EDGE('',*,*,#20100,.F.); +#16681=EDGE_LOOP('',(#16677,#16678,#16679,#16680)); +#16682=FACE_OUTER_BOUND('',#16681,.F.); +#16683=ADVANCED_FACE('',(#16682),#16676,.T.); +#16684=CARTESIAN_POINT('',(-1.1985E1,-3.81E0,-1.9E-1)); +#16685=AXIS2_PLACEMENT_3D('',#16684,#12431,#72); +#16686=PLANE('',#16685); +#16687=ORIENTED_EDGE('',*,*,#17936,.F.); +#16688=ORIENTED_EDGE('',*,*,#20101,.F.); +#16689=ORIENTED_EDGE('',*,*,#20102,.T.); +#16690=ORIENTED_EDGE('',*,*,#20103,.T.); +#16691=EDGE_LOOP('',(#16687,#16688,#16689,#16690)); +#16692=FACE_OUTER_BOUND('',#16691,.F.); +#16693=ADVANCED_FACE('',(#16692),#16686,.F.); +#16694=CARTESIAN_POINT('',(-1.143E1,-3.2575E0,-1.9E-1)); +#16695=AXIS2_PLACEMENT_3D('',#16694,#12442,#76); +#16696=PLANE('',#16695); +#16697=ORIENTED_EDGE('',*,*,#17939,.F.); +#16698=ORIENTED_EDGE('',*,*,#20104,.F.); +#16699=ORIENTED_EDGE('',*,*,#20105,.T.); +#16700=ORIENTED_EDGE('',*,*,#20101,.T.); +#16701=EDGE_LOOP('',(#16697,#16698,#16699,#16700)); +#16702=FACE_OUTER_BOUND('',#16701,.F.); +#16703=ADVANCED_FACE('',(#16702),#16696,.F.); +#16704=CARTESIAN_POINT('',(-1.0875E1,-3.81E0,-1.9E-1)); +#16705=AXIS2_PLACEMENT_3D('',#16704,#12453,#80); +#16706=PLANE('',#16705); +#16707=ORIENTED_EDGE('',*,*,#17938,.F.); +#16708=ORIENTED_EDGE('',*,*,#20106,.F.); +#16709=ORIENTED_EDGE('',*,*,#20107,.T.); +#16710=ORIENTED_EDGE('',*,*,#20104,.T.); +#16711=EDGE_LOOP('',(#16707,#16708,#16709,#16710)); +#16712=FACE_OUTER_BOUND('',#16711,.F.); +#16713=ADVANCED_FACE('',(#16712),#16706,.F.); +#16714=CARTESIAN_POINT('',(-1.143E1,-4.3625E0,-1.9E-1)); +#16715=AXIS2_PLACEMENT_3D('',#16714,#12464,#84); +#16716=PLANE('',#16715); +#16717=ORIENTED_EDGE('',*,*,#17937,.F.); +#16718=ORIENTED_EDGE('',*,*,#20103,.F.); +#16719=ORIENTED_EDGE('',*,*,#20108,.T.); +#16720=ORIENTED_EDGE('',*,*,#20106,.T.); +#16721=EDGE_LOOP('',(#16717,#16718,#16719,#16720)); +#16722=FACE_OUTER_BOUND('',#16721,.F.); +#16723=ADVANCED_FACE('',(#16722),#16716,.F.); +#16724=CARTESIAN_POINT('',(2.794E1,-7.62E0,-3.8E-1)); +#16725=AXIS2_PLACEMENT_3D('',#16724,#2100,#84); +#16726=PLANE('',#16725); +#16727=ORIENTED_EDGE('',*,*,#20102,.F.); +#16728=ORIENTED_EDGE('',*,*,#20105,.F.); +#16729=ORIENTED_EDGE('',*,*,#20107,.F.); +#16730=ORIENTED_EDGE('',*,*,#20108,.F.); +#16731=EDGE_LOOP('',(#16727,#16728,#16729,#16730)); +#16732=FACE_OUTER_BOUND('',#16731,.F.); +#16733=ADVANCED_FACE('',(#16732),#16726,.T.); +#16734=CARTESIAN_POINT('',(-9.445E0,-3.81E0,-1.9E-1)); +#16735=AXIS2_PLACEMENT_3D('',#16734,#12431,#72); +#16736=PLANE('',#16735); +#16737=ORIENTED_EDGE('',*,*,#17940,.F.); +#16738=ORIENTED_EDGE('',*,*,#20109,.F.); +#16739=ORIENTED_EDGE('',*,*,#20110,.T.); +#16740=ORIENTED_EDGE('',*,*,#20111,.T.); +#16741=EDGE_LOOP('',(#16737,#16738,#16739,#16740)); +#16742=FACE_OUTER_BOUND('',#16741,.F.); +#16743=ADVANCED_FACE('',(#16742),#16736,.F.); +#16744=CARTESIAN_POINT('',(-8.89E0,-3.2575E0,-1.9E-1)); +#16745=AXIS2_PLACEMENT_3D('',#16744,#12442,#76); +#16746=PLANE('',#16745); +#16747=ORIENTED_EDGE('',*,*,#17943,.F.); +#16748=ORIENTED_EDGE('',*,*,#20112,.F.); +#16749=ORIENTED_EDGE('',*,*,#20113,.T.); +#16750=ORIENTED_EDGE('',*,*,#20109,.T.); +#16751=EDGE_LOOP('',(#16747,#16748,#16749,#16750)); +#16752=FACE_OUTER_BOUND('',#16751,.F.); +#16753=ADVANCED_FACE('',(#16752),#16746,.F.); +#16754=CARTESIAN_POINT('',(-8.335E0,-3.81E0,-1.9E-1)); +#16755=AXIS2_PLACEMENT_3D('',#16754,#12453,#80); +#16756=PLANE('',#16755); +#16757=ORIENTED_EDGE('',*,*,#17942,.F.); +#16758=ORIENTED_EDGE('',*,*,#20114,.F.); +#16759=ORIENTED_EDGE('',*,*,#20115,.T.); +#16760=ORIENTED_EDGE('',*,*,#20112,.T.); +#16761=EDGE_LOOP('',(#16757,#16758,#16759,#16760)); +#16762=FACE_OUTER_BOUND('',#16761,.F.); +#16763=ADVANCED_FACE('',(#16762),#16756,.F.); +#16764=CARTESIAN_POINT('',(-8.89E0,-4.3625E0,-1.9E-1)); +#16765=AXIS2_PLACEMENT_3D('',#16764,#12464,#84); +#16766=PLANE('',#16765); +#16767=ORIENTED_EDGE('',*,*,#17941,.F.); +#16768=ORIENTED_EDGE('',*,*,#20111,.F.); +#16769=ORIENTED_EDGE('',*,*,#20116,.T.); +#16770=ORIENTED_EDGE('',*,*,#20114,.T.); +#16771=EDGE_LOOP('',(#16767,#16768,#16769,#16770)); +#16772=FACE_OUTER_BOUND('',#16771,.F.); +#16773=ADVANCED_FACE('',(#16772),#16766,.F.); +#16774=CARTESIAN_POINT('',(3.048E1,-7.62E0,-3.8E-1)); +#16775=AXIS2_PLACEMENT_3D('',#16774,#2100,#84); +#16776=PLANE('',#16775); +#16777=ORIENTED_EDGE('',*,*,#20110,.F.); +#16778=ORIENTED_EDGE('',*,*,#20113,.F.); +#16779=ORIENTED_EDGE('',*,*,#20115,.F.); +#16780=ORIENTED_EDGE('',*,*,#20116,.F.); +#16781=EDGE_LOOP('',(#16777,#16778,#16779,#16780)); +#16782=FACE_OUTER_BOUND('',#16781,.F.); +#16783=ADVANCED_FACE('',(#16782),#16776,.T.); +#16784=CARTESIAN_POINT('',(-6.905E0,-3.81E0,-1.9E-1)); +#16785=AXIS2_PLACEMENT_3D('',#16784,#12431,#72); +#16786=PLANE('',#16785); +#16787=ORIENTED_EDGE('',*,*,#17944,.F.); +#16788=ORIENTED_EDGE('',*,*,#20117,.F.); +#16789=ORIENTED_EDGE('',*,*,#20118,.T.); +#16790=ORIENTED_EDGE('',*,*,#20119,.T.); +#16791=EDGE_LOOP('',(#16787,#16788,#16789,#16790)); +#16792=FACE_OUTER_BOUND('',#16791,.F.); +#16793=ADVANCED_FACE('',(#16792),#16786,.F.); +#16794=CARTESIAN_POINT('',(-6.35E0,-3.2575E0,-1.9E-1)); +#16795=AXIS2_PLACEMENT_3D('',#16794,#12442,#76); +#16796=PLANE('',#16795); +#16797=ORIENTED_EDGE('',*,*,#17947,.F.); +#16798=ORIENTED_EDGE('',*,*,#20120,.F.); +#16799=ORIENTED_EDGE('',*,*,#20121,.T.); +#16800=ORIENTED_EDGE('',*,*,#20117,.T.); +#16801=EDGE_LOOP('',(#16797,#16798,#16799,#16800)); +#16802=FACE_OUTER_BOUND('',#16801,.F.); +#16803=ADVANCED_FACE('',(#16802),#16796,.F.); +#16804=CARTESIAN_POINT('',(-5.795E0,-3.81E0,-1.9E-1)); +#16805=AXIS2_PLACEMENT_3D('',#16804,#12453,#80); +#16806=PLANE('',#16805); +#16807=ORIENTED_EDGE('',*,*,#17946,.F.); +#16808=ORIENTED_EDGE('',*,*,#20122,.F.); +#16809=ORIENTED_EDGE('',*,*,#20123,.T.); +#16810=ORIENTED_EDGE('',*,*,#20120,.T.); +#16811=EDGE_LOOP('',(#16807,#16808,#16809,#16810)); +#16812=FACE_OUTER_BOUND('',#16811,.F.); +#16813=ADVANCED_FACE('',(#16812),#16806,.F.); +#16814=CARTESIAN_POINT('',(-6.35E0,-4.3625E0,-1.9E-1)); +#16815=AXIS2_PLACEMENT_3D('',#16814,#12464,#84); +#16816=PLANE('',#16815); +#16817=ORIENTED_EDGE('',*,*,#17945,.F.); +#16818=ORIENTED_EDGE('',*,*,#20119,.F.); +#16819=ORIENTED_EDGE('',*,*,#20124,.T.); +#16820=ORIENTED_EDGE('',*,*,#20122,.T.); +#16821=EDGE_LOOP('',(#16817,#16818,#16819,#16820)); +#16822=FACE_OUTER_BOUND('',#16821,.F.); +#16823=ADVANCED_FACE('',(#16822),#16816,.F.); +#16824=CARTESIAN_POINT('',(3.302E1,-7.62E0,-3.8E-1)); +#16825=AXIS2_PLACEMENT_3D('',#16824,#2100,#84); +#16826=PLANE('',#16825); +#16827=ORIENTED_EDGE('',*,*,#20118,.F.); +#16828=ORIENTED_EDGE('',*,*,#20121,.F.); +#16829=ORIENTED_EDGE('',*,*,#20123,.F.); +#16830=ORIENTED_EDGE('',*,*,#20124,.F.); +#16831=EDGE_LOOP('',(#16827,#16828,#16829,#16830)); +#16832=FACE_OUTER_BOUND('',#16831,.F.); +#16833=ADVANCED_FACE('',(#16832),#16826,.T.); +#16834=CARTESIAN_POINT('',(-4.365E0,-3.81E0,-1.9E-1)); +#16835=AXIS2_PLACEMENT_3D('',#16834,#12431,#72); +#16836=PLANE('',#16835); +#16837=ORIENTED_EDGE('',*,*,#17948,.F.); +#16838=ORIENTED_EDGE('',*,*,#20125,.F.); +#16839=ORIENTED_EDGE('',*,*,#20126,.T.); +#16840=ORIENTED_EDGE('',*,*,#20127,.T.); +#16841=EDGE_LOOP('',(#16837,#16838,#16839,#16840)); +#16842=FACE_OUTER_BOUND('',#16841,.F.); +#16843=ADVANCED_FACE('',(#16842),#16836,.F.); +#16844=CARTESIAN_POINT('',(-3.81E0,-3.2575E0,-1.9E-1)); +#16845=AXIS2_PLACEMENT_3D('',#16844,#12442,#76); +#16846=PLANE('',#16845); +#16847=ORIENTED_EDGE('',*,*,#17951,.F.); +#16848=ORIENTED_EDGE('',*,*,#20128,.F.); +#16849=ORIENTED_EDGE('',*,*,#20129,.T.); +#16850=ORIENTED_EDGE('',*,*,#20125,.T.); +#16851=EDGE_LOOP('',(#16847,#16848,#16849,#16850)); +#16852=FACE_OUTER_BOUND('',#16851,.F.); +#16853=ADVANCED_FACE('',(#16852),#16846,.F.); +#16854=CARTESIAN_POINT('',(-3.255E0,-3.81E0,-1.9E-1)); +#16855=AXIS2_PLACEMENT_3D('',#16854,#12453,#80); +#16856=PLANE('',#16855); +#16857=ORIENTED_EDGE('',*,*,#17950,.F.); +#16858=ORIENTED_EDGE('',*,*,#20130,.F.); +#16859=ORIENTED_EDGE('',*,*,#20131,.T.); +#16860=ORIENTED_EDGE('',*,*,#20128,.T.); +#16861=EDGE_LOOP('',(#16857,#16858,#16859,#16860)); +#16862=FACE_OUTER_BOUND('',#16861,.F.); +#16863=ADVANCED_FACE('',(#16862),#16856,.F.); +#16864=CARTESIAN_POINT('',(-3.81E0,-4.3625E0,-1.9E-1)); +#16865=AXIS2_PLACEMENT_3D('',#16864,#12464,#84); +#16866=PLANE('',#16865); +#16867=ORIENTED_EDGE('',*,*,#17949,.F.); +#16868=ORIENTED_EDGE('',*,*,#20127,.F.); +#16869=ORIENTED_EDGE('',*,*,#20132,.T.); +#16870=ORIENTED_EDGE('',*,*,#20130,.T.); +#16871=EDGE_LOOP('',(#16867,#16868,#16869,#16870)); +#16872=FACE_OUTER_BOUND('',#16871,.F.); +#16873=ADVANCED_FACE('',(#16872),#16866,.F.); +#16874=CARTESIAN_POINT('',(3.556E1,-7.62E0,-3.8E-1)); +#16875=AXIS2_PLACEMENT_3D('',#16874,#2100,#84); +#16876=PLANE('',#16875); +#16877=ORIENTED_EDGE('',*,*,#20126,.F.); +#16878=ORIENTED_EDGE('',*,*,#20129,.F.); +#16879=ORIENTED_EDGE('',*,*,#20131,.F.); +#16880=ORIENTED_EDGE('',*,*,#20132,.F.); +#16881=EDGE_LOOP('',(#16877,#16878,#16879,#16880)); +#16882=FACE_OUTER_BOUND('',#16881,.F.); +#16883=ADVANCED_FACE('',(#16882),#16876,.T.); +#16884=CARTESIAN_POINT('',(-1.825E0,-3.81E0,-1.9E-1)); +#16885=AXIS2_PLACEMENT_3D('',#16884,#12431,#72); +#16886=PLANE('',#16885); +#16887=ORIENTED_EDGE('',*,*,#17952,.F.); +#16888=ORIENTED_EDGE('',*,*,#20133,.F.); +#16889=ORIENTED_EDGE('',*,*,#20134,.T.); +#16890=ORIENTED_EDGE('',*,*,#20135,.T.); +#16891=EDGE_LOOP('',(#16887,#16888,#16889,#16890)); +#16892=FACE_OUTER_BOUND('',#16891,.F.); +#16893=ADVANCED_FACE('',(#16892),#16886,.F.); +#16894=CARTESIAN_POINT('',(-1.27E0,-3.2575E0,-1.9E-1)); +#16895=AXIS2_PLACEMENT_3D('',#16894,#12442,#76); +#16896=PLANE('',#16895); +#16897=ORIENTED_EDGE('',*,*,#17955,.F.); +#16898=ORIENTED_EDGE('',*,*,#20136,.F.); +#16899=ORIENTED_EDGE('',*,*,#20137,.T.); +#16900=ORIENTED_EDGE('',*,*,#20133,.T.); +#16901=EDGE_LOOP('',(#16897,#16898,#16899,#16900)); +#16902=FACE_OUTER_BOUND('',#16901,.F.); +#16903=ADVANCED_FACE('',(#16902),#16896,.F.); +#16904=CARTESIAN_POINT('',(-7.15E-1,-3.81E0,-1.9E-1)); +#16905=AXIS2_PLACEMENT_3D('',#16904,#12453,#80); +#16906=PLANE('',#16905); +#16907=ORIENTED_EDGE('',*,*,#17954,.F.); +#16908=ORIENTED_EDGE('',*,*,#20138,.F.); +#16909=ORIENTED_EDGE('',*,*,#20139,.T.); +#16910=ORIENTED_EDGE('',*,*,#20136,.T.); +#16911=EDGE_LOOP('',(#16907,#16908,#16909,#16910)); +#16912=FACE_OUTER_BOUND('',#16911,.F.); +#16913=ADVANCED_FACE('',(#16912),#16906,.F.); +#16914=CARTESIAN_POINT('',(-1.27E0,-4.3625E0,-1.9E-1)); +#16915=AXIS2_PLACEMENT_3D('',#16914,#12464,#84); +#16916=PLANE('',#16915); +#16917=ORIENTED_EDGE('',*,*,#17953,.F.); +#16918=ORIENTED_EDGE('',*,*,#20135,.F.); +#16919=ORIENTED_EDGE('',*,*,#20140,.T.); +#16920=ORIENTED_EDGE('',*,*,#20138,.T.); +#16921=EDGE_LOOP('',(#16917,#16918,#16919,#16920)); +#16922=FACE_OUTER_BOUND('',#16921,.F.); +#16923=ADVANCED_FACE('',(#16922),#16916,.F.); +#16924=CARTESIAN_POINT('',(3.81E1,-7.62E0,-3.8E-1)); +#16925=AXIS2_PLACEMENT_3D('',#16924,#2100,#84); +#16926=PLANE('',#16925); +#16927=ORIENTED_EDGE('',*,*,#20134,.F.); +#16928=ORIENTED_EDGE('',*,*,#20137,.F.); +#16929=ORIENTED_EDGE('',*,*,#20139,.F.); +#16930=ORIENTED_EDGE('',*,*,#20140,.F.); +#16931=EDGE_LOOP('',(#16927,#16928,#16929,#16930)); +#16932=FACE_OUTER_BOUND('',#16931,.F.); +#16933=ADVANCED_FACE('',(#16932),#16926,.T.); +#16934=CARTESIAN_POINT('',(7.15E-1,-3.81E0,-1.9E-1)); +#16935=AXIS2_PLACEMENT_3D('',#16934,#12431,#72); +#16936=PLANE('',#16935); +#16937=ORIENTED_EDGE('',*,*,#17956,.F.); +#16938=ORIENTED_EDGE('',*,*,#20141,.F.); +#16939=ORIENTED_EDGE('',*,*,#20142,.T.); +#16940=ORIENTED_EDGE('',*,*,#20143,.T.); +#16941=EDGE_LOOP('',(#16937,#16938,#16939,#16940)); +#16942=FACE_OUTER_BOUND('',#16941,.F.); +#16943=ADVANCED_FACE('',(#16942),#16936,.F.); +#16944=CARTESIAN_POINT('',(1.27E0,-3.2575E0,-1.9E-1)); +#16945=AXIS2_PLACEMENT_3D('',#16944,#12442,#76); +#16946=PLANE('',#16945); +#16947=ORIENTED_EDGE('',*,*,#17959,.F.); +#16948=ORIENTED_EDGE('',*,*,#20144,.F.); +#16949=ORIENTED_EDGE('',*,*,#20145,.T.); +#16950=ORIENTED_EDGE('',*,*,#20141,.T.); +#16951=EDGE_LOOP('',(#16947,#16948,#16949,#16950)); +#16952=FACE_OUTER_BOUND('',#16951,.F.); +#16953=ADVANCED_FACE('',(#16952),#16946,.F.); +#16954=CARTESIAN_POINT('',(1.825E0,-3.81E0,-1.9E-1)); +#16955=AXIS2_PLACEMENT_3D('',#16954,#12453,#80); +#16956=PLANE('',#16955); +#16957=ORIENTED_EDGE('',*,*,#17958,.F.); +#16958=ORIENTED_EDGE('',*,*,#20146,.F.); +#16959=ORIENTED_EDGE('',*,*,#20147,.T.); +#16960=ORIENTED_EDGE('',*,*,#20144,.T.); +#16961=EDGE_LOOP('',(#16957,#16958,#16959,#16960)); +#16962=FACE_OUTER_BOUND('',#16961,.F.); +#16963=ADVANCED_FACE('',(#16962),#16956,.F.); +#16964=CARTESIAN_POINT('',(1.27E0,-4.3625E0,-1.9E-1)); +#16965=AXIS2_PLACEMENT_3D('',#16964,#12464,#84); +#16966=PLANE('',#16965); +#16967=ORIENTED_EDGE('',*,*,#17957,.F.); +#16968=ORIENTED_EDGE('',*,*,#20143,.F.); +#16969=ORIENTED_EDGE('',*,*,#20148,.T.); +#16970=ORIENTED_EDGE('',*,*,#20146,.T.); +#16971=EDGE_LOOP('',(#16967,#16968,#16969,#16970)); +#16972=FACE_OUTER_BOUND('',#16971,.F.); +#16973=ADVANCED_FACE('',(#16972),#16966,.F.); +#16974=CARTESIAN_POINT('',(4.064E1,-7.62E0,-3.8E-1)); +#16975=AXIS2_PLACEMENT_3D('',#16974,#2100,#84); +#16976=PLANE('',#16975); +#16977=ORIENTED_EDGE('',*,*,#20142,.F.); +#16978=ORIENTED_EDGE('',*,*,#20145,.F.); +#16979=ORIENTED_EDGE('',*,*,#20147,.F.); +#16980=ORIENTED_EDGE('',*,*,#20148,.F.); +#16981=EDGE_LOOP('',(#16977,#16978,#16979,#16980)); +#16982=FACE_OUTER_BOUND('',#16981,.F.); +#16983=ADVANCED_FACE('',(#16982),#16976,.T.); +#16984=CARTESIAN_POINT('',(3.255E0,-3.81E0,-1.9E-1)); +#16985=AXIS2_PLACEMENT_3D('',#16984,#12431,#72); +#16986=PLANE('',#16985); +#16987=ORIENTED_EDGE('',*,*,#17960,.F.); +#16988=ORIENTED_EDGE('',*,*,#20149,.F.); +#16989=ORIENTED_EDGE('',*,*,#20150,.T.); +#16990=ORIENTED_EDGE('',*,*,#20151,.T.); +#16991=EDGE_LOOP('',(#16987,#16988,#16989,#16990)); +#16992=FACE_OUTER_BOUND('',#16991,.F.); +#16993=ADVANCED_FACE('',(#16992),#16986,.F.); +#16994=CARTESIAN_POINT('',(3.81E0,-3.2575E0,-1.9E-1)); +#16995=AXIS2_PLACEMENT_3D('',#16994,#12442,#76); +#16996=PLANE('',#16995); +#16997=ORIENTED_EDGE('',*,*,#17963,.F.); +#16998=ORIENTED_EDGE('',*,*,#20152,.F.); +#16999=ORIENTED_EDGE('',*,*,#20153,.T.); +#17000=ORIENTED_EDGE('',*,*,#20149,.T.); +#17001=EDGE_LOOP('',(#16997,#16998,#16999,#17000)); +#17002=FACE_OUTER_BOUND('',#17001,.F.); +#17003=ADVANCED_FACE('',(#17002),#16996,.F.); +#17004=CARTESIAN_POINT('',(4.365E0,-3.81E0,-1.9E-1)); +#17005=AXIS2_PLACEMENT_3D('',#17004,#12453,#80); +#17006=PLANE('',#17005); +#17007=ORIENTED_EDGE('',*,*,#17962,.F.); +#17008=ORIENTED_EDGE('',*,*,#20154,.F.); +#17009=ORIENTED_EDGE('',*,*,#20155,.T.); +#17010=ORIENTED_EDGE('',*,*,#20152,.T.); +#17011=EDGE_LOOP('',(#17007,#17008,#17009,#17010)); +#17012=FACE_OUTER_BOUND('',#17011,.F.); +#17013=ADVANCED_FACE('',(#17012),#17006,.F.); +#17014=CARTESIAN_POINT('',(3.81E0,-4.3625E0,-1.9E-1)); +#17015=AXIS2_PLACEMENT_3D('',#17014,#12464,#84); +#17016=PLANE('',#17015); +#17017=ORIENTED_EDGE('',*,*,#17961,.F.); +#17018=ORIENTED_EDGE('',*,*,#20151,.F.); +#17019=ORIENTED_EDGE('',*,*,#20156,.T.); +#17020=ORIENTED_EDGE('',*,*,#20154,.T.); +#17021=EDGE_LOOP('',(#17017,#17018,#17019,#17020)); +#17022=FACE_OUTER_BOUND('',#17021,.F.); +#17023=ADVANCED_FACE('',(#17022),#17016,.F.); +#17024=CARTESIAN_POINT('',(4.318E1,-7.62E0,-3.8E-1)); +#17025=AXIS2_PLACEMENT_3D('',#17024,#2100,#84); +#17026=PLANE('',#17025); +#17027=ORIENTED_EDGE('',*,*,#20150,.F.); +#17028=ORIENTED_EDGE('',*,*,#20153,.F.); +#17029=ORIENTED_EDGE('',*,*,#20155,.F.); +#17030=ORIENTED_EDGE('',*,*,#20156,.F.); +#17031=EDGE_LOOP('',(#17027,#17028,#17029,#17030)); +#17032=FACE_OUTER_BOUND('',#17031,.F.); +#17033=ADVANCED_FACE('',(#17032),#17026,.T.); +#17034=CARTESIAN_POINT('',(5.795E0,-3.81E0,-1.9E-1)); +#17035=AXIS2_PLACEMENT_3D('',#17034,#12431,#72); +#17036=PLANE('',#17035); +#17037=ORIENTED_EDGE('',*,*,#17964,.F.); +#17038=ORIENTED_EDGE('',*,*,#20157,.F.); +#17039=ORIENTED_EDGE('',*,*,#20158,.T.); +#17040=ORIENTED_EDGE('',*,*,#20159,.T.); +#17041=EDGE_LOOP('',(#17037,#17038,#17039,#17040)); +#17042=FACE_OUTER_BOUND('',#17041,.F.); +#17043=ADVANCED_FACE('',(#17042),#17036,.F.); +#17044=CARTESIAN_POINT('',(6.35E0,-3.2575E0,-1.9E-1)); +#17045=AXIS2_PLACEMENT_3D('',#17044,#12442,#76); +#17046=PLANE('',#17045); +#17047=ORIENTED_EDGE('',*,*,#17967,.F.); +#17048=ORIENTED_EDGE('',*,*,#20160,.F.); +#17049=ORIENTED_EDGE('',*,*,#20161,.T.); +#17050=ORIENTED_EDGE('',*,*,#20157,.T.); +#17051=EDGE_LOOP('',(#17047,#17048,#17049,#17050)); +#17052=FACE_OUTER_BOUND('',#17051,.F.); +#17053=ADVANCED_FACE('',(#17052),#17046,.F.); +#17054=CARTESIAN_POINT('',(6.905E0,-3.81E0,-1.9E-1)); +#17055=AXIS2_PLACEMENT_3D('',#17054,#12453,#80); +#17056=PLANE('',#17055); +#17057=ORIENTED_EDGE('',*,*,#17966,.F.); +#17058=ORIENTED_EDGE('',*,*,#20162,.F.); +#17059=ORIENTED_EDGE('',*,*,#20163,.T.); +#17060=ORIENTED_EDGE('',*,*,#20160,.T.); +#17061=EDGE_LOOP('',(#17057,#17058,#17059,#17060)); +#17062=FACE_OUTER_BOUND('',#17061,.F.); +#17063=ADVANCED_FACE('',(#17062),#17056,.F.); +#17064=CARTESIAN_POINT('',(6.35E0,-4.3625E0,-1.9E-1)); +#17065=AXIS2_PLACEMENT_3D('',#17064,#12464,#84); +#17066=PLANE('',#17065); +#17067=ORIENTED_EDGE('',*,*,#17965,.F.); +#17068=ORIENTED_EDGE('',*,*,#20159,.F.); +#17069=ORIENTED_EDGE('',*,*,#20164,.T.); +#17070=ORIENTED_EDGE('',*,*,#20162,.T.); +#17071=EDGE_LOOP('',(#17067,#17068,#17069,#17070)); +#17072=FACE_OUTER_BOUND('',#17071,.F.); +#17073=ADVANCED_FACE('',(#17072),#17066,.F.); +#17074=CARTESIAN_POINT('',(4.572E1,-7.62E0,-3.8E-1)); +#17075=AXIS2_PLACEMENT_3D('',#17074,#2100,#84); +#17076=PLANE('',#17075); +#17077=ORIENTED_EDGE('',*,*,#20158,.F.); +#17078=ORIENTED_EDGE('',*,*,#20161,.F.); +#17079=ORIENTED_EDGE('',*,*,#20163,.F.); +#17080=ORIENTED_EDGE('',*,*,#20164,.F.); +#17081=EDGE_LOOP('',(#17077,#17078,#17079,#17080)); +#17082=FACE_OUTER_BOUND('',#17081,.F.); +#17083=ADVANCED_FACE('',(#17082),#17076,.T.); +#17084=CARTESIAN_POINT('',(8.335E0,-3.81E0,-1.9E-1)); +#17085=AXIS2_PLACEMENT_3D('',#17084,#12431,#72); +#17086=PLANE('',#17085); +#17087=ORIENTED_EDGE('',*,*,#17968,.F.); +#17088=ORIENTED_EDGE('',*,*,#20165,.F.); +#17089=ORIENTED_EDGE('',*,*,#20166,.T.); +#17090=ORIENTED_EDGE('',*,*,#20167,.T.); +#17091=EDGE_LOOP('',(#17087,#17088,#17089,#17090)); +#17092=FACE_OUTER_BOUND('',#17091,.F.); +#17093=ADVANCED_FACE('',(#17092),#17086,.F.); +#17094=CARTESIAN_POINT('',(8.89E0,-3.2575E0,-1.9E-1)); +#17095=AXIS2_PLACEMENT_3D('',#17094,#12442,#76); +#17096=PLANE('',#17095); +#17097=ORIENTED_EDGE('',*,*,#17971,.F.); +#17098=ORIENTED_EDGE('',*,*,#20168,.F.); +#17099=ORIENTED_EDGE('',*,*,#20169,.T.); +#17100=ORIENTED_EDGE('',*,*,#20165,.T.); +#17101=EDGE_LOOP('',(#17097,#17098,#17099,#17100)); +#17102=FACE_OUTER_BOUND('',#17101,.F.); +#17103=ADVANCED_FACE('',(#17102),#17096,.F.); +#17104=CARTESIAN_POINT('',(9.445E0,-3.81E0,-1.9E-1)); +#17105=AXIS2_PLACEMENT_3D('',#17104,#12453,#80); +#17106=PLANE('',#17105); +#17107=ORIENTED_EDGE('',*,*,#17970,.F.); +#17108=ORIENTED_EDGE('',*,*,#20170,.F.); +#17109=ORIENTED_EDGE('',*,*,#20171,.T.); +#17110=ORIENTED_EDGE('',*,*,#20168,.T.); +#17111=EDGE_LOOP('',(#17107,#17108,#17109,#17110)); +#17112=FACE_OUTER_BOUND('',#17111,.F.); +#17113=ADVANCED_FACE('',(#17112),#17106,.F.); +#17114=CARTESIAN_POINT('',(8.89E0,-4.3625E0,-1.9E-1)); +#17115=AXIS2_PLACEMENT_3D('',#17114,#12464,#84); +#17116=PLANE('',#17115); +#17117=ORIENTED_EDGE('',*,*,#17969,.F.); +#17118=ORIENTED_EDGE('',*,*,#20167,.F.); +#17119=ORIENTED_EDGE('',*,*,#20172,.T.); +#17120=ORIENTED_EDGE('',*,*,#20170,.T.); +#17121=EDGE_LOOP('',(#17117,#17118,#17119,#17120)); +#17122=FACE_OUTER_BOUND('',#17121,.F.); +#17123=ADVANCED_FACE('',(#17122),#17116,.F.); +#17124=CARTESIAN_POINT('',(4.826E1,-7.62E0,-3.8E-1)); +#17125=AXIS2_PLACEMENT_3D('',#17124,#2100,#84); +#17126=PLANE('',#17125); +#17127=ORIENTED_EDGE('',*,*,#20166,.F.); +#17128=ORIENTED_EDGE('',*,*,#20169,.F.); +#17129=ORIENTED_EDGE('',*,*,#20171,.F.); +#17130=ORIENTED_EDGE('',*,*,#20172,.F.); +#17131=EDGE_LOOP('',(#17127,#17128,#17129,#17130)); +#17132=FACE_OUTER_BOUND('',#17131,.F.); +#17133=ADVANCED_FACE('',(#17132),#17126,.T.); +#17134=CARTESIAN_POINT('',(1.0875E1,-3.81E0,-1.9E-1)); +#17135=AXIS2_PLACEMENT_3D('',#17134,#12431,#72); +#17136=PLANE('',#17135); +#17137=ORIENTED_EDGE('',*,*,#17972,.F.); +#17138=ORIENTED_EDGE('',*,*,#20173,.F.); +#17139=ORIENTED_EDGE('',*,*,#20174,.T.); +#17140=ORIENTED_EDGE('',*,*,#20175,.T.); +#17141=EDGE_LOOP('',(#17137,#17138,#17139,#17140)); +#17142=FACE_OUTER_BOUND('',#17141,.F.); +#17143=ADVANCED_FACE('',(#17142),#17136,.F.); +#17144=CARTESIAN_POINT('',(1.143E1,-3.2575E0,-1.9E-1)); +#17145=AXIS2_PLACEMENT_3D('',#17144,#12442,#76); +#17146=PLANE('',#17145); +#17147=ORIENTED_EDGE('',*,*,#17975,.F.); +#17148=ORIENTED_EDGE('',*,*,#20176,.F.); +#17149=ORIENTED_EDGE('',*,*,#20177,.T.); +#17150=ORIENTED_EDGE('',*,*,#20173,.T.); +#17151=EDGE_LOOP('',(#17147,#17148,#17149,#17150)); +#17152=FACE_OUTER_BOUND('',#17151,.F.); +#17153=ADVANCED_FACE('',(#17152),#17146,.F.); +#17154=CARTESIAN_POINT('',(1.1985E1,-3.81E0,-1.9E-1)); +#17155=AXIS2_PLACEMENT_3D('',#17154,#12453,#80); +#17156=PLANE('',#17155); +#17157=ORIENTED_EDGE('',*,*,#17974,.F.); +#17158=ORIENTED_EDGE('',*,*,#20178,.F.); +#17159=ORIENTED_EDGE('',*,*,#20179,.T.); +#17160=ORIENTED_EDGE('',*,*,#20176,.T.); +#17161=EDGE_LOOP('',(#17157,#17158,#17159,#17160)); +#17162=FACE_OUTER_BOUND('',#17161,.F.); +#17163=ADVANCED_FACE('',(#17162),#17156,.F.); +#17164=CARTESIAN_POINT('',(1.143E1,-4.3625E0,-1.9E-1)); +#17165=AXIS2_PLACEMENT_3D('',#17164,#12464,#84); +#17166=PLANE('',#17165); +#17167=ORIENTED_EDGE('',*,*,#17973,.F.); +#17168=ORIENTED_EDGE('',*,*,#20175,.F.); +#17169=ORIENTED_EDGE('',*,*,#20180,.T.); +#17170=ORIENTED_EDGE('',*,*,#20178,.T.); +#17171=EDGE_LOOP('',(#17167,#17168,#17169,#17170)); +#17172=FACE_OUTER_BOUND('',#17171,.F.); +#17173=ADVANCED_FACE('',(#17172),#17166,.F.); +#17174=CARTESIAN_POINT('',(5.08E1,-7.62E0,-3.8E-1)); +#17175=AXIS2_PLACEMENT_3D('',#17174,#2100,#84); +#17176=PLANE('',#17175); +#17177=ORIENTED_EDGE('',*,*,#20174,.F.); +#17178=ORIENTED_EDGE('',*,*,#20177,.F.); +#17179=ORIENTED_EDGE('',*,*,#20179,.F.); +#17180=ORIENTED_EDGE('',*,*,#20180,.F.); +#17181=EDGE_LOOP('',(#17177,#17178,#17179,#17180)); +#17182=FACE_OUTER_BOUND('',#17181,.F.); +#17183=ADVANCED_FACE('',(#17182),#17176,.T.); +#17184=CARTESIAN_POINT('',(1.3415E1,-3.81E0,-1.9E-1)); +#17185=AXIS2_PLACEMENT_3D('',#17184,#12431,#72); +#17186=PLANE('',#17185); +#17187=ORIENTED_EDGE('',*,*,#17976,.F.); +#17188=ORIENTED_EDGE('',*,*,#20181,.F.); +#17189=ORIENTED_EDGE('',*,*,#20182,.T.); +#17190=ORIENTED_EDGE('',*,*,#20183,.T.); +#17191=EDGE_LOOP('',(#17187,#17188,#17189,#17190)); +#17192=FACE_OUTER_BOUND('',#17191,.F.); +#17193=ADVANCED_FACE('',(#17192),#17186,.F.); +#17194=CARTESIAN_POINT('',(1.397E1,-3.2575E0,-1.9E-1)); +#17195=AXIS2_PLACEMENT_3D('',#17194,#12442,#76); +#17196=PLANE('',#17195); +#17197=ORIENTED_EDGE('',*,*,#17979,.F.); +#17198=ORIENTED_EDGE('',*,*,#20184,.F.); +#17199=ORIENTED_EDGE('',*,*,#20185,.T.); +#17200=ORIENTED_EDGE('',*,*,#20181,.T.); +#17201=EDGE_LOOP('',(#17197,#17198,#17199,#17200)); +#17202=FACE_OUTER_BOUND('',#17201,.F.); +#17203=ADVANCED_FACE('',(#17202),#17196,.F.); +#17204=CARTESIAN_POINT('',(1.4525E1,-3.81E0,-1.9E-1)); +#17205=AXIS2_PLACEMENT_3D('',#17204,#12453,#80); +#17206=PLANE('',#17205); +#17207=ORIENTED_EDGE('',*,*,#17978,.F.); +#17208=ORIENTED_EDGE('',*,*,#20186,.F.); +#17209=ORIENTED_EDGE('',*,*,#20187,.T.); +#17210=ORIENTED_EDGE('',*,*,#20184,.T.); +#17211=EDGE_LOOP('',(#17207,#17208,#17209,#17210)); +#17212=FACE_OUTER_BOUND('',#17211,.F.); +#17213=ADVANCED_FACE('',(#17212),#17206,.F.); +#17214=CARTESIAN_POINT('',(1.397E1,-4.3625E0,-1.9E-1)); +#17215=AXIS2_PLACEMENT_3D('',#17214,#12464,#84); +#17216=PLANE('',#17215); +#17217=ORIENTED_EDGE('',*,*,#17977,.F.); +#17218=ORIENTED_EDGE('',*,*,#20183,.F.); +#17219=ORIENTED_EDGE('',*,*,#20188,.T.); +#17220=ORIENTED_EDGE('',*,*,#20186,.T.); +#17221=EDGE_LOOP('',(#17217,#17218,#17219,#17220)); +#17222=FACE_OUTER_BOUND('',#17221,.F.); +#17223=ADVANCED_FACE('',(#17222),#17216,.F.); +#17224=CARTESIAN_POINT('',(5.334E1,-7.62E0,-3.8E-1)); +#17225=AXIS2_PLACEMENT_3D('',#17224,#2100,#84); +#17226=PLANE('',#17225); +#17227=ORIENTED_EDGE('',*,*,#20182,.F.); +#17228=ORIENTED_EDGE('',*,*,#20185,.F.); +#17229=ORIENTED_EDGE('',*,*,#20187,.F.); +#17230=ORIENTED_EDGE('',*,*,#20188,.F.); +#17231=EDGE_LOOP('',(#17227,#17228,#17229,#17230)); +#17232=FACE_OUTER_BOUND('',#17231,.F.); +#17233=ADVANCED_FACE('',(#17232),#17226,.T.); +#17234=CARTESIAN_POINT('',(1.5955E1,-3.81E0,-1.9E-1)); +#17235=AXIS2_PLACEMENT_3D('',#17234,#12431,#72); +#17236=PLANE('',#17235); +#17237=ORIENTED_EDGE('',*,*,#17980,.F.); +#17238=ORIENTED_EDGE('',*,*,#20189,.F.); +#17239=ORIENTED_EDGE('',*,*,#20190,.T.); +#17240=ORIENTED_EDGE('',*,*,#20191,.T.); +#17241=EDGE_LOOP('',(#17237,#17238,#17239,#17240)); +#17242=FACE_OUTER_BOUND('',#17241,.F.); +#17243=ADVANCED_FACE('',(#17242),#17236,.F.); +#17244=CARTESIAN_POINT('',(1.651E1,-3.2575E0,-1.9E-1)); +#17245=AXIS2_PLACEMENT_3D('',#17244,#12442,#76); +#17246=PLANE('',#17245); +#17247=ORIENTED_EDGE('',*,*,#17983,.F.); +#17248=ORIENTED_EDGE('',*,*,#20192,.F.); +#17249=ORIENTED_EDGE('',*,*,#20193,.T.); +#17250=ORIENTED_EDGE('',*,*,#20189,.T.); +#17251=EDGE_LOOP('',(#17247,#17248,#17249,#17250)); +#17252=FACE_OUTER_BOUND('',#17251,.F.); +#17253=ADVANCED_FACE('',(#17252),#17246,.F.); +#17254=CARTESIAN_POINT('',(1.7065E1,-3.81E0,-1.9E-1)); +#17255=AXIS2_PLACEMENT_3D('',#17254,#12453,#80); +#17256=PLANE('',#17255); +#17257=ORIENTED_EDGE('',*,*,#17982,.F.); +#17258=ORIENTED_EDGE('',*,*,#20194,.F.); +#17259=ORIENTED_EDGE('',*,*,#20195,.T.); +#17260=ORIENTED_EDGE('',*,*,#20192,.T.); +#17261=EDGE_LOOP('',(#17257,#17258,#17259,#17260)); +#17262=FACE_OUTER_BOUND('',#17261,.F.); +#17263=ADVANCED_FACE('',(#17262),#17256,.F.); +#17264=CARTESIAN_POINT('',(1.651E1,-4.3625E0,-1.9E-1)); +#17265=AXIS2_PLACEMENT_3D('',#17264,#12464,#84); +#17266=PLANE('',#17265); +#17267=ORIENTED_EDGE('',*,*,#17981,.F.); +#17268=ORIENTED_EDGE('',*,*,#20191,.F.); +#17269=ORIENTED_EDGE('',*,*,#20196,.T.); +#17270=ORIENTED_EDGE('',*,*,#20194,.T.); +#17271=EDGE_LOOP('',(#17267,#17268,#17269,#17270)); +#17272=FACE_OUTER_BOUND('',#17271,.F.); +#17273=ADVANCED_FACE('',(#17272),#17266,.F.); +#17274=CARTESIAN_POINT('',(5.588E1,-7.62E0,-3.8E-1)); +#17275=AXIS2_PLACEMENT_3D('',#17274,#2100,#84); +#17276=PLANE('',#17275); +#17277=ORIENTED_EDGE('',*,*,#20190,.F.); +#17278=ORIENTED_EDGE('',*,*,#20193,.F.); +#17279=ORIENTED_EDGE('',*,*,#20195,.F.); +#17280=ORIENTED_EDGE('',*,*,#20196,.F.); +#17281=EDGE_LOOP('',(#17277,#17278,#17279,#17280)); +#17282=FACE_OUTER_BOUND('',#17281,.F.); +#17283=ADVANCED_FACE('',(#17282),#17276,.T.); +#17284=CARTESIAN_POINT('',(1.8495E1,-3.81E0,-1.9E-1)); +#17285=AXIS2_PLACEMENT_3D('',#17284,#12431,#72); +#17286=PLANE('',#17285); +#17287=ORIENTED_EDGE('',*,*,#17984,.F.); +#17288=ORIENTED_EDGE('',*,*,#20197,.F.); +#17289=ORIENTED_EDGE('',*,*,#20198,.T.); +#17290=ORIENTED_EDGE('',*,*,#20199,.T.); +#17291=EDGE_LOOP('',(#17287,#17288,#17289,#17290)); +#17292=FACE_OUTER_BOUND('',#17291,.F.); +#17293=ADVANCED_FACE('',(#17292),#17286,.F.); +#17294=CARTESIAN_POINT('',(1.905E1,-3.2575E0,-1.9E-1)); +#17295=AXIS2_PLACEMENT_3D('',#17294,#12442,#76); +#17296=PLANE('',#17295); +#17297=ORIENTED_EDGE('',*,*,#17987,.F.); +#17298=ORIENTED_EDGE('',*,*,#20200,.F.); +#17299=ORIENTED_EDGE('',*,*,#20201,.T.); +#17300=ORIENTED_EDGE('',*,*,#20197,.T.); +#17301=EDGE_LOOP('',(#17297,#17298,#17299,#17300)); +#17302=FACE_OUTER_BOUND('',#17301,.F.); +#17303=ADVANCED_FACE('',(#17302),#17296,.F.); +#17304=CARTESIAN_POINT('',(1.9605E1,-3.81E0,-1.9E-1)); +#17305=AXIS2_PLACEMENT_3D('',#17304,#12453,#80); +#17306=PLANE('',#17305); +#17307=ORIENTED_EDGE('',*,*,#17986,.F.); +#17308=ORIENTED_EDGE('',*,*,#20202,.F.); +#17309=ORIENTED_EDGE('',*,*,#20203,.T.); +#17310=ORIENTED_EDGE('',*,*,#20200,.T.); +#17311=EDGE_LOOP('',(#17307,#17308,#17309,#17310)); +#17312=FACE_OUTER_BOUND('',#17311,.F.); +#17313=ADVANCED_FACE('',(#17312),#17306,.F.); +#17314=CARTESIAN_POINT('',(1.905E1,-4.3625E0,-1.9E-1)); +#17315=AXIS2_PLACEMENT_3D('',#17314,#12464,#84); +#17316=PLANE('',#17315); +#17317=ORIENTED_EDGE('',*,*,#17985,.F.); +#17318=ORIENTED_EDGE('',*,*,#20199,.F.); +#17319=ORIENTED_EDGE('',*,*,#20204,.T.); +#17320=ORIENTED_EDGE('',*,*,#20202,.T.); +#17321=EDGE_LOOP('',(#17317,#17318,#17319,#17320)); +#17322=FACE_OUTER_BOUND('',#17321,.F.); +#17323=ADVANCED_FACE('',(#17322),#17316,.F.); +#17324=CARTESIAN_POINT('',(5.842E1,-7.62E0,-3.8E-1)); +#17325=AXIS2_PLACEMENT_3D('',#17324,#2100,#84); +#17326=PLANE('',#17325); +#17327=ORIENTED_EDGE('',*,*,#20198,.F.); +#17328=ORIENTED_EDGE('',*,*,#20201,.F.); +#17329=ORIENTED_EDGE('',*,*,#20203,.F.); +#17330=ORIENTED_EDGE('',*,*,#20204,.F.); +#17331=EDGE_LOOP('',(#17327,#17328,#17329,#17330)); +#17332=FACE_OUTER_BOUND('',#17331,.F.); +#17333=ADVANCED_FACE('',(#17332),#17326,.T.); +#17334=CARTESIAN_POINT('',(2.1035E1,-3.81E0,-1.9E-1)); +#17335=AXIS2_PLACEMENT_3D('',#17334,#12431,#72); +#17336=PLANE('',#17335); +#17337=ORIENTED_EDGE('',*,*,#17988,.F.); +#17338=ORIENTED_EDGE('',*,*,#20205,.F.); +#17339=ORIENTED_EDGE('',*,*,#20206,.T.); +#17340=ORIENTED_EDGE('',*,*,#20207,.T.); +#17341=EDGE_LOOP('',(#17337,#17338,#17339,#17340)); +#17342=FACE_OUTER_BOUND('',#17341,.F.); +#17343=ADVANCED_FACE('',(#17342),#17336,.F.); +#17344=CARTESIAN_POINT('',(2.159E1,-3.2575E0,-1.9E-1)); +#17345=AXIS2_PLACEMENT_3D('',#17344,#12442,#76); +#17346=PLANE('',#17345); +#17347=ORIENTED_EDGE('',*,*,#17991,.F.); +#17348=ORIENTED_EDGE('',*,*,#20208,.F.); +#17349=ORIENTED_EDGE('',*,*,#20209,.T.); +#17350=ORIENTED_EDGE('',*,*,#20205,.T.); +#17351=EDGE_LOOP('',(#17347,#17348,#17349,#17350)); +#17352=FACE_OUTER_BOUND('',#17351,.F.); +#17353=ADVANCED_FACE('',(#17352),#17346,.F.); +#17354=CARTESIAN_POINT('',(2.2145E1,-3.81E0,-1.9E-1)); +#17355=AXIS2_PLACEMENT_3D('',#17354,#12453,#80); +#17356=PLANE('',#17355); +#17357=ORIENTED_EDGE('',*,*,#17990,.F.); +#17358=ORIENTED_EDGE('',*,*,#20210,.F.); +#17359=ORIENTED_EDGE('',*,*,#20211,.T.); +#17360=ORIENTED_EDGE('',*,*,#20208,.T.); +#17361=EDGE_LOOP('',(#17357,#17358,#17359,#17360)); +#17362=FACE_OUTER_BOUND('',#17361,.F.); +#17363=ADVANCED_FACE('',(#17362),#17356,.F.); +#17364=CARTESIAN_POINT('',(2.159E1,-4.3625E0,-1.9E-1)); +#17365=AXIS2_PLACEMENT_3D('',#17364,#12464,#84); +#17366=PLANE('',#17365); +#17367=ORIENTED_EDGE('',*,*,#17989,.F.); +#17368=ORIENTED_EDGE('',*,*,#20207,.F.); +#17369=ORIENTED_EDGE('',*,*,#20212,.T.); +#17370=ORIENTED_EDGE('',*,*,#20210,.T.); +#17371=EDGE_LOOP('',(#17367,#17368,#17369,#17370)); +#17372=FACE_OUTER_BOUND('',#17371,.F.); +#17373=ADVANCED_FACE('',(#17372),#17366,.F.); +#17374=CARTESIAN_POINT('',(6.096E1,-7.62E0,-3.8E-1)); +#17375=AXIS2_PLACEMENT_3D('',#17374,#2100,#84); +#17376=PLANE('',#17375); +#17377=ORIENTED_EDGE('',*,*,#20206,.F.); +#17378=ORIENTED_EDGE('',*,*,#20209,.F.); +#17379=ORIENTED_EDGE('',*,*,#20211,.F.); +#17380=ORIENTED_EDGE('',*,*,#20212,.F.); +#17381=EDGE_LOOP('',(#17377,#17378,#17379,#17380)); +#17382=FACE_OUTER_BOUND('',#17381,.F.); +#17383=ADVANCED_FACE('',(#17382),#17376,.T.); +#17384=CARTESIAN_POINT('',(2.3575E1,-3.81E0,-1.9E-1)); +#17385=AXIS2_PLACEMENT_3D('',#17384,#12431,#72); +#17386=PLANE('',#17385); +#17387=ORIENTED_EDGE('',*,*,#17992,.F.); +#17388=ORIENTED_EDGE('',*,*,#20213,.F.); +#17389=ORIENTED_EDGE('',*,*,#20214,.T.); +#17390=ORIENTED_EDGE('',*,*,#20215,.T.); +#17391=EDGE_LOOP('',(#17387,#17388,#17389,#17390)); +#17392=FACE_OUTER_BOUND('',#17391,.F.); +#17393=ADVANCED_FACE('',(#17392),#17386,.F.); +#17394=CARTESIAN_POINT('',(2.413E1,-3.2575E0,-1.9E-1)); +#17395=AXIS2_PLACEMENT_3D('',#17394,#12442,#76); +#17396=PLANE('',#17395); +#17397=ORIENTED_EDGE('',*,*,#17995,.F.); +#17398=ORIENTED_EDGE('',*,*,#20216,.F.); +#17399=ORIENTED_EDGE('',*,*,#20217,.T.); +#17400=ORIENTED_EDGE('',*,*,#20213,.T.); +#17401=EDGE_LOOP('',(#17397,#17398,#17399,#17400)); +#17402=FACE_OUTER_BOUND('',#17401,.F.); +#17403=ADVANCED_FACE('',(#17402),#17396,.F.); +#17404=CARTESIAN_POINT('',(2.4685E1,-3.81E0,-1.9E-1)); +#17405=AXIS2_PLACEMENT_3D('',#17404,#12453,#80); +#17406=PLANE('',#17405); +#17407=ORIENTED_EDGE('',*,*,#17994,.F.); +#17408=ORIENTED_EDGE('',*,*,#20218,.F.); +#17409=ORIENTED_EDGE('',*,*,#20219,.T.); +#17410=ORIENTED_EDGE('',*,*,#20216,.T.); +#17411=EDGE_LOOP('',(#17407,#17408,#17409,#17410)); +#17412=FACE_OUTER_BOUND('',#17411,.F.); +#17413=ADVANCED_FACE('',(#17412),#17406,.F.); +#17414=CARTESIAN_POINT('',(2.413E1,-4.3625E0,-1.9E-1)); +#17415=AXIS2_PLACEMENT_3D('',#17414,#12464,#84); +#17416=PLANE('',#17415); +#17417=ORIENTED_EDGE('',*,*,#17993,.F.); +#17418=ORIENTED_EDGE('',*,*,#20215,.F.); +#17419=ORIENTED_EDGE('',*,*,#20220,.T.); +#17420=ORIENTED_EDGE('',*,*,#20218,.T.); +#17421=EDGE_LOOP('',(#17417,#17418,#17419,#17420)); +#17422=FACE_OUTER_BOUND('',#17421,.F.); +#17423=ADVANCED_FACE('',(#17422),#17416,.F.); +#17424=CARTESIAN_POINT('',(6.35E1,-7.62E0,-3.8E-1)); +#17425=AXIS2_PLACEMENT_3D('',#17424,#2100,#84); +#17426=PLANE('',#17425); +#17427=ORIENTED_EDGE('',*,*,#20214,.F.); +#17428=ORIENTED_EDGE('',*,*,#20217,.F.); +#17429=ORIENTED_EDGE('',*,*,#20219,.F.); +#17430=ORIENTED_EDGE('',*,*,#20220,.F.); +#17431=EDGE_LOOP('',(#17427,#17428,#17429,#17430)); +#17432=FACE_OUTER_BOUND('',#17431,.F.); +#17433=ADVANCED_FACE('',(#17432),#17426,.T.); +#17434=CARTESIAN_POINT('',(2.6115E1,-3.81E0,-1.9E-1)); +#17435=AXIS2_PLACEMENT_3D('',#17434,#12431,#72); +#17436=PLANE('',#17435); +#17437=ORIENTED_EDGE('',*,*,#17996,.F.); +#17438=ORIENTED_EDGE('',*,*,#20221,.F.); +#17439=ORIENTED_EDGE('',*,*,#20222,.T.); +#17440=ORIENTED_EDGE('',*,*,#20223,.T.); +#17441=EDGE_LOOP('',(#17437,#17438,#17439,#17440)); +#17442=FACE_OUTER_BOUND('',#17441,.F.); +#17443=ADVANCED_FACE('',(#17442),#17436,.F.); +#17444=CARTESIAN_POINT('',(2.667E1,-3.2575E0,-1.9E-1)); +#17445=AXIS2_PLACEMENT_3D('',#17444,#12442,#76); +#17446=PLANE('',#17445); +#17447=ORIENTED_EDGE('',*,*,#17999,.F.); +#17448=ORIENTED_EDGE('',*,*,#20224,.F.); +#17449=ORIENTED_EDGE('',*,*,#20225,.T.); +#17450=ORIENTED_EDGE('',*,*,#20221,.T.); +#17451=EDGE_LOOP('',(#17447,#17448,#17449,#17450)); +#17452=FACE_OUTER_BOUND('',#17451,.F.); +#17453=ADVANCED_FACE('',(#17452),#17446,.F.); +#17454=CARTESIAN_POINT('',(2.7225E1,-3.81E0,-1.9E-1)); +#17455=AXIS2_PLACEMENT_3D('',#17454,#12453,#80); +#17456=PLANE('',#17455); +#17457=ORIENTED_EDGE('',*,*,#17998,.F.); +#17458=ORIENTED_EDGE('',*,*,#20226,.F.); +#17459=ORIENTED_EDGE('',*,*,#20227,.T.); +#17460=ORIENTED_EDGE('',*,*,#20224,.T.); +#17461=EDGE_LOOP('',(#17457,#17458,#17459,#17460)); +#17462=FACE_OUTER_BOUND('',#17461,.F.); +#17463=ADVANCED_FACE('',(#17462),#17456,.F.); +#17464=CARTESIAN_POINT('',(2.667E1,-4.3625E0,-1.9E-1)); +#17465=AXIS2_PLACEMENT_3D('',#17464,#12464,#84); +#17466=PLANE('',#17465); +#17467=ORIENTED_EDGE('',*,*,#17997,.F.); +#17468=ORIENTED_EDGE('',*,*,#20223,.F.); +#17469=ORIENTED_EDGE('',*,*,#20228,.T.); +#17470=ORIENTED_EDGE('',*,*,#20226,.T.); +#17471=EDGE_LOOP('',(#17467,#17468,#17469,#17470)); +#17472=FACE_OUTER_BOUND('',#17471,.F.); +#17473=ADVANCED_FACE('',(#17472),#17466,.F.); +#17474=CARTESIAN_POINT('',(6.604E1,-7.62E0,-3.8E-1)); +#17475=AXIS2_PLACEMENT_3D('',#17474,#2100,#84); +#17476=PLANE('',#17475); +#17477=ORIENTED_EDGE('',*,*,#20222,.F.); +#17478=ORIENTED_EDGE('',*,*,#20225,.F.); +#17479=ORIENTED_EDGE('',*,*,#20227,.F.); +#17480=ORIENTED_EDGE('',*,*,#20228,.F.); +#17481=EDGE_LOOP('',(#17477,#17478,#17479,#17480)); +#17482=FACE_OUTER_BOUND('',#17481,.F.); +#17483=ADVANCED_FACE('',(#17482),#17476,.T.); +#17484=CARTESIAN_POINT('',(2.8655E1,-3.81E0,-1.9E-1)); +#17485=AXIS2_PLACEMENT_3D('',#17484,#12431,#72); +#17486=PLANE('',#17485); +#17487=ORIENTED_EDGE('',*,*,#18000,.F.); +#17488=ORIENTED_EDGE('',*,*,#20229,.F.); +#17489=ORIENTED_EDGE('',*,*,#20230,.T.); +#17490=ORIENTED_EDGE('',*,*,#20231,.T.); +#17491=EDGE_LOOP('',(#17487,#17488,#17489,#17490)); +#17492=FACE_OUTER_BOUND('',#17491,.F.); +#17493=ADVANCED_FACE('',(#17492),#17486,.F.); +#17494=CARTESIAN_POINT('',(2.921E1,-3.2575E0,-1.9E-1)); +#17495=AXIS2_PLACEMENT_3D('',#17494,#12442,#76); +#17496=PLANE('',#17495); +#17497=ORIENTED_EDGE('',*,*,#18003,.F.); +#17498=ORIENTED_EDGE('',*,*,#20232,.F.); +#17499=ORIENTED_EDGE('',*,*,#20233,.T.); +#17500=ORIENTED_EDGE('',*,*,#20229,.T.); +#17501=EDGE_LOOP('',(#17497,#17498,#17499,#17500)); +#17502=FACE_OUTER_BOUND('',#17501,.F.); +#17503=ADVANCED_FACE('',(#17502),#17496,.F.); +#17504=CARTESIAN_POINT('',(2.9765E1,-3.81E0,-1.9E-1)); +#17505=AXIS2_PLACEMENT_3D('',#17504,#12453,#80); +#17506=PLANE('',#17505); +#17507=ORIENTED_EDGE('',*,*,#18002,.F.); +#17508=ORIENTED_EDGE('',*,*,#20234,.F.); +#17509=ORIENTED_EDGE('',*,*,#20235,.T.); +#17510=ORIENTED_EDGE('',*,*,#20232,.T.); +#17511=EDGE_LOOP('',(#17507,#17508,#17509,#17510)); +#17512=FACE_OUTER_BOUND('',#17511,.F.); +#17513=ADVANCED_FACE('',(#17512),#17506,.F.); +#17514=CARTESIAN_POINT('',(2.921E1,-4.3625E0,-1.9E-1)); +#17515=AXIS2_PLACEMENT_3D('',#17514,#12464,#84); +#17516=PLANE('',#17515); +#17517=ORIENTED_EDGE('',*,*,#18001,.F.); +#17518=ORIENTED_EDGE('',*,*,#20231,.F.); +#17519=ORIENTED_EDGE('',*,*,#20236,.T.); +#17520=ORIENTED_EDGE('',*,*,#20234,.T.); +#17521=EDGE_LOOP('',(#17517,#17518,#17519,#17520)); +#17522=FACE_OUTER_BOUND('',#17521,.F.); +#17523=ADVANCED_FACE('',(#17522),#17516,.F.); +#17524=CARTESIAN_POINT('',(6.858E1,-7.62E0,-3.8E-1)); +#17525=AXIS2_PLACEMENT_3D('',#17524,#2100,#84); +#17526=PLANE('',#17525); +#17527=ORIENTED_EDGE('',*,*,#20230,.F.); +#17528=ORIENTED_EDGE('',*,*,#20233,.F.); +#17529=ORIENTED_EDGE('',*,*,#20235,.F.); +#17530=ORIENTED_EDGE('',*,*,#20236,.F.); +#17531=EDGE_LOOP('',(#17527,#17528,#17529,#17530)); +#17532=FACE_OUTER_BOUND('',#17531,.F.); +#17533=ADVANCED_FACE('',(#17532),#17526,.T.); +#17534=CLOSED_SHELL('',(#6946,#6959,#6969,#6980,#6987,#7121,#7446,#7455,#7464,#7473,#7482,#7492,#7501,#7510,#7519,#7528,#7535,#7544,#7553,#7562,#7571,#7578,#7587,#7596,#7605,#7614,#7621,#7630,#7639,#7648,#7657,#7664,#7673,#7682,#7691,#7700,#7707,#7716,#7725,#7734,#7743,#7750,#7759,#7768,#7777,#7786,#7793,#7802,#7811,#7820,#7829,#7836,#7845,#7854,#7863,#7872,#7879,#7888,#7897,#7906,#7915,#7922,#7931,#7940,#7949,#7958,#7965,#7974,#7983,#7993,#8002,#8009,#8018,#8027,#8036,#8045,#8052,#8061,#8070,#8079,#8088,#8095,#8104,#8113,#8122,#8131,#8138,#8147,#8156,#8165,#8174,#8181,#8190,#8199,#8208,#8217,#8224,#8233,#8242,#8251,#8260,#8267,#8276,#8285,#8294,#8303,#8310,#8319,#8328,#8337,#8346,#8353,#8362,#8371,#8380,#8389,#8396,#8405,#8414,#8423,#8432,#8439,#8448,#8457,#8466,#8475,#8482,#8491,#8500,#8509,#8518,#8525,#8534,#8543,#8552,#8561,#8568,#8577,#8586,#8595,#8604,#8611,#8620,#8629,#8638,#8647,#8654,#8663,#8672,#8681,#8690,#8697,#8706,#8715,#8724,#8733,#8740,#8749,#8758,#8767,#8776,#8783,#8792,#8801,#8810,#8819,#8826,#8837,#8847,#8857,#8866,#8873,#8884,#8894,#8904,#8913,#8920,#8931,#8941,#8951,#8960,#8967,#8978,#8988,#8998,#9007,#9014,#9025,#9035,#9045,#9054,#9061,#9072,#9082,#9092,#9101,#9108,#9119,#9129,#9139,#9148,#9155,#9166,#9176,#9186,#9195,#9202,#9213,#9223,#9233,#9242,#9249,#9258,#9271,#9280,#9293,#9303,#9316,#9325,#9334,#9424,#9434,#9638,#9647,#9656,#9665,#9674,#9681,#9690,#9699,#9708,#9717,#9724,#9733,#9742,#9751,#9760,#9767,#9776,#9785,#9795,#9804,#9811,#9820,#9829,#9838,#9847,#9854,#9863,#9872,#9881,#9890,#9897,#9906,#9915,#9924,#9933,#9940,#9949,#9958,#9967,#9976,#9983,#9992,#10001,#10010,#10019,#10026,#10035,#10044,#10053,#10062,#10069,#10078,#10087,#10096,#10105,#10112,#10121,#10130,#10139,#10148,#10155,#10164,#10173,#10182,#10191,#10198,#10207,#10216,#10225,#10234,#10241,#10250,#10259,#10268,#10277,#10284,#10293,#10302,#10311,#10320,#10327,#10336,#10345,#10354,#10363,#10370,#10379,#10388,#10397,#10406,#10413,#10422,#10431,#10440,#10449,#10456,#10467,#10477,#10487,#10496,#10503,#10514,#10524,#10534,#10543,#10550,#10561,#10571,#10581,#10590,#10597,#10608,#10618,#10628,#10637,#10644,#10655,#10665,#10675,#10684,#10691,#10702,#10712,#10722,#10731,#10738,#10749,#10759,#10769,#10778,#10785,#10796,#10806,#10816,#10825,#10832,#10843,#10853,#10863,#10872,#10879,#10890,#10900,#10910,#10919,#10926,#10937,#10947,#10957,#10966,#10973,#10984,#10994,#11004,#11013,#11020,#11031,#11041,#11051,#11060,#11067,#11078,#11088,#11098,#11107,#11114,#11125,#11135,#11145,#11154,#11161,#11172,#11182,#11192,#11201,#11208,#11219,#11229,#11239,#11248,#11255,#11266,#11276,#11286,#11295,#11302,#11313,#11323,#11333,#11342,#11349,#11360,#11370,#11377,#11386,#11395,#11406,#11416,#11426,#11435,#11442,#11453,#11463,#11473,#11482,#11489,#11500,#11510,#11520,#11529,#11536,#11547,#11557,#11567,#11576,#11583,#11594,#11604,#11614,#11623,#11630,#11641,#11651,#11661,#11670,#11677,#11688,#11698,#11708,#11717,#11724,#11735,#11745,#11755,#11764,#11771,#11782,#11792,#11802,#11811,#11818,#11829,#11839,#11849,#11858,#11865,#11876,#11886,#11896,#11905,#11912,#11923,#11933,#11943,#11952,#11959,#11970,#11980,#11990,#11999,#12006,#12017,#12027,#12037,#12046,#12053,#12064,#12074,#12084,#12093,#12100,#12111,#12121,#12131,#12140,#12147,#12158,#12168,#12178,#12187,#12194,#12205,#12215,#12225,#12234,#12241,#12252,#12262,#12272,#12281,#12288,#12299,#12309,#12319,#12328,#12335,#12346,#12356,#12366,#12375,#12382,#12393,#12403,#12413,#12422,#12429,#12440,#12451,#12462,#12473,#12483,#12493,#12503,#12513,#12523,#12533,#12543,#12553,#12563,#12573,#12583,#12593,#12603,#12613,#12623,#12633,#12643,#12653,#12663,#12673,#12683,#12693,#12703,#12713,#12723,#12733,#12743,#12753,#12763,#12773,#12783,#12793,#12803,#12813,#12823,#12833,#12843,#12853,#12863,#12873,#12883,#12893,#12903,#12913,#12923,#12933,#12943,#12953,#12963,#12973,#12983,#12993,#13003,#13013,#13023,#13033,#13043,#13053,#13063,#13073,#13083,#13093,#13103,#13113,#13123,#13133,#13143,#13153,#13163,#13173,#13183,#13193,#13203,#13213,#13223,#13233,#13243,#13253,#13263,#13273,#13283,#13293,#13303,#13313,#13323,#13333,#13343,#13353,#13363,#13373,#13383,#13393,#13403,#13413,#13423,#13433,#13443,#13453,#13463,#13473,#13483,#13493,#13503,#13513,#13523,#13533,#13543,#13553,#13563,#13573,#13583,#13593,#13603,#13613,#13623,#13633,#13643,#13653,#13663,#13673,#13683,#13693,#13703,#13713,#13723,#13733,#13743,#13753,#13763,#13773,#13783,#13793,#13803,#13813,#13823,#13833,#13843,#13853,#13863,#13873,#13883,#13893,#13903,#13913,#13923,#13933,#13943,#13953,#13963,#13973,#13983,#13993,#14003,#14013,#14023,#14033,#14043,#14053,#14063,#14073,#14083,#14093,#14103,#14113,#14123,#14133,#14143,#14153,#14163,#14173,#14183,#14193,#14203,#14213,#14223,#14233,#14243,#14253,#14263,#14273,#14283,#14293,#14303,#14313,#14323,#14333,#14343,#14353,#14363,#14373,#14383,#14393,#14403,#14413,#14423,#14433,#14443,#14453,#14463,#14473,#14483,#14493,#14503,#14513,#14523,#14533,#14543,#14553,#14563,#14573,#14583,#14593,#14603,#14613,#14623,#14633,#14643,#14653,#14663,#14673,#14683,#14693,#14703,#14713,#14723,#14733,#14743,#14753,#14763,#14773,#14783,#14793,#14803,#14813,#14823,#14833,#14843,#14853,#14863,#14873,#14883,#14893,#14903,#14913,#14923,#14933,#14943,#14953,#14963,#14973,#14983,#14993,#15003,#15013,#15023,#15033,#15043,#15053,#15063,#15073,#15083,#15093,#15103,#15113,#15123,#15133,#15143,#15153,#15163,#15173,#15183,#15193,#15203,#15213,#15223,#15233,#15243,#15253,#15263,#15273,#15283,#15293,#15303,#15313,#15323,#15333,#15343,#15353,#15363,#15373,#15383,#15393,#15403,#15413,#15423,#15433,#15443,#15453,#15463,#15473,#15483,#15493,#15503,#15513,#15523,#15533,#15543,#15553,#15563,#15573,#15583,#15593,#15603,#15613,#15623,#15633,#15643,#15653,#15663,#15673,#15683,#15693,#15703,#15713,#15723,#15733,#15743,#15753,#15763,#15773,#15783,#15793,#15803,#15813,#15823,#15833,#15843,#15853,#15863,#15873,#15883,#15893,#15903,#15913,#15923,#15933,#15943,#15953,#15963,#15973,#15983,#15993,#16003,#16013,#16023,#16033,#16043,#16053,#16063,#16073,#16083,#16093,#16103,#16113,#16123,#16133,#16143,#16153,#16163,#16173,#16183,#16193,#16203,#16213,#16223,#16233,#16243,#16253,#16263,#16273,#16283,#16293,#16303,#16313,#16323,#16333,#16343,#16353,#16363,#16373,#16383,#16393,#16403,#16413,#16423,#16433,#16443,#16453,#16463,#16473,#16483,#16493,#16503,#16513,#16523,#16533,#16543,#16553,#16563,#16573,#16583,#16593,#16603,#16613,#16623,#16633,#16643,#16653,#16663,#16673,#16683,#16693,#16703,#16713,#16723,#16733,#16743,#16753,#16763,#16773,#16783,#16793,#16803,#16813,#16823,#16833,#16843,#16853,#16863,#16873,#16883,#16893,#16903,#16913,#16923,#16933,#16943,#16953,#16963,#16973,#16983,#16993,#17003,#17013,#17023,#17033,#17043,#17053,#17063,#17073,#17083,#17093,#17103,#17113,#17123,#17133,#17143,#17153,#17163,#17173,#17183,#17193,#17203,#17213,#17223,#17233,#17243,#17253,#17263,#17273,#17283,#17293,#17303,#17313,#17323,#17333,#17343,#17353,#17363,#17373,#17383,#17393,#17403,#17413,#17423,#17433,#17443,#17453,#17463,#17473,#17483,#17493,#17503,#17513,#17523,#17533)); +#17535=MANIFOLD_SOLID_BREP('',#17534); +#17536=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#17537=DIMENSIONAL_EXPONENTS(0.E0,0.E0,0.E0,0.E0,0.E0,0.E0,0.E0); +#17538=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#17539=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2),#17538); +#17540=(CONVERSION_BASED_UNIT('DEGREE',#17539)NAMED_UNIT(#17537)PLANE_ANGLE_UNIT()); +#17541=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#17542=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(8.543891607627E-3),#17536,'closure','Maximum model space distance between geometric entities at asserted connectivities'); +#17543=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#17542))GLOBAL_UNIT_ASSIGNED_CONTEXT((#17536,#17540,#17541))REPRESENTATION_CONTEXT('ID1','3')); +#17544=APPLICATION_CONTEXT('CONFIGURATION CONTROLLED 3D DESIGNS OF MECHANICAL PARTS AND ASSEMBLIES'); +#17545=APPLICATION_PROTOCOL_DEFINITION('international standard','ccd_cla_gvp_ast_asd',1995,#17544); +#17546=DESIGN_CONTEXT('',#17544,'design'); +#17547=MECHANICAL_CONTEXT('',#17544,'mechanical'); +#17548=PRODUCT('C-1375795-01-3','C-1375795-01-3','NOT SPECIFIED',(#17547)); +#17549=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('1','LAST_VERSION',#17548,.MADE.); +#17550=DERIVED_UNIT_ELEMENT(#17536,2.E0); +#17551=DERIVED_UNIT((#17550)); +#17552=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE(6.801807669579E3),#17551); +#17553=DERIVED_UNIT_ELEMENT(#17536,3.E0); +#17554=DERIVED_UNIT((#17553)); +#17555=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE(7.488417893E3),#17554); +#17556=CARTESIAN_POINT('centre point',(1.949830190153E0,5.698243862757E-1,-6.193785445266E0)); +#17557=PRODUCT_CATEGORY('part',''); +#17558=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#17548)); +#17559=PRODUCT_CATEGORY_RELATIONSHIP('','',#17557,#17558); +#17560=SECURITY_CLASSIFICATION_LEVEL('unclassified'); +#17561=SECURITY_CLASSIFICATION('','',#17560); +#17562=CC_DESIGN_SECURITY_CLASSIFICATION(#17561,(#17549)); +#17563=APPROVAL_STATUS('approved'); +#17564=APPROVAL(#17563,''); +#17565=CC_DESIGN_APPROVAL(#17564,(#17561,#17549,#20238)); +#17566=CALENDAR_DATE(107,4,9); +#17567=COORDINATED_UNIVERSAL_TIME_OFFSET(3,0,.BEHIND.); +#17568=LOCAL_TIME(10,12,5.1E1,#17567); +#17569=DATE_AND_TIME(#17566,#17568); +#17570=APPROVAL_DATE_TIME(#17569,#17564); +#17571=DATE_TIME_ROLE('creation_date'); +#17572=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#17569,#17571,(#20238)); +#17573=DATE_TIME_ROLE('classification_date'); +#17574=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#17569,#17573,(#17561)); +#17575=PERSON('UNSPECIFIED','UNSPECIFIED',$,$,$,$); +#17576=ORGANIZATION('UNSPECIFIED','UNSPECIFIED','UNSPECIFIED'); +#17577=PERSON_AND_ORGANIZATION(#17575,#17576); +#17578=APPROVAL_ROLE('approver'); +#17579=APPROVAL_PERSON_ORGANIZATION(#17577,#17564,#17578); +#17580=PERSON_AND_ORGANIZATION_ROLE('creator'); +#17581=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17577,#17580,(#17549,#20238)); +#17582=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); +#17583=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17577,#17582,(#17549)); +#17584=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); +#17585=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17577,#17584,(#17561)); +#17586=PERSON_AND_ORGANIZATION_ROLE('design_owner'); +#17587=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#17577,#17586,(#17548)); +#17588=EDGE_CURVE('',#4553,#4554,#75,.T.); +#17589=EDGE_CURVE('',#4560,#4553,#79,.T.); +#17590=EDGE_CURVE('',#4559,#4560,#83,.T.); +#17591=EDGE_CURVE('',#4558,#4559,#87,.T.); +#17592=EDGE_CURVE('',#4557,#4558,#89,.T.); +#17593=EDGE_CURVE('',#4556,#4557,#92,.T.); +#17594=EDGE_CURVE('',#4555,#4556,#94,.T.); +#17595=EDGE_CURVE('',#4554,#4555,#97,.T.); +#17596=EDGE_CURVE('',#5509,#5510,#100,.T.); +#17597=EDGE_CURVE('',#5510,#5511,#103,.T.); +#17598=EDGE_CURVE('',#5511,#5512,#106,.T.); +#17599=EDGE_CURVE('',#5512,#5509,#109,.T.); +#17600=EDGE_CURVE('',#5517,#5518,#111,.T.); +#17601=EDGE_CURVE('',#5518,#5519,#113,.T.); +#17602=EDGE_CURVE('',#5519,#5520,#115,.T.); +#17603=EDGE_CURVE('',#5520,#5517,#117,.T.); +#17604=EDGE_CURVE('',#5525,#5526,#119,.T.); +#17605=EDGE_CURVE('',#5526,#5527,#121,.T.); +#17606=EDGE_CURVE('',#5527,#5528,#123,.T.); +#17607=EDGE_CURVE('',#5528,#5525,#125,.T.); +#17608=EDGE_CURVE('',#5533,#5534,#127,.T.); +#17609=EDGE_CURVE('',#5534,#5535,#129,.T.); +#17610=EDGE_CURVE('',#5535,#5536,#131,.T.); +#17611=EDGE_CURVE('',#5536,#5533,#133,.T.); +#17612=EDGE_CURVE('',#5541,#5542,#135,.T.); +#17613=EDGE_CURVE('',#5542,#5543,#137,.T.); +#17614=EDGE_CURVE('',#5543,#5544,#139,.T.); +#17615=EDGE_CURVE('',#5544,#5541,#141,.T.); +#17616=EDGE_CURVE('',#5549,#5550,#143,.T.); +#17617=EDGE_CURVE('',#5550,#5551,#145,.T.); +#17618=EDGE_CURVE('',#5551,#5552,#147,.T.); +#17619=EDGE_CURVE('',#5552,#5549,#149,.T.); +#17620=EDGE_CURVE('',#5557,#5558,#151,.T.); +#17621=EDGE_CURVE('',#5558,#5559,#153,.T.); +#17622=EDGE_CURVE('',#5559,#5560,#155,.T.); +#17623=EDGE_CURVE('',#5560,#5557,#157,.T.); +#17624=EDGE_CURVE('',#5565,#5566,#159,.T.); +#17625=EDGE_CURVE('',#5566,#5567,#161,.T.); +#17626=EDGE_CURVE('',#5567,#5568,#163,.T.); +#17627=EDGE_CURVE('',#5568,#5565,#165,.T.); +#17628=EDGE_CURVE('',#5573,#5574,#167,.T.); +#17629=EDGE_CURVE('',#5574,#5575,#169,.T.); +#17630=EDGE_CURVE('',#5575,#5576,#171,.T.); +#17631=EDGE_CURVE('',#5576,#5573,#173,.T.); +#17632=EDGE_CURVE('',#5581,#5582,#175,.T.); +#17633=EDGE_CURVE('',#5582,#5583,#177,.T.); +#17634=EDGE_CURVE('',#5583,#5584,#179,.T.); +#17635=EDGE_CURVE('',#5584,#5581,#181,.T.); +#17636=EDGE_CURVE('',#5589,#5590,#183,.T.); +#17637=EDGE_CURVE('',#5590,#5591,#185,.T.); +#17638=EDGE_CURVE('',#5591,#5592,#187,.T.); +#17639=EDGE_CURVE('',#5592,#5589,#189,.T.); +#17640=EDGE_CURVE('',#5597,#5598,#191,.T.); +#17641=EDGE_CURVE('',#5598,#5599,#193,.T.); +#17642=EDGE_CURVE('',#5599,#5600,#195,.T.); +#17643=EDGE_CURVE('',#5600,#5597,#197,.T.); +#17644=EDGE_CURVE('',#5605,#5606,#199,.T.); +#17645=EDGE_CURVE('',#5606,#5607,#201,.T.); +#17646=EDGE_CURVE('',#5607,#5608,#203,.T.); +#17647=EDGE_CURVE('',#5608,#5605,#205,.T.); +#17648=EDGE_CURVE('',#5613,#5614,#207,.T.); +#17649=EDGE_CURVE('',#5614,#5615,#209,.T.); +#17650=EDGE_CURVE('',#5615,#5616,#211,.T.); +#17651=EDGE_CURVE('',#5616,#5613,#213,.T.); +#17652=EDGE_CURVE('',#5621,#5622,#215,.T.); +#17653=EDGE_CURVE('',#5622,#5623,#217,.T.); +#17654=EDGE_CURVE('',#5623,#5624,#219,.T.); +#17655=EDGE_CURVE('',#5624,#5621,#221,.T.); +#17656=EDGE_CURVE('',#5629,#5630,#223,.T.); +#17657=EDGE_CURVE('',#5630,#5631,#225,.T.); +#17658=EDGE_CURVE('',#5631,#5632,#227,.T.); +#17659=EDGE_CURVE('',#5632,#5629,#229,.T.); +#17660=EDGE_CURVE('',#5637,#5638,#231,.T.); +#17661=EDGE_CURVE('',#5638,#5639,#233,.T.); +#17662=EDGE_CURVE('',#5639,#5640,#235,.T.); +#17663=EDGE_CURVE('',#5640,#5637,#237,.T.); +#17664=EDGE_CURVE('',#5645,#5646,#239,.T.); +#17665=EDGE_CURVE('',#5646,#5647,#241,.T.); +#17666=EDGE_CURVE('',#5647,#5648,#243,.T.); +#17667=EDGE_CURVE('',#5648,#5645,#245,.T.); +#17668=EDGE_CURVE('',#5653,#5654,#247,.T.); +#17669=EDGE_CURVE('',#5654,#5655,#249,.T.); +#17670=EDGE_CURVE('',#5655,#5656,#251,.T.); +#17671=EDGE_CURVE('',#5656,#5653,#253,.T.); +#17672=EDGE_CURVE('',#5661,#5662,#255,.T.); +#17673=EDGE_CURVE('',#5662,#5663,#257,.T.); +#17674=EDGE_CURVE('',#5663,#5664,#259,.T.); +#17675=EDGE_CURVE('',#5664,#5661,#261,.T.); +#17676=EDGE_CURVE('',#5669,#5670,#263,.T.); +#17677=EDGE_CURVE('',#5670,#5671,#265,.T.); +#17678=EDGE_CURVE('',#5671,#5672,#267,.T.); +#17679=EDGE_CURVE('',#5672,#5669,#269,.T.); +#17680=EDGE_CURVE('',#5677,#5678,#271,.T.); +#17681=EDGE_CURVE('',#5678,#5679,#273,.T.); +#17682=EDGE_CURVE('',#5679,#5680,#275,.T.); +#17683=EDGE_CURVE('',#5680,#5677,#277,.T.); +#17684=EDGE_CURVE('',#5685,#5686,#279,.T.); +#17685=EDGE_CURVE('',#5686,#5687,#281,.T.); +#17686=EDGE_CURVE('',#5687,#5688,#283,.T.); +#17687=EDGE_CURVE('',#5688,#5685,#285,.T.); +#17688=EDGE_CURVE('',#5693,#5694,#287,.T.); +#17689=EDGE_CURVE('',#5694,#5695,#289,.T.); +#17690=EDGE_CURVE('',#5695,#5696,#291,.T.); +#17691=EDGE_CURVE('',#5696,#5693,#293,.T.); +#17692=EDGE_CURVE('',#5701,#5702,#295,.T.); +#17693=EDGE_CURVE('',#5702,#5703,#297,.T.); +#17694=EDGE_CURVE('',#5703,#5704,#299,.T.); +#17695=EDGE_CURVE('',#5704,#5701,#301,.T.); +#17696=EDGE_CURVE('',#5709,#5710,#303,.T.); +#17697=EDGE_CURVE('',#5710,#5711,#305,.T.); +#17698=EDGE_CURVE('',#5711,#5712,#307,.T.); +#17699=EDGE_CURVE('',#5712,#5709,#309,.T.); +#17700=EDGE_CURVE('',#5717,#5718,#311,.T.); +#17701=EDGE_CURVE('',#5718,#5719,#313,.T.); +#17702=EDGE_CURVE('',#5719,#5720,#315,.T.); +#17703=EDGE_CURVE('',#5720,#5717,#317,.T.); +#17704=EDGE_CURVE('',#5725,#5726,#319,.T.); +#17705=EDGE_CURVE('',#5726,#5727,#321,.T.); +#17706=EDGE_CURVE('',#5727,#5728,#323,.T.); +#17707=EDGE_CURVE('',#5728,#5725,#325,.T.); +#17708=EDGE_CURVE('',#5733,#5734,#327,.T.); +#17709=EDGE_CURVE('',#5734,#5735,#329,.T.); +#17710=EDGE_CURVE('',#5735,#5736,#331,.T.); +#17711=EDGE_CURVE('',#5736,#5733,#333,.T.); +#17712=EDGE_CURVE('',#5741,#5742,#335,.T.); +#17713=EDGE_CURVE('',#5742,#5743,#337,.T.); +#17714=EDGE_CURVE('',#5743,#5744,#339,.T.); +#17715=EDGE_CURVE('',#5744,#5741,#341,.T.); +#17716=EDGE_CURVE('',#5749,#5750,#343,.T.); +#17717=EDGE_CURVE('',#5750,#5751,#345,.T.); +#17718=EDGE_CURVE('',#5751,#5752,#347,.T.); +#17719=EDGE_CURVE('',#5752,#5749,#349,.T.); +#17720=EDGE_CURVE('',#5757,#5758,#351,.T.); +#17721=EDGE_CURVE('',#5758,#5759,#353,.T.); +#17722=EDGE_CURVE('',#5759,#5760,#355,.T.); +#17723=EDGE_CURVE('',#5760,#5757,#357,.T.); +#17724=EDGE_CURVE('',#5765,#5766,#359,.T.); +#17725=EDGE_CURVE('',#5766,#5767,#361,.T.); +#17726=EDGE_CURVE('',#5767,#5768,#363,.T.); +#17727=EDGE_CURVE('',#5768,#5765,#365,.T.); +#17728=EDGE_CURVE('',#5773,#5774,#367,.T.); +#17729=EDGE_CURVE('',#5774,#5775,#369,.T.); +#17730=EDGE_CURVE('',#5775,#5776,#371,.T.); +#17731=EDGE_CURVE('',#5776,#5773,#373,.T.); +#17732=EDGE_CURVE('',#5781,#5782,#375,.T.); +#17733=EDGE_CURVE('',#5782,#5783,#377,.T.); +#17734=EDGE_CURVE('',#5783,#5784,#379,.T.); +#17735=EDGE_CURVE('',#5784,#5781,#381,.T.); +#17736=EDGE_CURVE('',#5789,#5790,#383,.T.); +#17737=EDGE_CURVE('',#5790,#5791,#385,.T.); +#17738=EDGE_CURVE('',#5791,#5792,#387,.T.); +#17739=EDGE_CURVE('',#5792,#5789,#389,.T.); +#17740=EDGE_CURVE('',#5797,#5798,#391,.T.); +#17741=EDGE_CURVE('',#5798,#5799,#393,.T.); +#17742=EDGE_CURVE('',#5799,#5800,#395,.T.); +#17743=EDGE_CURVE('',#5800,#5797,#397,.T.); +#17744=EDGE_CURVE('',#5805,#5806,#399,.T.); +#17745=EDGE_CURVE('',#5806,#5807,#401,.T.); +#17746=EDGE_CURVE('',#5807,#5808,#403,.T.); +#17747=EDGE_CURVE('',#5808,#5805,#405,.T.); +#17748=EDGE_CURVE('',#5813,#5814,#407,.T.); +#17749=EDGE_CURVE('',#5814,#5815,#409,.T.); +#17750=EDGE_CURVE('',#5815,#5816,#411,.T.); +#17751=EDGE_CURVE('',#5816,#5813,#413,.T.); +#17752=EDGE_CURVE('',#5821,#5822,#415,.T.); +#17753=EDGE_CURVE('',#5822,#5823,#417,.T.); +#17754=EDGE_CURVE('',#5823,#5824,#419,.T.); +#17755=EDGE_CURVE('',#5824,#5821,#421,.T.); +#17756=EDGE_CURVE('',#5829,#5830,#423,.T.); +#17757=EDGE_CURVE('',#5830,#5831,#425,.T.); +#17758=EDGE_CURVE('',#5831,#5832,#427,.T.); +#17759=EDGE_CURVE('',#5832,#5829,#429,.T.); +#17760=EDGE_CURVE('',#5837,#5838,#431,.T.); +#17761=EDGE_CURVE('',#5838,#5839,#433,.T.); +#17762=EDGE_CURVE('',#5839,#5840,#435,.T.); +#17763=EDGE_CURVE('',#5840,#5837,#437,.T.); +#17764=EDGE_CURVE('',#5845,#5846,#439,.T.); +#17765=EDGE_CURVE('',#5846,#5847,#441,.T.); +#17766=EDGE_CURVE('',#5847,#5848,#443,.T.); +#17767=EDGE_CURVE('',#5848,#5845,#445,.T.); +#17768=EDGE_CURVE('',#5853,#5854,#447,.T.); +#17769=EDGE_CURVE('',#5854,#5855,#449,.T.); +#17770=EDGE_CURVE('',#5855,#5856,#451,.T.); +#17771=EDGE_CURVE('',#5856,#5853,#453,.T.); +#17772=EDGE_CURVE('',#5861,#5862,#455,.T.); +#17773=EDGE_CURVE('',#5862,#5863,#457,.T.); +#17774=EDGE_CURVE('',#5863,#5864,#459,.T.); +#17775=EDGE_CURVE('',#5864,#5861,#461,.T.); +#17776=EDGE_CURVE('',#5869,#5870,#463,.T.); +#17777=EDGE_CURVE('',#5870,#5871,#465,.T.); +#17778=EDGE_CURVE('',#5871,#5872,#467,.T.); +#17779=EDGE_CURVE('',#5872,#5869,#469,.T.); +#17780=EDGE_CURVE('',#5877,#5878,#471,.T.); +#17781=EDGE_CURVE('',#5878,#5879,#473,.T.); +#17782=EDGE_CURVE('',#5879,#5880,#475,.T.); +#17783=EDGE_CURVE('',#5880,#5877,#477,.T.); +#17784=EDGE_CURVE('',#5885,#5886,#479,.T.); +#17785=EDGE_CURVE('',#5886,#5887,#481,.T.); +#17786=EDGE_CURVE('',#5887,#5888,#483,.T.); +#17787=EDGE_CURVE('',#5888,#5885,#485,.T.); +#17788=EDGE_CURVE('',#5893,#5894,#487,.T.); +#17789=EDGE_CURVE('',#5894,#5895,#489,.T.); +#17790=EDGE_CURVE('',#5895,#5896,#491,.T.); +#17791=EDGE_CURVE('',#5896,#5893,#493,.T.); +#17792=EDGE_CURVE('',#5901,#5902,#495,.T.); +#17793=EDGE_CURVE('',#5902,#5903,#497,.T.); +#17794=EDGE_CURVE('',#5903,#5904,#499,.T.); +#17795=EDGE_CURVE('',#5904,#5901,#501,.T.); +#17796=EDGE_CURVE('',#5909,#5910,#503,.T.); +#17797=EDGE_CURVE('',#5910,#5911,#505,.T.); +#17798=EDGE_CURVE('',#5911,#5912,#507,.T.); +#17799=EDGE_CURVE('',#5912,#5909,#509,.T.); +#17800=EDGE_CURVE('',#5917,#5918,#511,.T.); +#17801=EDGE_CURVE('',#5918,#5919,#513,.T.); +#17802=EDGE_CURVE('',#5919,#5920,#515,.T.); +#17803=EDGE_CURVE('',#5920,#5917,#517,.T.); +#17804=EDGE_CURVE('',#5925,#5926,#519,.T.); +#17805=EDGE_CURVE('',#5926,#5927,#521,.T.); +#17806=EDGE_CURVE('',#5927,#5928,#523,.T.); +#17807=EDGE_CURVE('',#5928,#5925,#525,.T.); +#17808=EDGE_CURVE('',#5933,#5934,#527,.T.); +#17809=EDGE_CURVE('',#5934,#5935,#529,.T.); +#17810=EDGE_CURVE('',#5935,#5936,#531,.T.); +#17811=EDGE_CURVE('',#5936,#5933,#533,.T.); +#17812=EDGE_CURVE('',#5941,#5942,#535,.T.); +#17813=EDGE_CURVE('',#5942,#5943,#537,.T.); +#17814=EDGE_CURVE('',#5943,#5944,#539,.T.); +#17815=EDGE_CURVE('',#5944,#5941,#541,.T.); +#17816=EDGE_CURVE('',#5949,#5950,#543,.T.); +#17817=EDGE_CURVE('',#5950,#5951,#545,.T.); +#17818=EDGE_CURVE('',#5951,#5952,#547,.T.); +#17819=EDGE_CURVE('',#5952,#5949,#549,.T.); +#17820=EDGE_CURVE('',#5957,#5958,#551,.T.); +#17821=EDGE_CURVE('',#5958,#5959,#553,.T.); +#17822=EDGE_CURVE('',#5959,#5960,#555,.T.); +#17823=EDGE_CURVE('',#5960,#5957,#557,.T.); +#17824=EDGE_CURVE('',#5965,#5966,#559,.T.); +#17825=EDGE_CURVE('',#5966,#5967,#561,.T.); +#17826=EDGE_CURVE('',#5967,#5968,#563,.T.); +#17827=EDGE_CURVE('',#5968,#5965,#565,.T.); +#17828=EDGE_CURVE('',#5973,#5974,#567,.T.); +#17829=EDGE_CURVE('',#5974,#5975,#569,.T.); +#17830=EDGE_CURVE('',#5975,#5976,#571,.T.); +#17831=EDGE_CURVE('',#5976,#5973,#573,.T.); +#17832=EDGE_CURVE('',#5981,#5982,#575,.T.); +#17833=EDGE_CURVE('',#5982,#5983,#577,.T.); +#17834=EDGE_CURVE('',#5983,#5984,#579,.T.); +#17835=EDGE_CURVE('',#5984,#5981,#581,.T.); +#17836=EDGE_CURVE('',#5989,#5990,#583,.T.); +#17837=EDGE_CURVE('',#5990,#5991,#585,.T.); +#17838=EDGE_CURVE('',#5991,#5992,#587,.T.); +#17839=EDGE_CURVE('',#5992,#5989,#589,.T.); +#17840=EDGE_CURVE('',#5997,#5998,#591,.T.); +#17841=EDGE_CURVE('',#5998,#5999,#593,.T.); +#17842=EDGE_CURVE('',#5999,#6000,#595,.T.); +#17843=EDGE_CURVE('',#6000,#5997,#597,.T.); +#17844=EDGE_CURVE('',#6005,#6006,#599,.T.); +#17845=EDGE_CURVE('',#6006,#6007,#601,.T.); +#17846=EDGE_CURVE('',#6007,#6008,#603,.T.); +#17847=EDGE_CURVE('',#6008,#6005,#605,.T.); +#17848=EDGE_CURVE('',#6013,#6014,#607,.T.); +#17849=EDGE_CURVE('',#6014,#6015,#609,.T.); +#17850=EDGE_CURVE('',#6015,#6016,#611,.T.); +#17851=EDGE_CURVE('',#6016,#6013,#613,.T.); +#17852=EDGE_CURVE('',#6021,#6022,#615,.T.); +#17853=EDGE_CURVE('',#6022,#6023,#617,.T.); +#17854=EDGE_CURVE('',#6023,#6024,#619,.T.); +#17855=EDGE_CURVE('',#6024,#6021,#621,.T.); +#17856=EDGE_CURVE('',#6029,#6030,#623,.T.); +#17857=EDGE_CURVE('',#6030,#6031,#625,.T.); +#17858=EDGE_CURVE('',#6031,#6032,#627,.T.); +#17859=EDGE_CURVE('',#6032,#6029,#629,.T.); +#17860=EDGE_CURVE('',#6037,#6038,#631,.T.); +#17861=EDGE_CURVE('',#6038,#6039,#633,.T.); +#17862=EDGE_CURVE('',#6039,#6040,#635,.T.); +#17863=EDGE_CURVE('',#6040,#6037,#637,.T.); +#17864=EDGE_CURVE('',#6045,#6046,#639,.T.); +#17865=EDGE_CURVE('',#6046,#6047,#641,.T.); +#17866=EDGE_CURVE('',#6047,#6048,#643,.T.); +#17867=EDGE_CURVE('',#6048,#6045,#645,.T.); +#17868=EDGE_CURVE('',#6053,#6054,#647,.T.); +#17869=EDGE_CURVE('',#6054,#6055,#649,.T.); +#17870=EDGE_CURVE('',#6055,#6056,#651,.T.); +#17871=EDGE_CURVE('',#6056,#6053,#653,.T.); +#17872=EDGE_CURVE('',#6061,#6062,#655,.T.); +#17873=EDGE_CURVE('',#6062,#6063,#657,.T.); +#17874=EDGE_CURVE('',#6063,#6064,#659,.T.); +#17875=EDGE_CURVE('',#6064,#6061,#661,.T.); +#17876=EDGE_CURVE('',#6069,#6070,#663,.T.); +#17877=EDGE_CURVE('',#6070,#6071,#665,.T.); +#17878=EDGE_CURVE('',#6071,#6072,#667,.T.); +#17879=EDGE_CURVE('',#6072,#6069,#669,.T.); +#17880=EDGE_CURVE('',#6077,#6078,#671,.T.); +#17881=EDGE_CURVE('',#6078,#6079,#673,.T.); +#17882=EDGE_CURVE('',#6079,#6080,#675,.T.); +#17883=EDGE_CURVE('',#6080,#6077,#677,.T.); +#17884=EDGE_CURVE('',#6085,#6086,#679,.T.); +#17885=EDGE_CURVE('',#6086,#6087,#681,.T.); +#17886=EDGE_CURVE('',#6087,#6088,#683,.T.); +#17887=EDGE_CURVE('',#6088,#6085,#685,.T.); +#17888=EDGE_CURVE('',#6093,#6094,#687,.T.); +#17889=EDGE_CURVE('',#6094,#6095,#689,.T.); +#17890=EDGE_CURVE('',#6095,#6096,#691,.T.); +#17891=EDGE_CURVE('',#6096,#6093,#693,.T.); +#17892=EDGE_CURVE('',#6101,#6102,#695,.T.); +#17893=EDGE_CURVE('',#6102,#6103,#697,.T.); +#17894=EDGE_CURVE('',#6103,#6104,#699,.T.); +#17895=EDGE_CURVE('',#6104,#6101,#701,.T.); +#17896=EDGE_CURVE('',#6109,#6110,#703,.T.); +#17897=EDGE_CURVE('',#6110,#6111,#705,.T.); +#17898=EDGE_CURVE('',#6111,#6112,#707,.T.); +#17899=EDGE_CURVE('',#6112,#6109,#709,.T.); +#17900=EDGE_CURVE('',#6117,#6118,#711,.T.); +#17901=EDGE_CURVE('',#6118,#6119,#713,.T.); +#17902=EDGE_CURVE('',#6119,#6120,#715,.T.); +#17903=EDGE_CURVE('',#6120,#6117,#717,.T.); +#17904=EDGE_CURVE('',#6125,#6126,#719,.T.); +#17905=EDGE_CURVE('',#6126,#6127,#721,.T.); +#17906=EDGE_CURVE('',#6127,#6128,#723,.T.); +#17907=EDGE_CURVE('',#6128,#6125,#725,.T.); +#17908=EDGE_CURVE('',#6133,#6134,#727,.T.); +#17909=EDGE_CURVE('',#6134,#6135,#729,.T.); +#17910=EDGE_CURVE('',#6135,#6136,#731,.T.); +#17911=EDGE_CURVE('',#6136,#6133,#733,.T.); +#17912=EDGE_CURVE('',#6141,#6142,#735,.T.); +#17913=EDGE_CURVE('',#6142,#6143,#737,.T.); +#17914=EDGE_CURVE('',#6143,#6144,#739,.T.); +#17915=EDGE_CURVE('',#6144,#6141,#741,.T.); +#17916=EDGE_CURVE('',#6149,#6150,#743,.T.); +#17917=EDGE_CURVE('',#6150,#6151,#745,.T.); +#17918=EDGE_CURVE('',#6151,#6152,#747,.T.); +#17919=EDGE_CURVE('',#6152,#6149,#749,.T.); +#17920=EDGE_CURVE('',#6157,#6158,#751,.T.); +#17921=EDGE_CURVE('',#6158,#6159,#753,.T.); +#17922=EDGE_CURVE('',#6159,#6160,#755,.T.); +#17923=EDGE_CURVE('',#6160,#6157,#757,.T.); +#17924=EDGE_CURVE('',#6165,#6166,#759,.T.); +#17925=EDGE_CURVE('',#6166,#6167,#761,.T.); +#17926=EDGE_CURVE('',#6167,#6168,#763,.T.); +#17927=EDGE_CURVE('',#6168,#6165,#765,.T.); +#17928=EDGE_CURVE('',#6173,#6174,#767,.T.); +#17929=EDGE_CURVE('',#6174,#6175,#769,.T.); +#17930=EDGE_CURVE('',#6175,#6176,#771,.T.); +#17931=EDGE_CURVE('',#6176,#6173,#773,.T.); +#17932=EDGE_CURVE('',#6181,#6182,#775,.T.); +#17933=EDGE_CURVE('',#6182,#6183,#777,.T.); +#17934=EDGE_CURVE('',#6183,#6184,#779,.T.); +#17935=EDGE_CURVE('',#6184,#6181,#781,.T.); +#17936=EDGE_CURVE('',#6189,#6190,#783,.T.); +#17937=EDGE_CURVE('',#6190,#6191,#785,.T.); +#17938=EDGE_CURVE('',#6191,#6192,#787,.T.); +#17939=EDGE_CURVE('',#6192,#6189,#789,.T.); +#17940=EDGE_CURVE('',#6197,#6198,#791,.T.); +#17941=EDGE_CURVE('',#6198,#6199,#793,.T.); +#17942=EDGE_CURVE('',#6199,#6200,#795,.T.); +#17943=EDGE_CURVE('',#6200,#6197,#797,.T.); +#17944=EDGE_CURVE('',#6205,#6206,#799,.T.); +#17945=EDGE_CURVE('',#6206,#6207,#801,.T.); +#17946=EDGE_CURVE('',#6207,#6208,#803,.T.); +#17947=EDGE_CURVE('',#6208,#6205,#805,.T.); +#17948=EDGE_CURVE('',#6213,#6214,#807,.T.); +#17949=EDGE_CURVE('',#6214,#6215,#809,.T.); +#17950=EDGE_CURVE('',#6215,#6216,#811,.T.); +#17951=EDGE_CURVE('',#6216,#6213,#813,.T.); +#17952=EDGE_CURVE('',#6221,#6222,#815,.T.); +#17953=EDGE_CURVE('',#6222,#6223,#817,.T.); +#17954=EDGE_CURVE('',#6223,#6224,#819,.T.); +#17955=EDGE_CURVE('',#6224,#6221,#821,.T.); +#17956=EDGE_CURVE('',#6229,#6230,#823,.T.); +#17957=EDGE_CURVE('',#6230,#6231,#825,.T.); +#17958=EDGE_CURVE('',#6231,#6232,#827,.T.); +#17959=EDGE_CURVE('',#6232,#6229,#829,.T.); +#17960=EDGE_CURVE('',#6237,#6238,#831,.T.); +#17961=EDGE_CURVE('',#6238,#6239,#833,.T.); +#17962=EDGE_CURVE('',#6239,#6240,#835,.T.); +#17963=EDGE_CURVE('',#6240,#6237,#837,.T.); +#17964=EDGE_CURVE('',#6245,#6246,#839,.T.); +#17965=EDGE_CURVE('',#6246,#6247,#841,.T.); +#17966=EDGE_CURVE('',#6247,#6248,#843,.T.); +#17967=EDGE_CURVE('',#6248,#6245,#845,.T.); +#17968=EDGE_CURVE('',#6253,#6254,#847,.T.); +#17969=EDGE_CURVE('',#6254,#6255,#849,.T.); +#17970=EDGE_CURVE('',#6255,#6256,#851,.T.); +#17971=EDGE_CURVE('',#6256,#6253,#853,.T.); +#17972=EDGE_CURVE('',#6261,#6262,#855,.T.); +#17973=EDGE_CURVE('',#6262,#6263,#857,.T.); +#17974=EDGE_CURVE('',#6263,#6264,#859,.T.); +#17975=EDGE_CURVE('',#6264,#6261,#861,.T.); +#17976=EDGE_CURVE('',#6269,#6270,#863,.T.); +#17977=EDGE_CURVE('',#6270,#6271,#865,.T.); +#17978=EDGE_CURVE('',#6271,#6272,#867,.T.); +#17979=EDGE_CURVE('',#6272,#6269,#869,.T.); +#17980=EDGE_CURVE('',#6277,#6278,#871,.T.); +#17981=EDGE_CURVE('',#6278,#6279,#873,.T.); +#17982=EDGE_CURVE('',#6279,#6280,#875,.T.); +#17983=EDGE_CURVE('',#6280,#6277,#877,.T.); +#17984=EDGE_CURVE('',#6285,#6286,#879,.T.); +#17985=EDGE_CURVE('',#6286,#6287,#881,.T.); +#17986=EDGE_CURVE('',#6287,#6288,#883,.T.); +#17987=EDGE_CURVE('',#6288,#6285,#885,.T.); +#17988=EDGE_CURVE('',#6293,#6294,#887,.T.); +#17989=EDGE_CURVE('',#6294,#6295,#889,.T.); +#17990=EDGE_CURVE('',#6295,#6296,#891,.T.); +#17991=EDGE_CURVE('',#6296,#6293,#893,.T.); +#17992=EDGE_CURVE('',#6301,#6302,#895,.T.); +#17993=EDGE_CURVE('',#6302,#6303,#897,.T.); +#17994=EDGE_CURVE('',#6303,#6304,#899,.T.); +#17995=EDGE_CURVE('',#6304,#6301,#901,.T.); +#17996=EDGE_CURVE('',#6309,#6310,#903,.T.); +#17997=EDGE_CURVE('',#6310,#6311,#905,.T.); +#17998=EDGE_CURVE('',#6311,#6312,#907,.T.); +#17999=EDGE_CURVE('',#6312,#6309,#909,.T.); +#18000=EDGE_CURVE('',#6317,#6318,#911,.T.); +#18001=EDGE_CURVE('',#6318,#6319,#913,.T.); +#18002=EDGE_CURVE('',#6319,#6320,#915,.T.); +#18003=EDGE_CURVE('',#6320,#6317,#917,.T.); +#18004=EDGE_CURVE('',#4568,#4569,#934,.T.); +#18005=EDGE_CURVE('',#4553,#4568,#920,.T.); +#18006=EDGE_CURVE('',#4554,#4561,#2115,.T.); +#18007=EDGE_CURVE('',#4570,#4561,#943,.T.); +#18008=EDGE_CURVE('',#4584,#4570,#924,.T.); +#18009=EDGE_CURVE('',#4583,#4584,#927,.T.); +#18010=EDGE_CURVE('',#4569,#4583,#931,.T.); +#18011=EDGE_CURVE('',#4569,#4573,#936,.T.); +#18012=EDGE_CURVE('',#4573,#4567,#939,.T.); +#18013=EDGE_CURVE('',#4567,#4568,#941,.T.); +#18014=EDGE_CURVE('',#4561,#4562,#945,.T.); +#18015=EDGE_CURVE('',#4562,#4574,#947,.T.); +#18016=EDGE_CURVE('',#4574,#4575,#949,.T.); +#18017=EDGE_CURVE('',#4575,#4565,#951,.T.); +#18018=EDGE_CURVE('',#4565,#4566,#953,.T.); +#18019=EDGE_CURVE('',#4566,#4572,#955,.T.); +#18020=EDGE_CURVE('',#4570,#4572,#956,.T.); +#18021=EDGE_CURVE('',#4580,#4563,#958,.T.); +#18022=EDGE_CURVE('',#4563,#4564,#960,.T.); +#18023=EDGE_CURVE('',#4564,#4582,#962,.T.); +#18024=EDGE_CURVE('',#4580,#4582,#963,.T.); +#18025=EDGE_CURVE('',#4671,#4683,#966,.T.); +#18026=EDGE_CURVE('',#4683,#4684,#970,.T.); +#18027=EDGE_CURVE('',#4684,#4682,#973,.T.); +#18028=EDGE_CURVE('',#4681,#4682,#975,.T.); +#18029=EDGE_CURVE('',#4693,#4681,#1576,.T.); +#18030=EDGE_CURVE('',#4693,#4694,#979,.T.); +#18031=EDGE_CURVE('',#4694,#4692,#981,.T.); +#18032=EDGE_CURVE('',#4691,#4692,#985,.T.); +#18033=EDGE_CURVE('',#4703,#4691,#1571,.T.); +#18034=EDGE_CURVE('',#4703,#4704,#989,.T.); +#18035=EDGE_CURVE('',#4704,#4702,#991,.T.); +#18036=EDGE_CURVE('',#4701,#4702,#993,.T.); +#18037=EDGE_CURVE('',#4713,#4701,#1566,.T.); +#18038=EDGE_CURVE('',#4713,#4714,#995,.T.); +#18039=EDGE_CURVE('',#4714,#4712,#997,.T.); +#18040=EDGE_CURVE('',#4711,#4712,#999,.T.); +#18041=EDGE_CURVE('',#4723,#4711,#1561,.T.); +#18042=EDGE_CURVE('',#4723,#4724,#1001,.T.); +#18043=EDGE_CURVE('',#4724,#4722,#1003,.T.); +#18044=EDGE_CURVE('',#4721,#4722,#1005,.T.); +#18045=EDGE_CURVE('',#4733,#4721,#1556,.T.); +#18046=EDGE_CURVE('',#4733,#4734,#1007,.T.); +#18047=EDGE_CURVE('',#4734,#4732,#1009,.T.); +#18048=EDGE_CURVE('',#4731,#4732,#1011,.T.); +#18049=EDGE_CURVE('',#4743,#4731,#1551,.T.); +#18050=EDGE_CURVE('',#4743,#4744,#1013,.T.); +#18051=EDGE_CURVE('',#4744,#4742,#1015,.T.); +#18052=EDGE_CURVE('',#4741,#4742,#1017,.T.); +#18053=EDGE_CURVE('',#4753,#4741,#1546,.T.); +#18054=EDGE_CURVE('',#4753,#4754,#1019,.T.); +#18055=EDGE_CURVE('',#4754,#4752,#1021,.T.); +#18056=EDGE_CURVE('',#4751,#4752,#1023,.T.); +#18057=EDGE_CURVE('',#4763,#4751,#1541,.T.); +#18058=EDGE_CURVE('',#4763,#4764,#1025,.T.); +#18059=EDGE_CURVE('',#4764,#4762,#1027,.T.); +#18060=EDGE_CURVE('',#4761,#4762,#1029,.T.); +#18061=EDGE_CURVE('',#4773,#4761,#1536,.T.); +#18062=EDGE_CURVE('',#4773,#4774,#1031,.T.); +#18063=EDGE_CURVE('',#4774,#4772,#1033,.T.); +#18064=EDGE_CURVE('',#4771,#4772,#1035,.T.); +#18065=EDGE_CURVE('',#4783,#4771,#1531,.T.); +#18066=EDGE_CURVE('',#4783,#4784,#1037,.T.); +#18067=EDGE_CURVE('',#4784,#4782,#1039,.T.); +#18068=EDGE_CURVE('',#4781,#4782,#1041,.T.); +#18069=EDGE_CURVE('',#4793,#4781,#1526,.T.); +#18070=EDGE_CURVE('',#4793,#4794,#1043,.T.); +#18071=EDGE_CURVE('',#4794,#4792,#1045,.T.); +#18072=EDGE_CURVE('',#4791,#4792,#1047,.T.); +#18073=EDGE_CURVE('',#4803,#4791,#1521,.T.); +#18074=EDGE_CURVE('',#4803,#4804,#1049,.T.); +#18075=EDGE_CURVE('',#4804,#4802,#1051,.T.); +#18076=EDGE_CURVE('',#4801,#4802,#1053,.T.); +#18077=EDGE_CURVE('',#4813,#4801,#1516,.T.); +#18078=EDGE_CURVE('',#4813,#4814,#1055,.T.); +#18079=EDGE_CURVE('',#4814,#4812,#1057,.T.); +#18080=EDGE_CURVE('',#4811,#4812,#1059,.T.); +#18081=EDGE_CURVE('',#4823,#4811,#1511,.T.); +#18082=EDGE_CURVE('',#4823,#4824,#1061,.T.); +#18083=EDGE_CURVE('',#4824,#4822,#1063,.T.); +#18084=EDGE_CURVE('',#4821,#4822,#1065,.T.); +#18085=EDGE_CURVE('',#4833,#4821,#1506,.T.); +#18086=EDGE_CURVE('',#4833,#4834,#1067,.T.); +#18087=EDGE_CURVE('',#4834,#4832,#1069,.T.); +#18088=EDGE_CURVE('',#4831,#4832,#1071,.T.); +#18089=EDGE_CURVE('',#4843,#4831,#1501,.T.); +#18090=EDGE_CURVE('',#4843,#4844,#1073,.T.); +#18091=EDGE_CURVE('',#4844,#4842,#1075,.T.); +#18092=EDGE_CURVE('',#4841,#4842,#1077,.T.); +#18093=EDGE_CURVE('',#4853,#4841,#1496,.T.); +#18094=EDGE_CURVE('',#4853,#4854,#1079,.T.); +#18095=EDGE_CURVE('',#4854,#4852,#1081,.T.); +#18096=EDGE_CURVE('',#4851,#4852,#1083,.T.); +#18097=EDGE_CURVE('',#4863,#4851,#1491,.T.); +#18098=EDGE_CURVE('',#4863,#4864,#1085,.T.); +#18099=EDGE_CURVE('',#4864,#4862,#1087,.T.); +#18100=EDGE_CURVE('',#4861,#4862,#1089,.T.); +#18101=EDGE_CURVE('',#4873,#4861,#1486,.T.); +#18102=EDGE_CURVE('',#4873,#4874,#1091,.T.); +#18103=EDGE_CURVE('',#4874,#4872,#1093,.T.); +#18104=EDGE_CURVE('',#4871,#4872,#1095,.T.); +#18105=EDGE_CURVE('',#4883,#4871,#1481,.T.); +#18106=EDGE_CURVE('',#4883,#4884,#1097,.T.); +#18107=EDGE_CURVE('',#4884,#4882,#1099,.T.); +#18108=EDGE_CURVE('',#4881,#4882,#1101,.T.); +#18109=EDGE_CURVE('',#4893,#4881,#1476,.T.); +#18110=EDGE_CURVE('',#4893,#4894,#1103,.T.); +#18111=EDGE_CURVE('',#4894,#4892,#1105,.T.); +#18112=EDGE_CURVE('',#4891,#4892,#1107,.T.); +#18113=EDGE_CURVE('',#4585,#4891,#1471,.T.); +#18114=EDGE_CURVE('',#4573,#4585,#2096,.T.); +#18115=EDGE_CURVE('',#4593,#4583,#1469,.T.); +#18116=EDGE_CURVE('',#4593,#4594,#1109,.T.); +#18117=EDGE_CURVE('',#4594,#4592,#1111,.T.); +#18118=EDGE_CURVE('',#4591,#4592,#1113,.T.); +#18119=EDGE_CURVE('',#4603,#4591,#1463,.T.); +#18120=EDGE_CURVE('',#4603,#4604,#1115,.T.); +#18121=EDGE_CURVE('',#4604,#4602,#1117,.T.); +#18122=EDGE_CURVE('',#4601,#4602,#1119,.T.); +#18123=EDGE_CURVE('',#4613,#4601,#1458,.T.); +#18124=EDGE_CURVE('',#4613,#4614,#1121,.T.); +#18125=EDGE_CURVE('',#4614,#4612,#1123,.T.); +#18126=EDGE_CURVE('',#4611,#4612,#1125,.T.); +#18127=EDGE_CURVE('',#4623,#4611,#1453,.T.); +#18128=EDGE_CURVE('',#4623,#4624,#1127,.T.); +#18129=EDGE_CURVE('',#4624,#4622,#1129,.T.); +#18130=EDGE_CURVE('',#4621,#4622,#1131,.T.); +#18131=EDGE_CURVE('',#4633,#4621,#1448,.T.); +#18132=EDGE_CURVE('',#4633,#4634,#1133,.T.); +#18133=EDGE_CURVE('',#4634,#4632,#1135,.T.); +#18134=EDGE_CURVE('',#4631,#4632,#1137,.T.); +#18135=EDGE_CURVE('',#4643,#4631,#1443,.T.); +#18136=EDGE_CURVE('',#4643,#4644,#1139,.T.); +#18137=EDGE_CURVE('',#4644,#4642,#1141,.T.); +#18138=EDGE_CURVE('',#4641,#4642,#1143,.T.); +#18139=EDGE_CURVE('',#4653,#4641,#1438,.T.); +#18140=EDGE_CURVE('',#4653,#4654,#1145,.T.); +#18141=EDGE_CURVE('',#4654,#4652,#1147,.T.); +#18142=EDGE_CURVE('',#4651,#4652,#1149,.T.); +#18143=EDGE_CURVE('',#4663,#4651,#1433,.T.); +#18144=EDGE_CURVE('',#4663,#4664,#1151,.T.); +#18145=EDGE_CURVE('',#4664,#4662,#1153,.T.); +#18146=EDGE_CURVE('',#4661,#4662,#1155,.T.); +#18147=EDGE_CURVE('',#4673,#4661,#1428,.T.); +#18148=EDGE_CURVE('',#4673,#4674,#1157,.T.); +#18149=EDGE_CURVE('',#4674,#4672,#1159,.T.); +#18150=EDGE_CURVE('',#4671,#4672,#1160,.T.); +#18151=EDGE_CURVE('',#4671,#4675,#1423,.T.); +#18152=EDGE_CURVE('',#4675,#4676,#1425,.T.); +#18153=EDGE_CURVE('',#4673,#4676,#1426,.T.); +#18154=EDGE_CURVE('',#4661,#4665,#1429,.T.); +#18155=EDGE_CURVE('',#4665,#4666,#1431,.T.); +#18156=EDGE_CURVE('',#4663,#4666,#1432,.T.); +#18157=EDGE_CURVE('',#4651,#4655,#1434,.T.); +#18158=EDGE_CURVE('',#4655,#4656,#1436,.T.); +#18159=EDGE_CURVE('',#4653,#4656,#1437,.T.); +#18160=EDGE_CURVE('',#4641,#4645,#1439,.T.); +#18161=EDGE_CURVE('',#4645,#4646,#1441,.T.); +#18162=EDGE_CURVE('',#4643,#4646,#1442,.T.); +#18163=EDGE_CURVE('',#4631,#4635,#1444,.T.); +#18164=EDGE_CURVE('',#4635,#4636,#1446,.T.); +#18165=EDGE_CURVE('',#4633,#4636,#1447,.T.); +#18166=EDGE_CURVE('',#4621,#4625,#1449,.T.); +#18167=EDGE_CURVE('',#4625,#4626,#1451,.T.); +#18168=EDGE_CURVE('',#4623,#4626,#1452,.T.); +#18169=EDGE_CURVE('',#4611,#4615,#1454,.T.); +#18170=EDGE_CURVE('',#4615,#4616,#1456,.T.); +#18171=EDGE_CURVE('',#4613,#4616,#1457,.T.); +#18172=EDGE_CURVE('',#4601,#4605,#1459,.T.); +#18173=EDGE_CURVE('',#4605,#4606,#1461,.T.); +#18174=EDGE_CURVE('',#4603,#4606,#1462,.T.); +#18175=EDGE_CURVE('',#4591,#4595,#1464,.T.); +#18176=EDGE_CURVE('',#4595,#4596,#1466,.T.); +#18177=EDGE_CURVE('',#4593,#4596,#1467,.T.); +#18178=EDGE_CURVE('',#4586,#4584,#2094,.T.); +#18179=EDGE_CURVE('',#4585,#4586,#2097,.T.); +#18180=EDGE_CURVE('',#4891,#4895,#1472,.T.); +#18181=EDGE_CURVE('',#4895,#4896,#1474,.T.); +#18182=EDGE_CURVE('',#4893,#4896,#1475,.T.); +#18183=EDGE_CURVE('',#4881,#4885,#1477,.T.); +#18184=EDGE_CURVE('',#4885,#4886,#1479,.T.); +#18185=EDGE_CURVE('',#4883,#4886,#1480,.T.); +#18186=EDGE_CURVE('',#4871,#4875,#1482,.T.); +#18187=EDGE_CURVE('',#4875,#4876,#1484,.T.); +#18188=EDGE_CURVE('',#4873,#4876,#1485,.T.); +#18189=EDGE_CURVE('',#4861,#4865,#1487,.T.); +#18190=EDGE_CURVE('',#4865,#4866,#1489,.T.); +#18191=EDGE_CURVE('',#4863,#4866,#1490,.T.); +#18192=EDGE_CURVE('',#4851,#4855,#1492,.T.); +#18193=EDGE_CURVE('',#4855,#4856,#1494,.T.); +#18194=EDGE_CURVE('',#4853,#4856,#1495,.T.); +#18195=EDGE_CURVE('',#4841,#4845,#1497,.T.); +#18196=EDGE_CURVE('',#4845,#4846,#1499,.T.); +#18197=EDGE_CURVE('',#4843,#4846,#1500,.T.); +#18198=EDGE_CURVE('',#4831,#4835,#1502,.T.); +#18199=EDGE_CURVE('',#4835,#4836,#1504,.T.); +#18200=EDGE_CURVE('',#4833,#4836,#1505,.T.); +#18201=EDGE_CURVE('',#4821,#4825,#1507,.T.); +#18202=EDGE_CURVE('',#4825,#4826,#1509,.T.); +#18203=EDGE_CURVE('',#4823,#4826,#1510,.T.); +#18204=EDGE_CURVE('',#4811,#4815,#1512,.T.); +#18205=EDGE_CURVE('',#4815,#4816,#1514,.T.); +#18206=EDGE_CURVE('',#4813,#4816,#1515,.T.); +#18207=EDGE_CURVE('',#4801,#4805,#1517,.T.); +#18208=EDGE_CURVE('',#4805,#4806,#1519,.T.); +#18209=EDGE_CURVE('',#4803,#4806,#1520,.T.); +#18210=EDGE_CURVE('',#4791,#4795,#1522,.T.); +#18211=EDGE_CURVE('',#4795,#4796,#1524,.T.); +#18212=EDGE_CURVE('',#4793,#4796,#1525,.T.); +#18213=EDGE_CURVE('',#4781,#4785,#1527,.T.); +#18214=EDGE_CURVE('',#4785,#4786,#1529,.T.); +#18215=EDGE_CURVE('',#4783,#4786,#1530,.T.); +#18216=EDGE_CURVE('',#4771,#4775,#1532,.T.); +#18217=EDGE_CURVE('',#4775,#4776,#1534,.T.); +#18218=EDGE_CURVE('',#4773,#4776,#1535,.T.); +#18219=EDGE_CURVE('',#4761,#4765,#1537,.T.); +#18220=EDGE_CURVE('',#4765,#4766,#1539,.T.); +#18221=EDGE_CURVE('',#4763,#4766,#1540,.T.); +#18222=EDGE_CURVE('',#4751,#4755,#1542,.T.); +#18223=EDGE_CURVE('',#4755,#4756,#1544,.T.); +#18224=EDGE_CURVE('',#4753,#4756,#1545,.T.); +#18225=EDGE_CURVE('',#4741,#4745,#1547,.T.); +#18226=EDGE_CURVE('',#4745,#4746,#1549,.T.); +#18227=EDGE_CURVE('',#4743,#4746,#1550,.T.); +#18228=EDGE_CURVE('',#4731,#4735,#1552,.T.); +#18229=EDGE_CURVE('',#4735,#4736,#1554,.T.); +#18230=EDGE_CURVE('',#4733,#4736,#1555,.T.); +#18231=EDGE_CURVE('',#4721,#4725,#1557,.T.); +#18232=EDGE_CURVE('',#4725,#4726,#1559,.T.); +#18233=EDGE_CURVE('',#4723,#4726,#1560,.T.); +#18234=EDGE_CURVE('',#4711,#4715,#1562,.T.); +#18235=EDGE_CURVE('',#4715,#4716,#1564,.T.); +#18236=EDGE_CURVE('',#4713,#4716,#1565,.T.); +#18237=EDGE_CURVE('',#4701,#4705,#1567,.T.); +#18238=EDGE_CURVE('',#4705,#4706,#1569,.T.); +#18239=EDGE_CURVE('',#4703,#4706,#1570,.T.); +#18240=EDGE_CURVE('',#4691,#4695,#1572,.T.); +#18241=EDGE_CURVE('',#4695,#4696,#1574,.T.); +#18242=EDGE_CURVE('',#4693,#4696,#1575,.T.); +#18243=EDGE_CURVE('',#4681,#4685,#1577,.T.); +#18244=EDGE_CURVE('',#4685,#4686,#1579,.T.); +#18245=EDGE_CURVE('',#4683,#4686,#1580,.T.); +#18246=EDGE_CURVE('',#4901,#4902,#1163,.T.); +#18247=EDGE_CURVE('',#4902,#4903,#1166,.T.); +#18248=EDGE_CURVE('',#4903,#4904,#1169,.T.); +#18249=EDGE_CURVE('',#4904,#4901,#1171,.T.); +#18250=EDGE_CURVE('',#4909,#4910,#1173,.T.); +#18251=EDGE_CURVE('',#4910,#4911,#1175,.T.); +#18252=EDGE_CURVE('',#4911,#4912,#1177,.T.); +#18253=EDGE_CURVE('',#4912,#4909,#1179,.T.); +#18254=EDGE_CURVE('',#4917,#4918,#1181,.T.); +#18255=EDGE_CURVE('',#4918,#4919,#1183,.T.); +#18256=EDGE_CURVE('',#4919,#4920,#1185,.T.); +#18257=EDGE_CURVE('',#4920,#4917,#1187,.T.); +#18258=EDGE_CURVE('',#4925,#4926,#1189,.T.); +#18259=EDGE_CURVE('',#4926,#4927,#1191,.T.); +#18260=EDGE_CURVE('',#4927,#4928,#1193,.T.); +#18261=EDGE_CURVE('',#4928,#4925,#1195,.T.); +#18262=EDGE_CURVE('',#4933,#4934,#1197,.T.); +#18263=EDGE_CURVE('',#4934,#4935,#1199,.T.); +#18264=EDGE_CURVE('',#4935,#4936,#1201,.T.); +#18265=EDGE_CURVE('',#4936,#4933,#1203,.T.); +#18266=EDGE_CURVE('',#4941,#4942,#1205,.T.); +#18267=EDGE_CURVE('',#4942,#4943,#1207,.T.); +#18268=EDGE_CURVE('',#4943,#4944,#1209,.T.); +#18269=EDGE_CURVE('',#4944,#4941,#1211,.T.); +#18270=EDGE_CURVE('',#4949,#4950,#1213,.T.); +#18271=EDGE_CURVE('',#4950,#4951,#1215,.T.); +#18272=EDGE_CURVE('',#4951,#4952,#1217,.T.); +#18273=EDGE_CURVE('',#4952,#4949,#1219,.T.); +#18274=EDGE_CURVE('',#4957,#4958,#1221,.T.); +#18275=EDGE_CURVE('',#4958,#4959,#1223,.T.); +#18276=EDGE_CURVE('',#4959,#4960,#1225,.T.); +#18277=EDGE_CURVE('',#4960,#4957,#1227,.T.); +#18278=EDGE_CURVE('',#4965,#4966,#1229,.T.); +#18279=EDGE_CURVE('',#4966,#4967,#1231,.T.); +#18280=EDGE_CURVE('',#4967,#4968,#1233,.T.); +#18281=EDGE_CURVE('',#4968,#4965,#1235,.T.); +#18282=EDGE_CURVE('',#4973,#4974,#1237,.T.); +#18283=EDGE_CURVE('',#4974,#4975,#1239,.T.); +#18284=EDGE_CURVE('',#4975,#4976,#1241,.T.); +#18285=EDGE_CURVE('',#4976,#4973,#1243,.T.); +#18286=EDGE_CURVE('',#4981,#4982,#1245,.T.); +#18287=EDGE_CURVE('',#4982,#4983,#1247,.T.); +#18288=EDGE_CURVE('',#4983,#4984,#1249,.T.); +#18289=EDGE_CURVE('',#4984,#4981,#1251,.T.); +#18290=EDGE_CURVE('',#4989,#4990,#1253,.T.); +#18291=EDGE_CURVE('',#4990,#4991,#1255,.T.); +#18292=EDGE_CURVE('',#4991,#4992,#1257,.T.); +#18293=EDGE_CURVE('',#4992,#4989,#1259,.T.); +#18294=EDGE_CURVE('',#4997,#4998,#1261,.T.); +#18295=EDGE_CURVE('',#4998,#4999,#1263,.T.); +#18296=EDGE_CURVE('',#4999,#5000,#1267,.T.); +#18297=EDGE_CURVE('',#5000,#4997,#1269,.T.); +#18298=EDGE_CURVE('',#5005,#5006,#1271,.T.); +#18299=EDGE_CURVE('',#5006,#5007,#1273,.T.); +#18300=EDGE_CURVE('',#5007,#5008,#1275,.T.); +#18301=EDGE_CURVE('',#5008,#5005,#1277,.T.); +#18302=EDGE_CURVE('',#5013,#5014,#1279,.T.); +#18303=EDGE_CURVE('',#5014,#5015,#1281,.T.); +#18304=EDGE_CURVE('',#5015,#5016,#1283,.T.); +#18305=EDGE_CURVE('',#5016,#5013,#1285,.T.); +#18306=EDGE_CURVE('',#5021,#5022,#1287,.T.); +#18307=EDGE_CURVE('',#5022,#5023,#1289,.T.); +#18308=EDGE_CURVE('',#5023,#5024,#1291,.T.); +#18309=EDGE_CURVE('',#5024,#5021,#1293,.T.); +#18310=EDGE_CURVE('',#5029,#5030,#1295,.T.); +#18311=EDGE_CURVE('',#5030,#5031,#1297,.T.); +#18312=EDGE_CURVE('',#5031,#5032,#1299,.T.); +#18313=EDGE_CURVE('',#5032,#5029,#1301,.T.); +#18314=EDGE_CURVE('',#5037,#5038,#1303,.T.); +#18315=EDGE_CURVE('',#5038,#5039,#1305,.T.); +#18316=EDGE_CURVE('',#5039,#5040,#1307,.T.); +#18317=EDGE_CURVE('',#5040,#5037,#1309,.T.); +#18318=EDGE_CURVE('',#5045,#5046,#1311,.T.); +#18319=EDGE_CURVE('',#5046,#5047,#1313,.T.); +#18320=EDGE_CURVE('',#5047,#5048,#1315,.T.); +#18321=EDGE_CURVE('',#5048,#5045,#1317,.T.); +#18322=EDGE_CURVE('',#5053,#5054,#1319,.T.); +#18323=EDGE_CURVE('',#5054,#5055,#1321,.T.); +#18324=EDGE_CURVE('',#5055,#5056,#1323,.T.); +#18325=EDGE_CURVE('',#5056,#5053,#1325,.T.); +#18326=EDGE_CURVE('',#5061,#5062,#1327,.T.); +#18327=EDGE_CURVE('',#5062,#5063,#1329,.T.); +#18328=EDGE_CURVE('',#5063,#5064,#1331,.T.); +#18329=EDGE_CURVE('',#5064,#5061,#1333,.T.); +#18330=EDGE_CURVE('',#5069,#5070,#1335,.T.); +#18331=EDGE_CURVE('',#5070,#5071,#1337,.T.); +#18332=EDGE_CURVE('',#5071,#5072,#1339,.T.); +#18333=EDGE_CURVE('',#5072,#5069,#1341,.T.); +#18334=EDGE_CURVE('',#5077,#5078,#1343,.T.); +#18335=EDGE_CURVE('',#5078,#5079,#1345,.T.); +#18336=EDGE_CURVE('',#5079,#5080,#1347,.T.); +#18337=EDGE_CURVE('',#5080,#5077,#1349,.T.); +#18338=EDGE_CURVE('',#5085,#5086,#1351,.T.); +#18339=EDGE_CURVE('',#5086,#5087,#1353,.T.); +#18340=EDGE_CURVE('',#5087,#5088,#1355,.T.); +#18341=EDGE_CURVE('',#5088,#5085,#1357,.T.); +#18342=EDGE_CURVE('',#5093,#5094,#1359,.T.); +#18343=EDGE_CURVE('',#5094,#5095,#1361,.T.); +#18344=EDGE_CURVE('',#5095,#5096,#1363,.T.); +#18345=EDGE_CURVE('',#5096,#5093,#1365,.T.); +#18346=EDGE_CURVE('',#5101,#5102,#1367,.T.); +#18347=EDGE_CURVE('',#5102,#5103,#1369,.T.); +#18348=EDGE_CURVE('',#5103,#5104,#1371,.T.); +#18349=EDGE_CURVE('',#5104,#5101,#1373,.T.); +#18350=EDGE_CURVE('',#5109,#5110,#1375,.T.); +#18351=EDGE_CURVE('',#5110,#5111,#1377,.T.); +#18352=EDGE_CURVE('',#5111,#5112,#1379,.T.); +#18353=EDGE_CURVE('',#5112,#5109,#1381,.T.); +#18354=EDGE_CURVE('',#5117,#5118,#1383,.T.); +#18355=EDGE_CURVE('',#5118,#5119,#1385,.T.); +#18356=EDGE_CURVE('',#5119,#5120,#1387,.T.); +#18357=EDGE_CURVE('',#5120,#5117,#1389,.T.); +#18358=EDGE_CURVE('',#5125,#5126,#1391,.T.); +#18359=EDGE_CURVE('',#5126,#5127,#1393,.T.); +#18360=EDGE_CURVE('',#5127,#5128,#1395,.T.); +#18361=EDGE_CURVE('',#5128,#5125,#1397,.T.); +#18362=EDGE_CURVE('',#5133,#5134,#1399,.T.); +#18363=EDGE_CURVE('',#5134,#5135,#1401,.T.); +#18364=EDGE_CURVE('',#5135,#5136,#1403,.T.); +#18365=EDGE_CURVE('',#5136,#5133,#1405,.T.); +#18366=EDGE_CURVE('',#5141,#5142,#1407,.T.); +#18367=EDGE_CURVE('',#5142,#5143,#1409,.T.); +#18368=EDGE_CURVE('',#5143,#5144,#1411,.T.); +#18369=EDGE_CURVE('',#5144,#5141,#1413,.T.); +#18370=EDGE_CURVE('',#5149,#5150,#1415,.T.); +#18371=EDGE_CURVE('',#5150,#5151,#1417,.T.); +#18372=EDGE_CURVE('',#5151,#5152,#1419,.T.); +#18373=EDGE_CURVE('',#5152,#5149,#1421,.T.); +#18374=EDGE_CURVE('',#4901,#4897,#1582,.T.); +#18375=EDGE_CURVE('',#4897,#4898,#1587,.T.); +#18376=EDGE_CURVE('',#4902,#4898,#1585,.T.); +#18377=EDGE_CURVE('',#4904,#4900,#1583,.T.); +#18378=EDGE_CURVE('',#4900,#4897,#1589,.T.); +#18379=EDGE_CURVE('',#4903,#4899,#1584,.T.); +#18380=EDGE_CURVE('',#4899,#4900,#1591,.T.); +#18381=EDGE_CURVE('',#4898,#4899,#1593,.T.); +#18382=EDGE_CURVE('',#4909,#4905,#1594,.T.); +#18383=EDGE_CURVE('',#4905,#4906,#1599,.T.); +#18384=EDGE_CURVE('',#4910,#4906,#1597,.T.); +#18385=EDGE_CURVE('',#4912,#4908,#1595,.T.); +#18386=EDGE_CURVE('',#4908,#4905,#1601,.T.); +#18387=EDGE_CURVE('',#4911,#4907,#1596,.T.); +#18388=EDGE_CURVE('',#4907,#4908,#1603,.T.); +#18389=EDGE_CURVE('',#4906,#4907,#1605,.T.); +#18390=EDGE_CURVE('',#4917,#4913,#1606,.T.); +#18391=EDGE_CURVE('',#4913,#4914,#1611,.T.); +#18392=EDGE_CURVE('',#4918,#4914,#1609,.T.); +#18393=EDGE_CURVE('',#4920,#4916,#1607,.T.); +#18394=EDGE_CURVE('',#4916,#4913,#1613,.T.); +#18395=EDGE_CURVE('',#4919,#4915,#1608,.T.); +#18396=EDGE_CURVE('',#4915,#4916,#1615,.T.); +#18397=EDGE_CURVE('',#4914,#4915,#1617,.T.); +#18398=EDGE_CURVE('',#4925,#4921,#1618,.T.); +#18399=EDGE_CURVE('',#4921,#4922,#1623,.T.); +#18400=EDGE_CURVE('',#4926,#4922,#1621,.T.); +#18401=EDGE_CURVE('',#4928,#4924,#1619,.T.); +#18402=EDGE_CURVE('',#4924,#4921,#1625,.T.); +#18403=EDGE_CURVE('',#4927,#4923,#1620,.T.); +#18404=EDGE_CURVE('',#4923,#4924,#1627,.T.); +#18405=EDGE_CURVE('',#4922,#4923,#1629,.T.); +#18406=EDGE_CURVE('',#4933,#4929,#1630,.T.); +#18407=EDGE_CURVE('',#4929,#4930,#1635,.T.); +#18408=EDGE_CURVE('',#4934,#4930,#1633,.T.); +#18409=EDGE_CURVE('',#4936,#4932,#1631,.T.); +#18410=EDGE_CURVE('',#4932,#4929,#1637,.T.); +#18411=EDGE_CURVE('',#4935,#4931,#1632,.T.); +#18412=EDGE_CURVE('',#4931,#4932,#1639,.T.); +#18413=EDGE_CURVE('',#4930,#4931,#1641,.T.); +#18414=EDGE_CURVE('',#4941,#4937,#1642,.T.); +#18415=EDGE_CURVE('',#4937,#4938,#1647,.T.); +#18416=EDGE_CURVE('',#4942,#4938,#1645,.T.); +#18417=EDGE_CURVE('',#4944,#4940,#1643,.T.); +#18418=EDGE_CURVE('',#4940,#4937,#1649,.T.); +#18419=EDGE_CURVE('',#4943,#4939,#1644,.T.); +#18420=EDGE_CURVE('',#4939,#4940,#1651,.T.); +#18421=EDGE_CURVE('',#4938,#4939,#1653,.T.); +#18422=EDGE_CURVE('',#4949,#4945,#1654,.T.); +#18423=EDGE_CURVE('',#4945,#4946,#1659,.T.); +#18424=EDGE_CURVE('',#4950,#4946,#1657,.T.); +#18425=EDGE_CURVE('',#4952,#4948,#1655,.T.); +#18426=EDGE_CURVE('',#4948,#4945,#1661,.T.); +#18427=EDGE_CURVE('',#4951,#4947,#1656,.T.); +#18428=EDGE_CURVE('',#4947,#4948,#1663,.T.); +#18429=EDGE_CURVE('',#4946,#4947,#1665,.T.); +#18430=EDGE_CURVE('',#4957,#4953,#1666,.T.); +#18431=EDGE_CURVE('',#4953,#4954,#1671,.T.); +#18432=EDGE_CURVE('',#4958,#4954,#1669,.T.); +#18433=EDGE_CURVE('',#4960,#4956,#1667,.T.); +#18434=EDGE_CURVE('',#4956,#4953,#1673,.T.); +#18435=EDGE_CURVE('',#4959,#4955,#1668,.T.); +#18436=EDGE_CURVE('',#4955,#4956,#1675,.T.); +#18437=EDGE_CURVE('',#4954,#4955,#1677,.T.); +#18438=EDGE_CURVE('',#4965,#4961,#1678,.T.); +#18439=EDGE_CURVE('',#4961,#4962,#1683,.T.); +#18440=EDGE_CURVE('',#4966,#4962,#1681,.T.); +#18441=EDGE_CURVE('',#4968,#4964,#1679,.T.); +#18442=EDGE_CURVE('',#4964,#4961,#1685,.T.); +#18443=EDGE_CURVE('',#4967,#4963,#1680,.T.); +#18444=EDGE_CURVE('',#4963,#4964,#1687,.T.); +#18445=EDGE_CURVE('',#4962,#4963,#1689,.T.); +#18446=EDGE_CURVE('',#4973,#4969,#1690,.T.); +#18447=EDGE_CURVE('',#4969,#4970,#1695,.T.); +#18448=EDGE_CURVE('',#4974,#4970,#1693,.T.); +#18449=EDGE_CURVE('',#4976,#4972,#1691,.T.); +#18450=EDGE_CURVE('',#4972,#4969,#1697,.T.); +#18451=EDGE_CURVE('',#4975,#4971,#1692,.T.); +#18452=EDGE_CURVE('',#4971,#4972,#1699,.T.); +#18453=EDGE_CURVE('',#4970,#4971,#1701,.T.); +#18454=EDGE_CURVE('',#4981,#4977,#1702,.T.); +#18455=EDGE_CURVE('',#4977,#4978,#1707,.T.); +#18456=EDGE_CURVE('',#4982,#4978,#1705,.T.); +#18457=EDGE_CURVE('',#4984,#4980,#1703,.T.); +#18458=EDGE_CURVE('',#4980,#4977,#1709,.T.); +#18459=EDGE_CURVE('',#4983,#4979,#1704,.T.); +#18460=EDGE_CURVE('',#4979,#4980,#1711,.T.); +#18461=EDGE_CURVE('',#4978,#4979,#1713,.T.); +#18462=EDGE_CURVE('',#4989,#4985,#1714,.T.); +#18463=EDGE_CURVE('',#4985,#4986,#1719,.T.); +#18464=EDGE_CURVE('',#4990,#4986,#1717,.T.); +#18465=EDGE_CURVE('',#4992,#4988,#1715,.T.); +#18466=EDGE_CURVE('',#4988,#4985,#1721,.T.); +#18467=EDGE_CURVE('',#4991,#4987,#1716,.T.); +#18468=EDGE_CURVE('',#4987,#4988,#1723,.T.); +#18469=EDGE_CURVE('',#4986,#4987,#1725,.T.); +#18470=EDGE_CURVE('',#4997,#4993,#1726,.T.); +#18471=EDGE_CURVE('',#4993,#4994,#1731,.T.); +#18472=EDGE_CURVE('',#4998,#4994,#1729,.T.); +#18473=EDGE_CURVE('',#5000,#4996,#1727,.T.); +#18474=EDGE_CURVE('',#4996,#4993,#1733,.T.); +#18475=EDGE_CURVE('',#4999,#4995,#1728,.T.); +#18476=EDGE_CURVE('',#4995,#4996,#1735,.T.); +#18477=EDGE_CURVE('',#4994,#4995,#1737,.T.); +#18478=EDGE_CURVE('',#5005,#5001,#1738,.T.); +#18479=EDGE_CURVE('',#5001,#5002,#1743,.T.); +#18480=EDGE_CURVE('',#5006,#5002,#1741,.T.); +#18481=EDGE_CURVE('',#5008,#5004,#1739,.T.); +#18482=EDGE_CURVE('',#5004,#5001,#1745,.T.); +#18483=EDGE_CURVE('',#5007,#5003,#1740,.T.); +#18484=EDGE_CURVE('',#5003,#5004,#1747,.T.); +#18485=EDGE_CURVE('',#5002,#5003,#1749,.T.); +#18486=EDGE_CURVE('',#5013,#5009,#1750,.T.); +#18487=EDGE_CURVE('',#5009,#5010,#1755,.T.); +#18488=EDGE_CURVE('',#5014,#5010,#1753,.T.); +#18489=EDGE_CURVE('',#5016,#5012,#1751,.T.); +#18490=EDGE_CURVE('',#5012,#5009,#1757,.T.); +#18491=EDGE_CURVE('',#5015,#5011,#1752,.T.); +#18492=EDGE_CURVE('',#5011,#5012,#1759,.T.); +#18493=EDGE_CURVE('',#5010,#5011,#1761,.T.); +#18494=EDGE_CURVE('',#5021,#5017,#1762,.T.); +#18495=EDGE_CURVE('',#5017,#5018,#1767,.T.); +#18496=EDGE_CURVE('',#5022,#5018,#1765,.T.); +#18497=EDGE_CURVE('',#5024,#5020,#1763,.T.); +#18498=EDGE_CURVE('',#5020,#5017,#1769,.T.); +#18499=EDGE_CURVE('',#5023,#5019,#1764,.T.); +#18500=EDGE_CURVE('',#5019,#5020,#1771,.T.); +#18501=EDGE_CURVE('',#5018,#5019,#1773,.T.); +#18502=EDGE_CURVE('',#5029,#5025,#1774,.T.); +#18503=EDGE_CURVE('',#5025,#5026,#1779,.T.); +#18504=EDGE_CURVE('',#5030,#5026,#1777,.T.); +#18505=EDGE_CURVE('',#5032,#5028,#1775,.T.); +#18506=EDGE_CURVE('',#5028,#5025,#1781,.T.); +#18507=EDGE_CURVE('',#5031,#5027,#1776,.T.); +#18508=EDGE_CURVE('',#5027,#5028,#1783,.T.); +#18509=EDGE_CURVE('',#5026,#5027,#1785,.T.); +#18510=EDGE_CURVE('',#5037,#5033,#1786,.T.); +#18511=EDGE_CURVE('',#5033,#5034,#1791,.T.); +#18512=EDGE_CURVE('',#5038,#5034,#1789,.T.); +#18513=EDGE_CURVE('',#5040,#5036,#1787,.T.); +#18514=EDGE_CURVE('',#5036,#5033,#1793,.T.); +#18515=EDGE_CURVE('',#5039,#5035,#1788,.T.); +#18516=EDGE_CURVE('',#5035,#5036,#1795,.T.); +#18517=EDGE_CURVE('',#5034,#5035,#1797,.T.); +#18518=EDGE_CURVE('',#5045,#5041,#1798,.T.); +#18519=EDGE_CURVE('',#5041,#5042,#1803,.T.); +#18520=EDGE_CURVE('',#5046,#5042,#1801,.T.); +#18521=EDGE_CURVE('',#5048,#5044,#1799,.T.); +#18522=EDGE_CURVE('',#5044,#5041,#1805,.T.); +#18523=EDGE_CURVE('',#5047,#5043,#1800,.T.); +#18524=EDGE_CURVE('',#5043,#5044,#1807,.T.); +#18525=EDGE_CURVE('',#5042,#5043,#1809,.T.); +#18526=EDGE_CURVE('',#5053,#5049,#1810,.T.); +#18527=EDGE_CURVE('',#5049,#5050,#1815,.T.); +#18528=EDGE_CURVE('',#5054,#5050,#1813,.T.); +#18529=EDGE_CURVE('',#5056,#5052,#1811,.T.); +#18530=EDGE_CURVE('',#5052,#5049,#1817,.T.); +#18531=EDGE_CURVE('',#5055,#5051,#1812,.T.); +#18532=EDGE_CURVE('',#5051,#5052,#1819,.T.); +#18533=EDGE_CURVE('',#5050,#5051,#1821,.T.); +#18534=EDGE_CURVE('',#5061,#5057,#1822,.T.); +#18535=EDGE_CURVE('',#5057,#5058,#1827,.T.); +#18536=EDGE_CURVE('',#5062,#5058,#1825,.T.); +#18537=EDGE_CURVE('',#5064,#5060,#1823,.T.); +#18538=EDGE_CURVE('',#5060,#5057,#1829,.T.); +#18539=EDGE_CURVE('',#5063,#5059,#1824,.T.); +#18540=EDGE_CURVE('',#5059,#5060,#1831,.T.); +#18541=EDGE_CURVE('',#5058,#5059,#1833,.T.); +#18542=EDGE_CURVE('',#5069,#5065,#1834,.T.); +#18543=EDGE_CURVE('',#5065,#5066,#1839,.T.); +#18544=EDGE_CURVE('',#5070,#5066,#1837,.T.); +#18545=EDGE_CURVE('',#5072,#5068,#1835,.T.); +#18546=EDGE_CURVE('',#5068,#5065,#1841,.T.); +#18547=EDGE_CURVE('',#5071,#5067,#1836,.T.); +#18548=EDGE_CURVE('',#5067,#5068,#1843,.T.); +#18549=EDGE_CURVE('',#5066,#5067,#1845,.T.); +#18550=EDGE_CURVE('',#5077,#5073,#1846,.T.); +#18551=EDGE_CURVE('',#5073,#5074,#1851,.T.); +#18552=EDGE_CURVE('',#5078,#5074,#1849,.T.); +#18553=EDGE_CURVE('',#5080,#5076,#1847,.T.); +#18554=EDGE_CURVE('',#5076,#5073,#1853,.T.); +#18555=EDGE_CURVE('',#5079,#5075,#1848,.T.); +#18556=EDGE_CURVE('',#5075,#5076,#1855,.T.); +#18557=EDGE_CURVE('',#5074,#5075,#1857,.T.); +#18558=EDGE_CURVE('',#5085,#5081,#1858,.T.); +#18559=EDGE_CURVE('',#5081,#5082,#1863,.T.); +#18560=EDGE_CURVE('',#5086,#5082,#1861,.T.); +#18561=EDGE_CURVE('',#5088,#5084,#1859,.T.); +#18562=EDGE_CURVE('',#5084,#5081,#1865,.T.); +#18563=EDGE_CURVE('',#5087,#5083,#1860,.T.); +#18564=EDGE_CURVE('',#5083,#5084,#1867,.T.); +#18565=EDGE_CURVE('',#5082,#5083,#1869,.T.); +#18566=EDGE_CURVE('',#5093,#5089,#1870,.T.); +#18567=EDGE_CURVE('',#5089,#5090,#1875,.T.); +#18568=EDGE_CURVE('',#5094,#5090,#1873,.T.); +#18569=EDGE_CURVE('',#5096,#5092,#1871,.T.); +#18570=EDGE_CURVE('',#5092,#5089,#1877,.T.); +#18571=EDGE_CURVE('',#5095,#5091,#1872,.T.); +#18572=EDGE_CURVE('',#5091,#5092,#1879,.T.); +#18573=EDGE_CURVE('',#5090,#5091,#1881,.T.); +#18574=EDGE_CURVE('',#5101,#5097,#1882,.T.); +#18575=EDGE_CURVE('',#5097,#5098,#1887,.T.); +#18576=EDGE_CURVE('',#5102,#5098,#1885,.T.); +#18577=EDGE_CURVE('',#5104,#5100,#1883,.T.); +#18578=EDGE_CURVE('',#5100,#5097,#1889,.T.); +#18579=EDGE_CURVE('',#5103,#5099,#1884,.T.); +#18580=EDGE_CURVE('',#5099,#5100,#1891,.T.); +#18581=EDGE_CURVE('',#5098,#5099,#1893,.T.); +#18582=EDGE_CURVE('',#5109,#5105,#1894,.T.); +#18583=EDGE_CURVE('',#5105,#5106,#1899,.T.); +#18584=EDGE_CURVE('',#5110,#5106,#1897,.T.); +#18585=EDGE_CURVE('',#5112,#5108,#1895,.T.); +#18586=EDGE_CURVE('',#5108,#5105,#1901,.T.); +#18587=EDGE_CURVE('',#5111,#5107,#1896,.T.); +#18588=EDGE_CURVE('',#5107,#5108,#1903,.T.); +#18589=EDGE_CURVE('',#5106,#5107,#1905,.T.); +#18590=EDGE_CURVE('',#5117,#5113,#1906,.T.); +#18591=EDGE_CURVE('',#5113,#5114,#1911,.T.); +#18592=EDGE_CURVE('',#5118,#5114,#1909,.T.); +#18593=EDGE_CURVE('',#5120,#5116,#1907,.T.); +#18594=EDGE_CURVE('',#5116,#5113,#1913,.T.); +#18595=EDGE_CURVE('',#5119,#5115,#1908,.T.); +#18596=EDGE_CURVE('',#5115,#5116,#1915,.T.); +#18597=EDGE_CURVE('',#5114,#5115,#1917,.T.); +#18598=EDGE_CURVE('',#5125,#5121,#1918,.T.); +#18599=EDGE_CURVE('',#5121,#5122,#1923,.T.); +#18600=EDGE_CURVE('',#5126,#5122,#1921,.T.); +#18601=EDGE_CURVE('',#5128,#5124,#1919,.T.); +#18602=EDGE_CURVE('',#5124,#5121,#1925,.T.); +#18603=EDGE_CURVE('',#5127,#5123,#1920,.T.); +#18604=EDGE_CURVE('',#5123,#5124,#1927,.T.); +#18605=EDGE_CURVE('',#5122,#5123,#1929,.T.); +#18606=EDGE_CURVE('',#5133,#5129,#1930,.T.); +#18607=EDGE_CURVE('',#5129,#5130,#1935,.T.); +#18608=EDGE_CURVE('',#5134,#5130,#1933,.T.); +#18609=EDGE_CURVE('',#5136,#5132,#1931,.T.); +#18610=EDGE_CURVE('',#5132,#5129,#1937,.T.); +#18611=EDGE_CURVE('',#5135,#5131,#1932,.T.); +#18612=EDGE_CURVE('',#5131,#5132,#1939,.T.); +#18613=EDGE_CURVE('',#5130,#5131,#1941,.T.); +#18614=EDGE_CURVE('',#5141,#5137,#1942,.T.); +#18615=EDGE_CURVE('',#5137,#5138,#1947,.T.); +#18616=EDGE_CURVE('',#5142,#5138,#1945,.T.); +#18617=EDGE_CURVE('',#5144,#5140,#1943,.T.); +#18618=EDGE_CURVE('',#5140,#5137,#1949,.T.); +#18619=EDGE_CURVE('',#5143,#5139,#1944,.T.); +#18620=EDGE_CURVE('',#5139,#5140,#1951,.T.); +#18621=EDGE_CURVE('',#5138,#5139,#1953,.T.); +#18622=EDGE_CURVE('',#5149,#5145,#1954,.T.); +#18623=EDGE_CURVE('',#5145,#5146,#1959,.T.); +#18624=EDGE_CURVE('',#5150,#5146,#1957,.T.); +#18625=EDGE_CURVE('',#5152,#5148,#1955,.T.); +#18626=EDGE_CURVE('',#5148,#5145,#1961,.T.); +#18627=EDGE_CURVE('',#5151,#5147,#1956,.T.); +#18628=EDGE_CURVE('',#5147,#5148,#1963,.T.); +#18629=EDGE_CURVE('',#5146,#5147,#1965,.T.); +#18630=EDGE_CURVE('',#4672,#4667,#1968,.T.); +#18631=EDGE_CURVE('',#4667,#4668,#1974,.T.); +#18632=EDGE_CURVE('',#4675,#4668,#1972,.T.); +#18633=EDGE_CURVE('',#4674,#4670,#1969,.T.); +#18634=EDGE_CURVE('',#4670,#4667,#1976,.T.); +#18635=EDGE_CURVE('',#4676,#4669,#1971,.T.); +#18636=EDGE_CURVE('',#4669,#4670,#1978,.T.); +#18637=EDGE_CURVE('',#4668,#4669,#1980,.T.); +#18638=EDGE_CURVE('',#4662,#4657,#1982,.T.); +#18639=EDGE_CURVE('',#4657,#4658,#1988,.T.); +#18640=EDGE_CURVE('',#4665,#4658,#1986,.T.); +#18641=EDGE_CURVE('',#4664,#4660,#1983,.T.); +#18642=EDGE_CURVE('',#4660,#4657,#1990,.T.); +#18643=EDGE_CURVE('',#4666,#4659,#1985,.T.); +#18644=EDGE_CURVE('',#4659,#4660,#1992,.T.); +#18645=EDGE_CURVE('',#4658,#4659,#1994,.T.); +#18646=EDGE_CURVE('',#4652,#4647,#1996,.T.); +#18647=EDGE_CURVE('',#4647,#4648,#2002,.T.); +#18648=EDGE_CURVE('',#4655,#4648,#2000,.T.); +#18649=EDGE_CURVE('',#4654,#4650,#1997,.T.); +#18650=EDGE_CURVE('',#4650,#4647,#2004,.T.); +#18651=EDGE_CURVE('',#4656,#4649,#1999,.T.); +#18652=EDGE_CURVE('',#4649,#4650,#2006,.T.); +#18653=EDGE_CURVE('',#4648,#4649,#2008,.T.); +#18654=EDGE_CURVE('',#4642,#4637,#2010,.T.); +#18655=EDGE_CURVE('',#4637,#4638,#2016,.T.); +#18656=EDGE_CURVE('',#4645,#4638,#2014,.T.); +#18657=EDGE_CURVE('',#4644,#4640,#2011,.T.); +#18658=EDGE_CURVE('',#4640,#4637,#2018,.T.); +#18659=EDGE_CURVE('',#4646,#4639,#2013,.T.); +#18660=EDGE_CURVE('',#4639,#4640,#2020,.T.); +#18661=EDGE_CURVE('',#4638,#4639,#2022,.T.); +#18662=EDGE_CURVE('',#4632,#4627,#2024,.T.); +#18663=EDGE_CURVE('',#4627,#4628,#2030,.T.); +#18664=EDGE_CURVE('',#4635,#4628,#2028,.T.); +#18665=EDGE_CURVE('',#4634,#4630,#2025,.T.); +#18666=EDGE_CURVE('',#4630,#4627,#2032,.T.); +#18667=EDGE_CURVE('',#4636,#4629,#2027,.T.); +#18668=EDGE_CURVE('',#4629,#4630,#2034,.T.); +#18669=EDGE_CURVE('',#4628,#4629,#2036,.T.); +#18670=EDGE_CURVE('',#4622,#4617,#2038,.T.); +#18671=EDGE_CURVE('',#4617,#4618,#2044,.T.); +#18672=EDGE_CURVE('',#4625,#4618,#2042,.T.); +#18673=EDGE_CURVE('',#4624,#4620,#2039,.T.); +#18674=EDGE_CURVE('',#4620,#4617,#2046,.T.); +#18675=EDGE_CURVE('',#4626,#4619,#2041,.T.); +#18676=EDGE_CURVE('',#4619,#4620,#2048,.T.); +#18677=EDGE_CURVE('',#4618,#4619,#2050,.T.); +#18678=EDGE_CURVE('',#4612,#4607,#2052,.T.); +#18679=EDGE_CURVE('',#4607,#4608,#2058,.T.); +#18680=EDGE_CURVE('',#4615,#4608,#2056,.T.); +#18681=EDGE_CURVE('',#4614,#4610,#2053,.T.); +#18682=EDGE_CURVE('',#4610,#4607,#2060,.T.); +#18683=EDGE_CURVE('',#4616,#4609,#2055,.T.); +#18684=EDGE_CURVE('',#4609,#4610,#2062,.T.); +#18685=EDGE_CURVE('',#4608,#4609,#2064,.T.); +#18686=EDGE_CURVE('',#4602,#4597,#2066,.T.); +#18687=EDGE_CURVE('',#4597,#4598,#2072,.T.); +#18688=EDGE_CURVE('',#4605,#4598,#2070,.T.); +#18689=EDGE_CURVE('',#4604,#4600,#2067,.T.); +#18690=EDGE_CURVE('',#4600,#4597,#2074,.T.); +#18691=EDGE_CURVE('',#4606,#4599,#2069,.T.); +#18692=EDGE_CURVE('',#4599,#4600,#2076,.T.); +#18693=EDGE_CURVE('',#4598,#4599,#2078,.T.); +#18694=EDGE_CURVE('',#4592,#4587,#2080,.T.); +#18695=EDGE_CURVE('',#4587,#4588,#2086,.T.); +#18696=EDGE_CURVE('',#4595,#4588,#2084,.T.); +#18697=EDGE_CURVE('',#4594,#4590,#2081,.T.); +#18698=EDGE_CURVE('',#4590,#4587,#2088,.T.); +#18699=EDGE_CURVE('',#4596,#4589,#2083,.T.); +#18700=EDGE_CURVE('',#4589,#4590,#2090,.T.); +#18701=EDGE_CURVE('',#4588,#4589,#2092,.T.); +#18702=EDGE_CURVE('',#4586,#4572,#2098,.T.); +#18703=EDGE_CURVE('',#4559,#4566,#2095,.T.); +#18704=EDGE_CURVE('',#4560,#4567,#3008,.T.); +#18705=EDGE_CURVE('',#4558,#4565,#2099,.T.); +#18706=EDGE_CURVE('',#4575,#4578,#2102,.T.); +#18707=EDGE_CURVE('',#4578,#4579,#2105,.T.); +#18708=EDGE_CURVE('',#4579,#4582,#2107,.T.); +#18709=EDGE_CURVE('',#4557,#4564,#2108,.T.); +#18710=EDGE_CURVE('',#4574,#4576,#2109,.T.); +#18711=EDGE_CURVE('',#4578,#4576,#2420,.T.); +#18712=EDGE_CURVE('',#4555,#4562,#2110,.T.); +#18713=EDGE_CURVE('',#4556,#4563,#2116,.T.); +#18714=EDGE_CURVE('',#4577,#4580,#2112,.T.); +#18715=EDGE_CURVE('',#4576,#4577,#2114,.T.); +#18716=EDGE_CURVE('',#5499,#5503,#2120,.T.); +#18717=EDGE_CURVE('',#5503,#5504,#2122,.T.); +#18718=EDGE_CURVE('',#5501,#5504,#2124,.T.); +#18719=EDGE_CURVE('',#5501,#5489,#2125,.T.); +#18720=EDGE_CURVE('',#5489,#5493,#2127,.T.); +#18721=EDGE_CURVE('',#5493,#5494,#2129,.T.); +#18722=EDGE_CURVE('',#5491,#5494,#2131,.T.); +#18723=EDGE_CURVE('',#5491,#5479,#2132,.T.); +#18724=EDGE_CURVE('',#5479,#5483,#2134,.T.); +#18725=EDGE_CURVE('',#5483,#5484,#2136,.T.); +#18726=EDGE_CURVE('',#5481,#5484,#2138,.T.); +#18727=EDGE_CURVE('',#5481,#5469,#2139,.T.); +#18728=EDGE_CURVE('',#5469,#5473,#2141,.T.); +#18729=EDGE_CURVE('',#5473,#5474,#2143,.T.); +#18730=EDGE_CURVE('',#5471,#5474,#2145,.T.); +#18731=EDGE_CURVE('',#5471,#5459,#2146,.T.); +#18732=EDGE_CURVE('',#5459,#5463,#2148,.T.); +#18733=EDGE_CURVE('',#5463,#5464,#2150,.T.); +#18734=EDGE_CURVE('',#5461,#5464,#2152,.T.); +#18735=EDGE_CURVE('',#5461,#5449,#2153,.T.); +#18736=EDGE_CURVE('',#5449,#5453,#2155,.T.); +#18737=EDGE_CURVE('',#5453,#5454,#2157,.T.); +#18738=EDGE_CURVE('',#5451,#5454,#2159,.T.); +#18739=EDGE_CURVE('',#5451,#5439,#2160,.T.); +#18740=EDGE_CURVE('',#5439,#5443,#2162,.T.); +#18741=EDGE_CURVE('',#5443,#5444,#2164,.T.); +#18742=EDGE_CURVE('',#5441,#5444,#2166,.T.); +#18743=EDGE_CURVE('',#5441,#5429,#2167,.T.); +#18744=EDGE_CURVE('',#5429,#5433,#2169,.T.); +#18745=EDGE_CURVE('',#5433,#5434,#2171,.T.); +#18746=EDGE_CURVE('',#5431,#5434,#2173,.T.); +#18747=EDGE_CURVE('',#5431,#5419,#2174,.T.); +#18748=EDGE_CURVE('',#5419,#5423,#2176,.T.); +#18749=EDGE_CURVE('',#5423,#5424,#2178,.T.); +#18750=EDGE_CURVE('',#5421,#5424,#2180,.T.); +#18751=EDGE_CURVE('',#5421,#5409,#2181,.T.); +#18752=EDGE_CURVE('',#5409,#5413,#2183,.T.); +#18753=EDGE_CURVE('',#5413,#5414,#2185,.T.); +#18754=EDGE_CURVE('',#5411,#5414,#2187,.T.); +#18755=EDGE_CURVE('',#5411,#5399,#2188,.T.); +#18756=EDGE_CURVE('',#5399,#5403,#2190,.T.); +#18757=EDGE_CURVE('',#5403,#5404,#2192,.T.); +#18758=EDGE_CURVE('',#5401,#5404,#2194,.T.); +#18759=EDGE_CURVE('',#5401,#5389,#2195,.T.); +#18760=EDGE_CURVE('',#5389,#5393,#2197,.T.); +#18761=EDGE_CURVE('',#5393,#5394,#2199,.T.); +#18762=EDGE_CURVE('',#5391,#5394,#2201,.T.); +#18763=EDGE_CURVE('',#5391,#5379,#2202,.T.); +#18764=EDGE_CURVE('',#5379,#5383,#2204,.T.); +#18765=EDGE_CURVE('',#5383,#5384,#2206,.T.); +#18766=EDGE_CURVE('',#5381,#5384,#2208,.T.); +#18767=EDGE_CURVE('',#5381,#5369,#2209,.T.); +#18768=EDGE_CURVE('',#5369,#5373,#2211,.T.); +#18769=EDGE_CURVE('',#5373,#5374,#2213,.T.); +#18770=EDGE_CURVE('',#5371,#5374,#2215,.T.); +#18771=EDGE_CURVE('',#5371,#5359,#2216,.T.); +#18772=EDGE_CURVE('',#5359,#5363,#2218,.T.); +#18773=EDGE_CURVE('',#5363,#5364,#2220,.T.); +#18774=EDGE_CURVE('',#5361,#5364,#2222,.T.); +#18775=EDGE_CURVE('',#5361,#5349,#2223,.T.); +#18776=EDGE_CURVE('',#5349,#5353,#2225,.T.); +#18777=EDGE_CURVE('',#5353,#5354,#2227,.T.); +#18778=EDGE_CURVE('',#5351,#5354,#2229,.T.); +#18779=EDGE_CURVE('',#5351,#5339,#2230,.T.); +#18780=EDGE_CURVE('',#5339,#5343,#2232,.T.); +#18781=EDGE_CURVE('',#5343,#5344,#2234,.T.); +#18782=EDGE_CURVE('',#5341,#5344,#2236,.T.); +#18783=EDGE_CURVE('',#5341,#5329,#2237,.T.); +#18784=EDGE_CURVE('',#5329,#5333,#2239,.T.); +#18785=EDGE_CURVE('',#5333,#5334,#2241,.T.); +#18786=EDGE_CURVE('',#5331,#5334,#2243,.T.); +#18787=EDGE_CURVE('',#5331,#5319,#2244,.T.); +#18788=EDGE_CURVE('',#5319,#5323,#2246,.T.); +#18789=EDGE_CURVE('',#5323,#5324,#2248,.T.); +#18790=EDGE_CURVE('',#5321,#5324,#2250,.T.); +#18791=EDGE_CURVE('',#5321,#5309,#2251,.T.); +#18792=EDGE_CURVE('',#5309,#5313,#2253,.T.); +#18793=EDGE_CURVE('',#5313,#5314,#2255,.T.); +#18794=EDGE_CURVE('',#5311,#5314,#2257,.T.); +#18795=EDGE_CURVE('',#5311,#4577,#2259,.T.); +#18796=EDGE_CURVE('',#4579,#5499,#2260,.T.); +#18797=EDGE_CURVE('',#5499,#5500,#2418,.T.); +#18798=EDGE_CURVE('',#5500,#5495,#2262,.T.); +#18799=EDGE_CURVE('',#5495,#5496,#3000,.T.); +#18800=EDGE_CURVE('',#5503,#5496,#2998,.T.); +#18801=EDGE_CURVE('',#5311,#5312,#2421,.T.); +#18802=EDGE_CURVE('',#5312,#5310,#2423,.T.); +#18803=EDGE_CURVE('',#5309,#5310,#2424,.T.); +#18804=EDGE_CURVE('',#5321,#5322,#2425,.T.); +#18805=EDGE_CURVE('',#5322,#5320,#2427,.T.); +#18806=EDGE_CURVE('',#5319,#5320,#2428,.T.); +#18807=EDGE_CURVE('',#5331,#5332,#2429,.T.); +#18808=EDGE_CURVE('',#5332,#5330,#2431,.T.); +#18809=EDGE_CURVE('',#5329,#5330,#2432,.T.); +#18810=EDGE_CURVE('',#5341,#5342,#2435,.T.); +#18811=EDGE_CURVE('',#5342,#5340,#2437,.T.); +#18812=EDGE_CURVE('',#5339,#5340,#2438,.T.); +#18813=EDGE_CURVE('',#5351,#5352,#2439,.T.); +#18814=EDGE_CURVE('',#5352,#5350,#2441,.T.); +#18815=EDGE_CURVE('',#5349,#5350,#2442,.T.); +#18816=EDGE_CURVE('',#5361,#5362,#2443,.T.); +#18817=EDGE_CURVE('',#5362,#5360,#2445,.T.); +#18818=EDGE_CURVE('',#5359,#5360,#2446,.T.); +#18819=EDGE_CURVE('',#5371,#5372,#2447,.T.); +#18820=EDGE_CURVE('',#5372,#5370,#2449,.T.); +#18821=EDGE_CURVE('',#5369,#5370,#2450,.T.); +#18822=EDGE_CURVE('',#5381,#5382,#2451,.T.); +#18823=EDGE_CURVE('',#5382,#5380,#2453,.T.); +#18824=EDGE_CURVE('',#5379,#5380,#2454,.T.); +#18825=EDGE_CURVE('',#5391,#5392,#2455,.T.); +#18826=EDGE_CURVE('',#5392,#5390,#2457,.T.); +#18827=EDGE_CURVE('',#5389,#5390,#2458,.T.); +#18828=EDGE_CURVE('',#5401,#5402,#2459,.T.); +#18829=EDGE_CURVE('',#5402,#5400,#2461,.T.); +#18830=EDGE_CURVE('',#5399,#5400,#2462,.T.); +#18831=EDGE_CURVE('',#5411,#5412,#2463,.T.); +#18832=EDGE_CURVE('',#5412,#5410,#2465,.T.); +#18833=EDGE_CURVE('',#5409,#5410,#2466,.T.); +#18834=EDGE_CURVE('',#5421,#5422,#2467,.T.); +#18835=EDGE_CURVE('',#5422,#5420,#2469,.T.); +#18836=EDGE_CURVE('',#5419,#5420,#2470,.T.); +#18837=EDGE_CURVE('',#5431,#5432,#2471,.T.); +#18838=EDGE_CURVE('',#5432,#5430,#2473,.T.); +#18839=EDGE_CURVE('',#5429,#5430,#2474,.T.); +#18840=EDGE_CURVE('',#5441,#5442,#2475,.T.); +#18841=EDGE_CURVE('',#5442,#5440,#2477,.T.); +#18842=EDGE_CURVE('',#5439,#5440,#2478,.T.); +#18843=EDGE_CURVE('',#5451,#5452,#2479,.T.); +#18844=EDGE_CURVE('',#5452,#5450,#2481,.T.); +#18845=EDGE_CURVE('',#5449,#5450,#2482,.T.); +#18846=EDGE_CURVE('',#5461,#5462,#2483,.T.); +#18847=EDGE_CURVE('',#5462,#5460,#2485,.T.); +#18848=EDGE_CURVE('',#5459,#5460,#2486,.T.); +#18849=EDGE_CURVE('',#5471,#5472,#2487,.T.); +#18850=EDGE_CURVE('',#5472,#5470,#2489,.T.); +#18851=EDGE_CURVE('',#5469,#5470,#2490,.T.); +#18852=EDGE_CURVE('',#5481,#5482,#2491,.T.); +#18853=EDGE_CURVE('',#5482,#5480,#2493,.T.); +#18854=EDGE_CURVE('',#5479,#5480,#2494,.T.); +#18855=EDGE_CURVE('',#5491,#5492,#2495,.T.); +#18856=EDGE_CURVE('',#5492,#5490,#2497,.T.); +#18857=EDGE_CURVE('',#5489,#5490,#2498,.T.); +#18858=EDGE_CURVE('',#5501,#5502,#2499,.T.); +#18859=EDGE_CURVE('',#5502,#5500,#2501,.T.); +#18860=EDGE_CURVE('',#5157,#5158,#2264,.T.); +#18861=EDGE_CURVE('',#5158,#5159,#2266,.T.); +#18862=EDGE_CURVE('',#5159,#5160,#2268,.T.); +#18863=EDGE_CURVE('',#5160,#5157,#2270,.T.); +#18864=EDGE_CURVE('',#5165,#5166,#2272,.T.); +#18865=EDGE_CURVE('',#5166,#5167,#2274,.T.); +#18866=EDGE_CURVE('',#5167,#5168,#2276,.T.); +#18867=EDGE_CURVE('',#5168,#5165,#2278,.T.); +#18868=EDGE_CURVE('',#5173,#5174,#2280,.T.); +#18869=EDGE_CURVE('',#5174,#5175,#2282,.T.); +#18870=EDGE_CURVE('',#5175,#5176,#2284,.T.); +#18871=EDGE_CURVE('',#5176,#5173,#2286,.T.); +#18872=EDGE_CURVE('',#5181,#5182,#2288,.T.); +#18873=EDGE_CURVE('',#5182,#5183,#2290,.T.); +#18874=EDGE_CURVE('',#5183,#5184,#2294,.T.); +#18875=EDGE_CURVE('',#5184,#5181,#2296,.T.); +#18876=EDGE_CURVE('',#5189,#5190,#2298,.T.); +#18877=EDGE_CURVE('',#5190,#5191,#2300,.T.); +#18878=EDGE_CURVE('',#5191,#5192,#2302,.T.); +#18879=EDGE_CURVE('',#5192,#5189,#2304,.T.); +#18880=EDGE_CURVE('',#5197,#5198,#2306,.T.); +#18881=EDGE_CURVE('',#5198,#5199,#2308,.T.); +#18882=EDGE_CURVE('',#5199,#5200,#2310,.T.); +#18883=EDGE_CURVE('',#5200,#5197,#2312,.T.); +#18884=EDGE_CURVE('',#5205,#5206,#2314,.T.); +#18885=EDGE_CURVE('',#5206,#5207,#2316,.T.); +#18886=EDGE_CURVE('',#5207,#5208,#2318,.T.); +#18887=EDGE_CURVE('',#5208,#5205,#2320,.T.); +#18888=EDGE_CURVE('',#5213,#5214,#2322,.T.); +#18889=EDGE_CURVE('',#5214,#5215,#2324,.T.); +#18890=EDGE_CURVE('',#5215,#5216,#2326,.T.); +#18891=EDGE_CURVE('',#5216,#5213,#2328,.T.); +#18892=EDGE_CURVE('',#5221,#5222,#2330,.T.); +#18893=EDGE_CURVE('',#5222,#5223,#2332,.T.); +#18894=EDGE_CURVE('',#5223,#5224,#2334,.T.); +#18895=EDGE_CURVE('',#5224,#5221,#2336,.T.); +#18896=EDGE_CURVE('',#5229,#5230,#2338,.T.); +#18897=EDGE_CURVE('',#5230,#5231,#2340,.T.); +#18898=EDGE_CURVE('',#5231,#5232,#2342,.T.); +#18899=EDGE_CURVE('',#5232,#5229,#2344,.T.); +#18900=EDGE_CURVE('',#5237,#5238,#2346,.T.); +#18901=EDGE_CURVE('',#5238,#5239,#2348,.T.); +#18902=EDGE_CURVE('',#5239,#5240,#2350,.T.); +#18903=EDGE_CURVE('',#5240,#5237,#2352,.T.); +#18904=EDGE_CURVE('',#5245,#5246,#2354,.T.); +#18905=EDGE_CURVE('',#5246,#5247,#2356,.T.); +#18906=EDGE_CURVE('',#5247,#5248,#2358,.T.); +#18907=EDGE_CURVE('',#5248,#5245,#2360,.T.); +#18908=EDGE_CURVE('',#5253,#5254,#2362,.T.); +#18909=EDGE_CURVE('',#5254,#5255,#2364,.T.); +#18910=EDGE_CURVE('',#5255,#5256,#2366,.T.); +#18911=EDGE_CURVE('',#5256,#5253,#2368,.T.); +#18912=EDGE_CURVE('',#5261,#5262,#2370,.T.); +#18913=EDGE_CURVE('',#5262,#5263,#2372,.T.); +#18914=EDGE_CURVE('',#5263,#5264,#2374,.T.); +#18915=EDGE_CURVE('',#5264,#5261,#2376,.T.); +#18916=EDGE_CURVE('',#5269,#5270,#2378,.T.); +#18917=EDGE_CURVE('',#5270,#5271,#2380,.T.); +#18918=EDGE_CURVE('',#5271,#5272,#2382,.T.); +#18919=EDGE_CURVE('',#5272,#5269,#2384,.T.); +#18920=EDGE_CURVE('',#5277,#5278,#2386,.T.); +#18921=EDGE_CURVE('',#5278,#5279,#2388,.T.); +#18922=EDGE_CURVE('',#5279,#5280,#2390,.T.); +#18923=EDGE_CURVE('',#5280,#5277,#2392,.T.); +#18924=EDGE_CURVE('',#5285,#5286,#2394,.T.); +#18925=EDGE_CURVE('',#5286,#5287,#2396,.T.); +#18926=EDGE_CURVE('',#5287,#5288,#2398,.T.); +#18927=EDGE_CURVE('',#5288,#5285,#2400,.T.); +#18928=EDGE_CURVE('',#5293,#5294,#2402,.T.); +#18929=EDGE_CURVE('',#5294,#5295,#2404,.T.); +#18930=EDGE_CURVE('',#5295,#5296,#2406,.T.); +#18931=EDGE_CURVE('',#5296,#5293,#2408,.T.); +#18932=EDGE_CURVE('',#5301,#5302,#2410,.T.); +#18933=EDGE_CURVE('',#5302,#5303,#2412,.T.); +#18934=EDGE_CURVE('',#5303,#5304,#2414,.T.); +#18935=EDGE_CURVE('',#5304,#5301,#2416,.T.); +#18936=EDGE_CURVE('',#5157,#5153,#2502,.T.); +#18937=EDGE_CURVE('',#5153,#5154,#2507,.T.); +#18938=EDGE_CURVE('',#5158,#5154,#2505,.T.); +#18939=EDGE_CURVE('',#5160,#5156,#2503,.T.); +#18940=EDGE_CURVE('',#5156,#5153,#2509,.T.); +#18941=EDGE_CURVE('',#5159,#5155,#2504,.T.); +#18942=EDGE_CURVE('',#5155,#5156,#2511,.T.); +#18943=EDGE_CURVE('',#5154,#5155,#2513,.T.); +#18944=EDGE_CURVE('',#5165,#5161,#2514,.T.); +#18945=EDGE_CURVE('',#5161,#5162,#2519,.T.); +#18946=EDGE_CURVE('',#5166,#5162,#2517,.T.); +#18947=EDGE_CURVE('',#5168,#5164,#2515,.T.); +#18948=EDGE_CURVE('',#5164,#5161,#2521,.T.); +#18949=EDGE_CURVE('',#5167,#5163,#2516,.T.); +#18950=EDGE_CURVE('',#5163,#5164,#2523,.T.); +#18951=EDGE_CURVE('',#5162,#5163,#2525,.T.); +#18952=EDGE_CURVE('',#5173,#5169,#2526,.T.); +#18953=EDGE_CURVE('',#5169,#5170,#2531,.T.); +#18954=EDGE_CURVE('',#5174,#5170,#2529,.T.); +#18955=EDGE_CURVE('',#5176,#5172,#2527,.T.); +#18956=EDGE_CURVE('',#5172,#5169,#2533,.T.); +#18957=EDGE_CURVE('',#5175,#5171,#2528,.T.); +#18958=EDGE_CURVE('',#5171,#5172,#2535,.T.); +#18959=EDGE_CURVE('',#5170,#5171,#2537,.T.); +#18960=EDGE_CURVE('',#5181,#5177,#2538,.T.); +#18961=EDGE_CURVE('',#5177,#5178,#2543,.T.); +#18962=EDGE_CURVE('',#5182,#5178,#2541,.T.); +#18963=EDGE_CURVE('',#5184,#5180,#2539,.T.); +#18964=EDGE_CURVE('',#5180,#5177,#2545,.T.); +#18965=EDGE_CURVE('',#5183,#5179,#2540,.T.); +#18966=EDGE_CURVE('',#5179,#5180,#2547,.T.); +#18967=EDGE_CURVE('',#5178,#5179,#2549,.T.); +#18968=EDGE_CURVE('',#5189,#5185,#2550,.T.); +#18969=EDGE_CURVE('',#5185,#5186,#2555,.T.); +#18970=EDGE_CURVE('',#5190,#5186,#2553,.T.); +#18971=EDGE_CURVE('',#5192,#5188,#2551,.T.); +#18972=EDGE_CURVE('',#5188,#5185,#2557,.T.); +#18973=EDGE_CURVE('',#5191,#5187,#2552,.T.); +#18974=EDGE_CURVE('',#5187,#5188,#2559,.T.); +#18975=EDGE_CURVE('',#5186,#5187,#2561,.T.); +#18976=EDGE_CURVE('',#5197,#5193,#2562,.T.); +#18977=EDGE_CURVE('',#5193,#5194,#2567,.T.); +#18978=EDGE_CURVE('',#5198,#5194,#2565,.T.); +#18979=EDGE_CURVE('',#5200,#5196,#2563,.T.); +#18980=EDGE_CURVE('',#5196,#5193,#2569,.T.); +#18981=EDGE_CURVE('',#5199,#5195,#2564,.T.); +#18982=EDGE_CURVE('',#5195,#5196,#2571,.T.); +#18983=EDGE_CURVE('',#5194,#5195,#2573,.T.); +#18984=EDGE_CURVE('',#5205,#5201,#2574,.T.); +#18985=EDGE_CURVE('',#5201,#5202,#2579,.T.); +#18986=EDGE_CURVE('',#5206,#5202,#2577,.T.); +#18987=EDGE_CURVE('',#5208,#5204,#2575,.T.); +#18988=EDGE_CURVE('',#5204,#5201,#2581,.T.); +#18989=EDGE_CURVE('',#5207,#5203,#2576,.T.); +#18990=EDGE_CURVE('',#5203,#5204,#2583,.T.); +#18991=EDGE_CURVE('',#5202,#5203,#2585,.T.); +#18992=EDGE_CURVE('',#5213,#5209,#2586,.T.); +#18993=EDGE_CURVE('',#5209,#5210,#2591,.T.); +#18994=EDGE_CURVE('',#5214,#5210,#2589,.T.); +#18995=EDGE_CURVE('',#5216,#5212,#2587,.T.); +#18996=EDGE_CURVE('',#5212,#5209,#2593,.T.); +#18997=EDGE_CURVE('',#5215,#5211,#2588,.T.); +#18998=EDGE_CURVE('',#5211,#5212,#2595,.T.); +#18999=EDGE_CURVE('',#5210,#5211,#2597,.T.); +#19000=EDGE_CURVE('',#5221,#5217,#2598,.T.); +#19001=EDGE_CURVE('',#5217,#5218,#2603,.T.); +#19002=EDGE_CURVE('',#5222,#5218,#2601,.T.); +#19003=EDGE_CURVE('',#5224,#5220,#2599,.T.); +#19004=EDGE_CURVE('',#5220,#5217,#2605,.T.); +#19005=EDGE_CURVE('',#5223,#5219,#2600,.T.); +#19006=EDGE_CURVE('',#5219,#5220,#2607,.T.); +#19007=EDGE_CURVE('',#5218,#5219,#2609,.T.); +#19008=EDGE_CURVE('',#5229,#5225,#2610,.T.); +#19009=EDGE_CURVE('',#5225,#5226,#2615,.T.); +#19010=EDGE_CURVE('',#5230,#5226,#2613,.T.); +#19011=EDGE_CURVE('',#5232,#5228,#2611,.T.); +#19012=EDGE_CURVE('',#5228,#5225,#2617,.T.); +#19013=EDGE_CURVE('',#5231,#5227,#2612,.T.); +#19014=EDGE_CURVE('',#5227,#5228,#2619,.T.); +#19015=EDGE_CURVE('',#5226,#5227,#2621,.T.); +#19016=EDGE_CURVE('',#5237,#5233,#2622,.T.); +#19017=EDGE_CURVE('',#5233,#5234,#2627,.T.); +#19018=EDGE_CURVE('',#5238,#5234,#2625,.T.); +#19019=EDGE_CURVE('',#5240,#5236,#2623,.T.); +#19020=EDGE_CURVE('',#5236,#5233,#2629,.T.); +#19021=EDGE_CURVE('',#5239,#5235,#2624,.T.); +#19022=EDGE_CURVE('',#5235,#5236,#2631,.T.); +#19023=EDGE_CURVE('',#5234,#5235,#2633,.T.); +#19024=EDGE_CURVE('',#5245,#5241,#2634,.T.); +#19025=EDGE_CURVE('',#5241,#5242,#2639,.T.); +#19026=EDGE_CURVE('',#5246,#5242,#2637,.T.); +#19027=EDGE_CURVE('',#5248,#5244,#2635,.T.); +#19028=EDGE_CURVE('',#5244,#5241,#2641,.T.); +#19029=EDGE_CURVE('',#5247,#5243,#2636,.T.); +#19030=EDGE_CURVE('',#5243,#5244,#2643,.T.); +#19031=EDGE_CURVE('',#5242,#5243,#2645,.T.); +#19032=EDGE_CURVE('',#5253,#5249,#2646,.T.); +#19033=EDGE_CURVE('',#5249,#5250,#2651,.T.); +#19034=EDGE_CURVE('',#5254,#5250,#2649,.T.); +#19035=EDGE_CURVE('',#5256,#5252,#2647,.T.); +#19036=EDGE_CURVE('',#5252,#5249,#2653,.T.); +#19037=EDGE_CURVE('',#5255,#5251,#2648,.T.); +#19038=EDGE_CURVE('',#5251,#5252,#2655,.T.); +#19039=EDGE_CURVE('',#5250,#5251,#2657,.T.); +#19040=EDGE_CURVE('',#5261,#5257,#2658,.T.); +#19041=EDGE_CURVE('',#5257,#5258,#2663,.T.); +#19042=EDGE_CURVE('',#5262,#5258,#2661,.T.); +#19043=EDGE_CURVE('',#5264,#5260,#2659,.T.); +#19044=EDGE_CURVE('',#5260,#5257,#2665,.T.); +#19045=EDGE_CURVE('',#5263,#5259,#2660,.T.); +#19046=EDGE_CURVE('',#5259,#5260,#2667,.T.); +#19047=EDGE_CURVE('',#5258,#5259,#2669,.T.); +#19048=EDGE_CURVE('',#5269,#5265,#2670,.T.); +#19049=EDGE_CURVE('',#5265,#5266,#2675,.T.); +#19050=EDGE_CURVE('',#5270,#5266,#2673,.T.); +#19051=EDGE_CURVE('',#5272,#5268,#2671,.T.); +#19052=EDGE_CURVE('',#5268,#5265,#2677,.T.); +#19053=EDGE_CURVE('',#5271,#5267,#2672,.T.); +#19054=EDGE_CURVE('',#5267,#5268,#2679,.T.); +#19055=EDGE_CURVE('',#5266,#5267,#2681,.T.); +#19056=EDGE_CURVE('',#5277,#5273,#2682,.T.); +#19057=EDGE_CURVE('',#5273,#5274,#2687,.T.); +#19058=EDGE_CURVE('',#5278,#5274,#2685,.T.); +#19059=EDGE_CURVE('',#5280,#5276,#2683,.T.); +#19060=EDGE_CURVE('',#5276,#5273,#2689,.T.); +#19061=EDGE_CURVE('',#5279,#5275,#2684,.T.); +#19062=EDGE_CURVE('',#5275,#5276,#2691,.T.); +#19063=EDGE_CURVE('',#5274,#5275,#2693,.T.); +#19064=EDGE_CURVE('',#5285,#5281,#2694,.T.); +#19065=EDGE_CURVE('',#5281,#5282,#2699,.T.); +#19066=EDGE_CURVE('',#5286,#5282,#2697,.T.); +#19067=EDGE_CURVE('',#5288,#5284,#2695,.T.); +#19068=EDGE_CURVE('',#5284,#5281,#2701,.T.); +#19069=EDGE_CURVE('',#5287,#5283,#2696,.T.); +#19070=EDGE_CURVE('',#5283,#5284,#2703,.T.); +#19071=EDGE_CURVE('',#5282,#5283,#2705,.T.); +#19072=EDGE_CURVE('',#5293,#5289,#2706,.T.); +#19073=EDGE_CURVE('',#5289,#5290,#2711,.T.); +#19074=EDGE_CURVE('',#5294,#5290,#2709,.T.); +#19075=EDGE_CURVE('',#5296,#5292,#2707,.T.); +#19076=EDGE_CURVE('',#5292,#5289,#2713,.T.); +#19077=EDGE_CURVE('',#5295,#5291,#2708,.T.); +#19078=EDGE_CURVE('',#5291,#5292,#2715,.T.); +#19079=EDGE_CURVE('',#5290,#5291,#2717,.T.); +#19080=EDGE_CURVE('',#5301,#5297,#2718,.T.); +#19081=EDGE_CURVE('',#5297,#5298,#2723,.T.); +#19082=EDGE_CURVE('',#5302,#5298,#2721,.T.); +#19083=EDGE_CURVE('',#5304,#5300,#2719,.T.); +#19084=EDGE_CURVE('',#5300,#5297,#2725,.T.); +#19085=EDGE_CURVE('',#5303,#5299,#2720,.T.); +#19086=EDGE_CURVE('',#5299,#5300,#2727,.T.); +#19087=EDGE_CURVE('',#5298,#5299,#2729,.T.); +#19088=EDGE_CURVE('',#5314,#5307,#2731,.T.); +#19089=EDGE_CURVE('',#5307,#5308,#2741,.T.); +#19090=EDGE_CURVE('',#5312,#5308,#2735,.T.); +#19091=EDGE_CURVE('',#5313,#5306,#2732,.T.); +#19092=EDGE_CURVE('',#5306,#5307,#2743,.T.); +#19093=EDGE_CURVE('',#5310,#5305,#2734,.T.); +#19094=EDGE_CURVE('',#5305,#5306,#2737,.T.); +#19095=EDGE_CURVE('',#5308,#5305,#2739,.T.); +#19096=EDGE_CURVE('',#5324,#5317,#2745,.T.); +#19097=EDGE_CURVE('',#5317,#5318,#2755,.T.); +#19098=EDGE_CURVE('',#5322,#5318,#2749,.T.); +#19099=EDGE_CURVE('',#5323,#5316,#2746,.T.); +#19100=EDGE_CURVE('',#5316,#5317,#2757,.T.); +#19101=EDGE_CURVE('',#5320,#5315,#2748,.T.); +#19102=EDGE_CURVE('',#5315,#5316,#2751,.T.); +#19103=EDGE_CURVE('',#5318,#5315,#2753,.T.); +#19104=EDGE_CURVE('',#5334,#5327,#2759,.T.); +#19105=EDGE_CURVE('',#5327,#5328,#2769,.T.); +#19106=EDGE_CURVE('',#5332,#5328,#2763,.T.); +#19107=EDGE_CURVE('',#5333,#5326,#2760,.T.); +#19108=EDGE_CURVE('',#5326,#5327,#2771,.T.); +#19109=EDGE_CURVE('',#5330,#5325,#2762,.T.); +#19110=EDGE_CURVE('',#5325,#5326,#2765,.T.); +#19111=EDGE_CURVE('',#5328,#5325,#2767,.T.); +#19112=EDGE_CURVE('',#5344,#5337,#2773,.T.); +#19113=EDGE_CURVE('',#5337,#5338,#2783,.T.); +#19114=EDGE_CURVE('',#5342,#5338,#2777,.T.); +#19115=EDGE_CURVE('',#5343,#5336,#2774,.T.); +#19116=EDGE_CURVE('',#5336,#5337,#2785,.T.); +#19117=EDGE_CURVE('',#5340,#5335,#2776,.T.); +#19118=EDGE_CURVE('',#5335,#5336,#2779,.T.); +#19119=EDGE_CURVE('',#5338,#5335,#2781,.T.); +#19120=EDGE_CURVE('',#5354,#5347,#2787,.T.); +#19121=EDGE_CURVE('',#5347,#5348,#2797,.T.); +#19122=EDGE_CURVE('',#5352,#5348,#2791,.T.); +#19123=EDGE_CURVE('',#5353,#5346,#2788,.T.); +#19124=EDGE_CURVE('',#5346,#5347,#2799,.T.); +#19125=EDGE_CURVE('',#5350,#5345,#2790,.T.); +#19126=EDGE_CURVE('',#5345,#5346,#2793,.T.); +#19127=EDGE_CURVE('',#5348,#5345,#2795,.T.); +#19128=EDGE_CURVE('',#5364,#5357,#2801,.T.); +#19129=EDGE_CURVE('',#5357,#5358,#2811,.T.); +#19130=EDGE_CURVE('',#5362,#5358,#2805,.T.); +#19131=EDGE_CURVE('',#5363,#5356,#2802,.T.); +#19132=EDGE_CURVE('',#5356,#5357,#2813,.T.); +#19133=EDGE_CURVE('',#5360,#5355,#2804,.T.); +#19134=EDGE_CURVE('',#5355,#5356,#2807,.T.); +#19135=EDGE_CURVE('',#5358,#5355,#2809,.T.); +#19136=EDGE_CURVE('',#5374,#5367,#2815,.T.); +#19137=EDGE_CURVE('',#5367,#5368,#2825,.T.); +#19138=EDGE_CURVE('',#5372,#5368,#2819,.T.); +#19139=EDGE_CURVE('',#5373,#5366,#2816,.T.); +#19140=EDGE_CURVE('',#5366,#5367,#2827,.T.); +#19141=EDGE_CURVE('',#5370,#5365,#2818,.T.); +#19142=EDGE_CURVE('',#5365,#5366,#2821,.T.); +#19143=EDGE_CURVE('',#5368,#5365,#2823,.T.); +#19144=EDGE_CURVE('',#5384,#5377,#2829,.T.); +#19145=EDGE_CURVE('',#5377,#5378,#2839,.T.); +#19146=EDGE_CURVE('',#5382,#5378,#2833,.T.); +#19147=EDGE_CURVE('',#5383,#5376,#2830,.T.); +#19148=EDGE_CURVE('',#5376,#5377,#2841,.T.); +#19149=EDGE_CURVE('',#5380,#5375,#2832,.T.); +#19150=EDGE_CURVE('',#5375,#5376,#2835,.T.); +#19151=EDGE_CURVE('',#5378,#5375,#2837,.T.); +#19152=EDGE_CURVE('',#5394,#5387,#2843,.T.); +#19153=EDGE_CURVE('',#5387,#5388,#2853,.T.); +#19154=EDGE_CURVE('',#5392,#5388,#2847,.T.); +#19155=EDGE_CURVE('',#5393,#5386,#2844,.T.); +#19156=EDGE_CURVE('',#5386,#5387,#2855,.T.); +#19157=EDGE_CURVE('',#5390,#5385,#2846,.T.); +#19158=EDGE_CURVE('',#5385,#5386,#2849,.T.); +#19159=EDGE_CURVE('',#5388,#5385,#2851,.T.); +#19160=EDGE_CURVE('',#5404,#5397,#2857,.T.); +#19161=EDGE_CURVE('',#5397,#5398,#2867,.T.); +#19162=EDGE_CURVE('',#5402,#5398,#2861,.T.); +#19163=EDGE_CURVE('',#5403,#5396,#2858,.T.); +#19164=EDGE_CURVE('',#5396,#5397,#2869,.T.); +#19165=EDGE_CURVE('',#5400,#5395,#2860,.T.); +#19166=EDGE_CURVE('',#5395,#5396,#2863,.T.); +#19167=EDGE_CURVE('',#5398,#5395,#2865,.T.); +#19168=EDGE_CURVE('',#5414,#5407,#2871,.T.); +#19169=EDGE_CURVE('',#5407,#5408,#2881,.T.); +#19170=EDGE_CURVE('',#5412,#5408,#2875,.T.); +#19171=EDGE_CURVE('',#5413,#5406,#2872,.T.); +#19172=EDGE_CURVE('',#5406,#5407,#2883,.T.); +#19173=EDGE_CURVE('',#5410,#5405,#2874,.T.); +#19174=EDGE_CURVE('',#5405,#5406,#2877,.T.); +#19175=EDGE_CURVE('',#5408,#5405,#2879,.T.); +#19176=EDGE_CURVE('',#5424,#5417,#2885,.T.); +#19177=EDGE_CURVE('',#5417,#5418,#2895,.T.); +#19178=EDGE_CURVE('',#5422,#5418,#2889,.T.); +#19179=EDGE_CURVE('',#5423,#5416,#2886,.T.); +#19180=EDGE_CURVE('',#5416,#5417,#2897,.T.); +#19181=EDGE_CURVE('',#5420,#5415,#2888,.T.); +#19182=EDGE_CURVE('',#5415,#5416,#2891,.T.); +#19183=EDGE_CURVE('',#5418,#5415,#2893,.T.); +#19184=EDGE_CURVE('',#5434,#5427,#2899,.T.); +#19185=EDGE_CURVE('',#5427,#5428,#2909,.T.); +#19186=EDGE_CURVE('',#5432,#5428,#2903,.T.); +#19187=EDGE_CURVE('',#5433,#5426,#2900,.T.); +#19188=EDGE_CURVE('',#5426,#5427,#2911,.T.); +#19189=EDGE_CURVE('',#5430,#5425,#2902,.T.); +#19190=EDGE_CURVE('',#5425,#5426,#2905,.T.); +#19191=EDGE_CURVE('',#5428,#5425,#2907,.T.); +#19192=EDGE_CURVE('',#5444,#5437,#2913,.T.); +#19193=EDGE_CURVE('',#5437,#5438,#2923,.T.); +#19194=EDGE_CURVE('',#5442,#5438,#2917,.T.); +#19195=EDGE_CURVE('',#5443,#5436,#2914,.T.); +#19196=EDGE_CURVE('',#5436,#5437,#2925,.T.); +#19197=EDGE_CURVE('',#5440,#5435,#2916,.T.); +#19198=EDGE_CURVE('',#5435,#5436,#2919,.T.); +#19199=EDGE_CURVE('',#5438,#5435,#2921,.T.); +#19200=EDGE_CURVE('',#5454,#5447,#2927,.T.); +#19201=EDGE_CURVE('',#5447,#5448,#2937,.T.); +#19202=EDGE_CURVE('',#5452,#5448,#2931,.T.); +#19203=EDGE_CURVE('',#5453,#5446,#2928,.T.); +#19204=EDGE_CURVE('',#5446,#5447,#2939,.T.); +#19205=EDGE_CURVE('',#5450,#5445,#2930,.T.); +#19206=EDGE_CURVE('',#5445,#5446,#2933,.T.); +#19207=EDGE_CURVE('',#5448,#5445,#2935,.T.); +#19208=EDGE_CURVE('',#5464,#5457,#2941,.T.); +#19209=EDGE_CURVE('',#5457,#5458,#2951,.T.); +#19210=EDGE_CURVE('',#5462,#5458,#2945,.T.); +#19211=EDGE_CURVE('',#5463,#5456,#2942,.T.); +#19212=EDGE_CURVE('',#5456,#5457,#2953,.T.); +#19213=EDGE_CURVE('',#5460,#5455,#2944,.T.); +#19214=EDGE_CURVE('',#5455,#5456,#2947,.T.); +#19215=EDGE_CURVE('',#5458,#5455,#2949,.T.); +#19216=EDGE_CURVE('',#5474,#5467,#2955,.T.); +#19217=EDGE_CURVE('',#5467,#5468,#2965,.T.); +#19218=EDGE_CURVE('',#5472,#5468,#2959,.T.); +#19219=EDGE_CURVE('',#5473,#5466,#2956,.T.); +#19220=EDGE_CURVE('',#5466,#5467,#2967,.T.); +#19221=EDGE_CURVE('',#5470,#5465,#2958,.T.); +#19222=EDGE_CURVE('',#5465,#5466,#2961,.T.); +#19223=EDGE_CURVE('',#5468,#5465,#2963,.T.); +#19224=EDGE_CURVE('',#5484,#5477,#2969,.T.); +#19225=EDGE_CURVE('',#5477,#5478,#2979,.T.); +#19226=EDGE_CURVE('',#5482,#5478,#2973,.T.); +#19227=EDGE_CURVE('',#5483,#5476,#2970,.T.); +#19228=EDGE_CURVE('',#5476,#5477,#2981,.T.); +#19229=EDGE_CURVE('',#5480,#5475,#2972,.T.); +#19230=EDGE_CURVE('',#5475,#5476,#2975,.T.); +#19231=EDGE_CURVE('',#5478,#5475,#2977,.T.); +#19232=EDGE_CURVE('',#5494,#5487,#2983,.T.); +#19233=EDGE_CURVE('',#5487,#5488,#2993,.T.); +#19234=EDGE_CURVE('',#5492,#5488,#2987,.T.); +#19235=EDGE_CURVE('',#5493,#5486,#2984,.T.); +#19236=EDGE_CURVE('',#5486,#5487,#2995,.T.); +#19237=EDGE_CURVE('',#5490,#5485,#2986,.T.); +#19238=EDGE_CURVE('',#5485,#5486,#2989,.T.); +#19239=EDGE_CURVE('',#5488,#5485,#2991,.T.); +#19240=EDGE_CURVE('',#5504,#5497,#2997,.T.); +#19241=EDGE_CURVE('',#5497,#5498,#3004,.T.); +#19242=EDGE_CURVE('',#5502,#5498,#3007,.T.); +#19243=EDGE_CURVE('',#5496,#5497,#3006,.T.); +#19244=EDGE_CURVE('',#5498,#5495,#3002,.T.); +#19245=EDGE_CURVE('',#4892,#4887,#3010,.T.); +#19246=EDGE_CURVE('',#4887,#4888,#3016,.T.); +#19247=EDGE_CURVE('',#4895,#4888,#3014,.T.); +#19248=EDGE_CURVE('',#4894,#4890,#3011,.T.); +#19249=EDGE_CURVE('',#4890,#4887,#3018,.T.); +#19250=EDGE_CURVE('',#4896,#4889,#3013,.T.); +#19251=EDGE_CURVE('',#4889,#4890,#3020,.T.); +#19252=EDGE_CURVE('',#4888,#4889,#3022,.T.); +#19253=EDGE_CURVE('',#4882,#4877,#3024,.T.); +#19254=EDGE_CURVE('',#4877,#4878,#3030,.T.); +#19255=EDGE_CURVE('',#4885,#4878,#3028,.T.); +#19256=EDGE_CURVE('',#4884,#4880,#3025,.T.); +#19257=EDGE_CURVE('',#4880,#4877,#3032,.T.); +#19258=EDGE_CURVE('',#4886,#4879,#3027,.T.); +#19259=EDGE_CURVE('',#4879,#4880,#3034,.T.); +#19260=EDGE_CURVE('',#4878,#4879,#3036,.T.); +#19261=EDGE_CURVE('',#4872,#4867,#3038,.T.); +#19262=EDGE_CURVE('',#4867,#4868,#3044,.T.); +#19263=EDGE_CURVE('',#4875,#4868,#3042,.T.); +#19264=EDGE_CURVE('',#4874,#4870,#3039,.T.); +#19265=EDGE_CURVE('',#4870,#4867,#3046,.T.); +#19266=EDGE_CURVE('',#4876,#4869,#3041,.T.); +#19267=EDGE_CURVE('',#4869,#4870,#3048,.T.); +#19268=EDGE_CURVE('',#4868,#4869,#3050,.T.); +#19269=EDGE_CURVE('',#4862,#4857,#3052,.T.); +#19270=EDGE_CURVE('',#4857,#4858,#3058,.T.); +#19271=EDGE_CURVE('',#4865,#4858,#3056,.T.); +#19272=EDGE_CURVE('',#4864,#4860,#3053,.T.); +#19273=EDGE_CURVE('',#4860,#4857,#3060,.T.); +#19274=EDGE_CURVE('',#4866,#4859,#3055,.T.); +#19275=EDGE_CURVE('',#4859,#4860,#3062,.T.); +#19276=EDGE_CURVE('',#4858,#4859,#3064,.T.); +#19277=EDGE_CURVE('',#4852,#4847,#3066,.T.); +#19278=EDGE_CURVE('',#4847,#4848,#3072,.T.); +#19279=EDGE_CURVE('',#4855,#4848,#3070,.T.); +#19280=EDGE_CURVE('',#4854,#4850,#3067,.T.); +#19281=EDGE_CURVE('',#4850,#4847,#3074,.T.); +#19282=EDGE_CURVE('',#4856,#4849,#3069,.T.); +#19283=EDGE_CURVE('',#4849,#4850,#3076,.T.); +#19284=EDGE_CURVE('',#4848,#4849,#3078,.T.); +#19285=EDGE_CURVE('',#4842,#4837,#3080,.T.); +#19286=EDGE_CURVE('',#4837,#4838,#3086,.T.); +#19287=EDGE_CURVE('',#4845,#4838,#3084,.T.); +#19288=EDGE_CURVE('',#4844,#4840,#3081,.T.); +#19289=EDGE_CURVE('',#4840,#4837,#3088,.T.); +#19290=EDGE_CURVE('',#4846,#4839,#3083,.T.); +#19291=EDGE_CURVE('',#4839,#4840,#3090,.T.); +#19292=EDGE_CURVE('',#4838,#4839,#3092,.T.); +#19293=EDGE_CURVE('',#4832,#4827,#3094,.T.); +#19294=EDGE_CURVE('',#4827,#4828,#3100,.T.); +#19295=EDGE_CURVE('',#4835,#4828,#3098,.T.); +#19296=EDGE_CURVE('',#4834,#4830,#3095,.T.); +#19297=EDGE_CURVE('',#4830,#4827,#3102,.T.); +#19298=EDGE_CURVE('',#4836,#4829,#3097,.T.); +#19299=EDGE_CURVE('',#4829,#4830,#3104,.T.); +#19300=EDGE_CURVE('',#4828,#4829,#3106,.T.); +#19301=EDGE_CURVE('',#4822,#4817,#3108,.T.); +#19302=EDGE_CURVE('',#4817,#4818,#3114,.T.); +#19303=EDGE_CURVE('',#4825,#4818,#3112,.T.); +#19304=EDGE_CURVE('',#4824,#4820,#3109,.T.); +#19305=EDGE_CURVE('',#4820,#4817,#3116,.T.); +#19306=EDGE_CURVE('',#4826,#4819,#3111,.T.); +#19307=EDGE_CURVE('',#4819,#4820,#3118,.T.); +#19308=EDGE_CURVE('',#4818,#4819,#3120,.T.); +#19309=EDGE_CURVE('',#4812,#4807,#3122,.T.); +#19310=EDGE_CURVE('',#4807,#4808,#3128,.T.); +#19311=EDGE_CURVE('',#4815,#4808,#3126,.T.); +#19312=EDGE_CURVE('',#4814,#4810,#3123,.T.); +#19313=EDGE_CURVE('',#4810,#4807,#3130,.T.); +#19314=EDGE_CURVE('',#4816,#4809,#3125,.T.); +#19315=EDGE_CURVE('',#4809,#4810,#3132,.T.); +#19316=EDGE_CURVE('',#4808,#4809,#3134,.T.); +#19317=EDGE_CURVE('',#4802,#4797,#3136,.T.); +#19318=EDGE_CURVE('',#4797,#4798,#3142,.T.); +#19319=EDGE_CURVE('',#4805,#4798,#3140,.T.); +#19320=EDGE_CURVE('',#4804,#4800,#3137,.T.); +#19321=EDGE_CURVE('',#4800,#4797,#3144,.T.); +#19322=EDGE_CURVE('',#4806,#4799,#3139,.T.); +#19323=EDGE_CURVE('',#4799,#4800,#3146,.T.); +#19324=EDGE_CURVE('',#4798,#4799,#3148,.T.); +#19325=EDGE_CURVE('',#4792,#4787,#3150,.T.); +#19326=EDGE_CURVE('',#4787,#4788,#3156,.T.); +#19327=EDGE_CURVE('',#4795,#4788,#3154,.T.); +#19328=EDGE_CURVE('',#4794,#4790,#3151,.T.); +#19329=EDGE_CURVE('',#4790,#4787,#3158,.T.); +#19330=EDGE_CURVE('',#4796,#4789,#3153,.T.); +#19331=EDGE_CURVE('',#4789,#4790,#3160,.T.); +#19332=EDGE_CURVE('',#4788,#4789,#3162,.T.); +#19333=EDGE_CURVE('',#4782,#4777,#3164,.T.); +#19334=EDGE_CURVE('',#4777,#4778,#3170,.T.); +#19335=EDGE_CURVE('',#4785,#4778,#3168,.T.); +#19336=EDGE_CURVE('',#4784,#4780,#3165,.T.); +#19337=EDGE_CURVE('',#4780,#4777,#3172,.T.); +#19338=EDGE_CURVE('',#4786,#4779,#3167,.T.); +#19339=EDGE_CURVE('',#4779,#4780,#3174,.T.); +#19340=EDGE_CURVE('',#4778,#4779,#3176,.T.); +#19341=EDGE_CURVE('',#4772,#4767,#3178,.T.); +#19342=EDGE_CURVE('',#4767,#4768,#3184,.T.); +#19343=EDGE_CURVE('',#4775,#4768,#3182,.T.); +#19344=EDGE_CURVE('',#4774,#4770,#3179,.T.); +#19345=EDGE_CURVE('',#4770,#4767,#3186,.T.); +#19346=EDGE_CURVE('',#4776,#4769,#3181,.T.); +#19347=EDGE_CURVE('',#4769,#4770,#3188,.T.); +#19348=EDGE_CURVE('',#4768,#4769,#3190,.T.); +#19349=EDGE_CURVE('',#4762,#4757,#3192,.T.); +#19350=EDGE_CURVE('',#4757,#4758,#3198,.T.); +#19351=EDGE_CURVE('',#4765,#4758,#3196,.T.); +#19352=EDGE_CURVE('',#4764,#4760,#3193,.T.); +#19353=EDGE_CURVE('',#4760,#4757,#3200,.T.); +#19354=EDGE_CURVE('',#4766,#4759,#3195,.T.); +#19355=EDGE_CURVE('',#4759,#4760,#3202,.T.); +#19356=EDGE_CURVE('',#4758,#4759,#3204,.T.); +#19357=EDGE_CURVE('',#4752,#4747,#3206,.T.); +#19358=EDGE_CURVE('',#4747,#4748,#3212,.T.); +#19359=EDGE_CURVE('',#4755,#4748,#3210,.T.); +#19360=EDGE_CURVE('',#4754,#4750,#3207,.T.); +#19361=EDGE_CURVE('',#4750,#4747,#3214,.T.); +#19362=EDGE_CURVE('',#4756,#4749,#3209,.T.); +#19363=EDGE_CURVE('',#4749,#4750,#3216,.T.); +#19364=EDGE_CURVE('',#4748,#4749,#3218,.T.); +#19365=EDGE_CURVE('',#4742,#4737,#3220,.T.); +#19366=EDGE_CURVE('',#4737,#4738,#3226,.T.); +#19367=EDGE_CURVE('',#4745,#4738,#3224,.T.); +#19368=EDGE_CURVE('',#4744,#4740,#3221,.T.); +#19369=EDGE_CURVE('',#4740,#4737,#3228,.T.); +#19370=EDGE_CURVE('',#4746,#4739,#3223,.T.); +#19371=EDGE_CURVE('',#4739,#4740,#3230,.T.); +#19372=EDGE_CURVE('',#4738,#4739,#3232,.T.); +#19373=EDGE_CURVE('',#4732,#4727,#3234,.T.); +#19374=EDGE_CURVE('',#4727,#4728,#3240,.T.); +#19375=EDGE_CURVE('',#4735,#4728,#3238,.T.); +#19376=EDGE_CURVE('',#4734,#4730,#3235,.T.); +#19377=EDGE_CURVE('',#4730,#4727,#3242,.T.); +#19378=EDGE_CURVE('',#4736,#4729,#3237,.T.); +#19379=EDGE_CURVE('',#4729,#4730,#3244,.T.); +#19380=EDGE_CURVE('',#4728,#4729,#3246,.T.); +#19381=EDGE_CURVE('',#4722,#4717,#3248,.T.); +#19382=EDGE_CURVE('',#4717,#4718,#3254,.T.); +#19383=EDGE_CURVE('',#4725,#4718,#3252,.T.); +#19384=EDGE_CURVE('',#4724,#4720,#3249,.T.); +#19385=EDGE_CURVE('',#4720,#4717,#3256,.T.); +#19386=EDGE_CURVE('',#4726,#4719,#3251,.T.); +#19387=EDGE_CURVE('',#4719,#4720,#3258,.T.); +#19388=EDGE_CURVE('',#4718,#4719,#3260,.T.); +#19389=EDGE_CURVE('',#4712,#4707,#3262,.T.); +#19390=EDGE_CURVE('',#4707,#4708,#3268,.T.); +#19391=EDGE_CURVE('',#4715,#4708,#3266,.T.); +#19392=EDGE_CURVE('',#4714,#4710,#3263,.T.); +#19393=EDGE_CURVE('',#4710,#4707,#3270,.T.); +#19394=EDGE_CURVE('',#4716,#4709,#3265,.T.); +#19395=EDGE_CURVE('',#4709,#4710,#3272,.T.); +#19396=EDGE_CURVE('',#4708,#4709,#3274,.T.); +#19397=EDGE_CURVE('',#4702,#4697,#3276,.T.); +#19398=EDGE_CURVE('',#4697,#4698,#3282,.T.); +#19399=EDGE_CURVE('',#4705,#4698,#3280,.T.); +#19400=EDGE_CURVE('',#4704,#4700,#3277,.T.); +#19401=EDGE_CURVE('',#4700,#4697,#3284,.T.); +#19402=EDGE_CURVE('',#4706,#4699,#3279,.T.); +#19403=EDGE_CURVE('',#4699,#4700,#3286,.T.); +#19404=EDGE_CURVE('',#4698,#4699,#3288,.T.); +#19405=EDGE_CURVE('',#4692,#4687,#3290,.T.); +#19406=EDGE_CURVE('',#4687,#4688,#3296,.T.); +#19407=EDGE_CURVE('',#4695,#4688,#3294,.T.); +#19408=EDGE_CURVE('',#4694,#4690,#3291,.T.); +#19409=EDGE_CURVE('',#4690,#4687,#3298,.T.); +#19410=EDGE_CURVE('',#4696,#4689,#3293,.T.); +#19411=EDGE_CURVE('',#4689,#4690,#3300,.T.); +#19412=EDGE_CURVE('',#4688,#4689,#3302,.T.); +#19413=EDGE_CURVE('',#4682,#4677,#3304,.T.); +#19414=EDGE_CURVE('',#4677,#4678,#3310,.T.); +#19415=EDGE_CURVE('',#4685,#4678,#3308,.T.); +#19416=EDGE_CURVE('',#4684,#4680,#3305,.T.); +#19417=EDGE_CURVE('',#4680,#4677,#3312,.T.); +#19418=EDGE_CURVE('',#4686,#4679,#3307,.T.); +#19419=EDGE_CURVE('',#4679,#4680,#3314,.T.); +#19420=EDGE_CURVE('',#4678,#4679,#3316,.T.); +#19421=EDGE_CURVE('',#5505,#5509,#3320,.T.); +#19422=EDGE_CURVE('',#5505,#5506,#3334,.T.); +#19423=EDGE_CURVE('',#5506,#5510,#3332,.T.); +#19424=EDGE_CURVE('',#5508,#5512,#3324,.T.); +#19425=EDGE_CURVE('',#5508,#5505,#3336,.T.); +#19426=EDGE_CURVE('',#5507,#5511,#3328,.T.); +#19427=EDGE_CURVE('',#5507,#5508,#3338,.T.); +#19428=EDGE_CURVE('',#5506,#5507,#3340,.T.); +#19429=EDGE_CURVE('',#5513,#5517,#3342,.T.); +#19430=EDGE_CURVE('',#5513,#5514,#3349,.T.); +#19431=EDGE_CURVE('',#5514,#5518,#3348,.T.); +#19432=EDGE_CURVE('',#5516,#5520,#3344,.T.); +#19433=EDGE_CURVE('',#5516,#5513,#3350,.T.); +#19434=EDGE_CURVE('',#5515,#5519,#3346,.T.); +#19435=EDGE_CURVE('',#5515,#5516,#3351,.T.); +#19436=EDGE_CURVE('',#5514,#5515,#3352,.T.); +#19437=EDGE_CURVE('',#5521,#5525,#3354,.T.); +#19438=EDGE_CURVE('',#5521,#5522,#3361,.T.); +#19439=EDGE_CURVE('',#5522,#5526,#3360,.T.); +#19440=EDGE_CURVE('',#5524,#5528,#3356,.T.); +#19441=EDGE_CURVE('',#5524,#5521,#3362,.T.); +#19442=EDGE_CURVE('',#5523,#5527,#3358,.T.); +#19443=EDGE_CURVE('',#5523,#5524,#3363,.T.); +#19444=EDGE_CURVE('',#5522,#5523,#3364,.T.); +#19445=EDGE_CURVE('',#5529,#5533,#3366,.T.); +#19446=EDGE_CURVE('',#5529,#5530,#3373,.T.); +#19447=EDGE_CURVE('',#5530,#5534,#3372,.T.); +#19448=EDGE_CURVE('',#5532,#5536,#3368,.T.); +#19449=EDGE_CURVE('',#5532,#5529,#3374,.T.); +#19450=EDGE_CURVE('',#5531,#5535,#3370,.T.); +#19451=EDGE_CURVE('',#5531,#5532,#3375,.T.); +#19452=EDGE_CURVE('',#5530,#5531,#3376,.T.); +#19453=EDGE_CURVE('',#5537,#5541,#3378,.T.); +#19454=EDGE_CURVE('',#5537,#5538,#3385,.T.); +#19455=EDGE_CURVE('',#5538,#5542,#3384,.T.); +#19456=EDGE_CURVE('',#5540,#5544,#3380,.T.); +#19457=EDGE_CURVE('',#5540,#5537,#3386,.T.); +#19458=EDGE_CURVE('',#5539,#5543,#3382,.T.); +#19459=EDGE_CURVE('',#5539,#5540,#3387,.T.); +#19460=EDGE_CURVE('',#5538,#5539,#3388,.T.); +#19461=EDGE_CURVE('',#5545,#5549,#3390,.T.); +#19462=EDGE_CURVE('',#5545,#5546,#3397,.T.); +#19463=EDGE_CURVE('',#5546,#5550,#3396,.T.); +#19464=EDGE_CURVE('',#5548,#5552,#3392,.T.); +#19465=EDGE_CURVE('',#5548,#5545,#3398,.T.); +#19466=EDGE_CURVE('',#5547,#5551,#3394,.T.); +#19467=EDGE_CURVE('',#5547,#5548,#3399,.T.); +#19468=EDGE_CURVE('',#5546,#5547,#3400,.T.); +#19469=EDGE_CURVE('',#5553,#5557,#3402,.T.); +#19470=EDGE_CURVE('',#5553,#5554,#3409,.T.); +#19471=EDGE_CURVE('',#5554,#5558,#3408,.T.); +#19472=EDGE_CURVE('',#5556,#5560,#3404,.T.); +#19473=EDGE_CURVE('',#5556,#5553,#3410,.T.); +#19474=EDGE_CURVE('',#5555,#5559,#3406,.T.); +#19475=EDGE_CURVE('',#5555,#5556,#3411,.T.); +#19476=EDGE_CURVE('',#5554,#5555,#3412,.T.); +#19477=EDGE_CURVE('',#5561,#5565,#3414,.T.); +#19478=EDGE_CURVE('',#5561,#5562,#3421,.T.); +#19479=EDGE_CURVE('',#5562,#5566,#3420,.T.); +#19480=EDGE_CURVE('',#5564,#5568,#3416,.T.); +#19481=EDGE_CURVE('',#5564,#5561,#3422,.T.); +#19482=EDGE_CURVE('',#5563,#5567,#3418,.T.); +#19483=EDGE_CURVE('',#5563,#5564,#3423,.T.); +#19484=EDGE_CURVE('',#5562,#5563,#3424,.T.); +#19485=EDGE_CURVE('',#5569,#5573,#3426,.T.); +#19486=EDGE_CURVE('',#5569,#5570,#3433,.T.); +#19487=EDGE_CURVE('',#5570,#5574,#3432,.T.); +#19488=EDGE_CURVE('',#5572,#5576,#3428,.T.); +#19489=EDGE_CURVE('',#5572,#5569,#3434,.T.); +#19490=EDGE_CURVE('',#5571,#5575,#3430,.T.); +#19491=EDGE_CURVE('',#5571,#5572,#3435,.T.); +#19492=EDGE_CURVE('',#5570,#5571,#3436,.T.); +#19493=EDGE_CURVE('',#5577,#5581,#3438,.T.); +#19494=EDGE_CURVE('',#5577,#5578,#3445,.T.); +#19495=EDGE_CURVE('',#5578,#5582,#3444,.T.); +#19496=EDGE_CURVE('',#5580,#5584,#3440,.T.); +#19497=EDGE_CURVE('',#5580,#5577,#3446,.T.); +#19498=EDGE_CURVE('',#5579,#5583,#3442,.T.); +#19499=EDGE_CURVE('',#5579,#5580,#3447,.T.); +#19500=EDGE_CURVE('',#5578,#5579,#3448,.T.); +#19501=EDGE_CURVE('',#5585,#5589,#3450,.T.); +#19502=EDGE_CURVE('',#5585,#5586,#3457,.T.); +#19503=EDGE_CURVE('',#5586,#5590,#3456,.T.); +#19504=EDGE_CURVE('',#5588,#5592,#3452,.T.); +#19505=EDGE_CURVE('',#5588,#5585,#3458,.T.); +#19506=EDGE_CURVE('',#5587,#5591,#3454,.T.); +#19507=EDGE_CURVE('',#5587,#5588,#3459,.T.); +#19508=EDGE_CURVE('',#5586,#5587,#3460,.T.); +#19509=EDGE_CURVE('',#5593,#5597,#3462,.T.); +#19510=EDGE_CURVE('',#5593,#5594,#3469,.T.); +#19511=EDGE_CURVE('',#5594,#5598,#3468,.T.); +#19512=EDGE_CURVE('',#5596,#5600,#3464,.T.); +#19513=EDGE_CURVE('',#5596,#5593,#3470,.T.); +#19514=EDGE_CURVE('',#5595,#5599,#3466,.T.); +#19515=EDGE_CURVE('',#5595,#5596,#3471,.T.); +#19516=EDGE_CURVE('',#5594,#5595,#3472,.T.); +#19517=EDGE_CURVE('',#5601,#5605,#3474,.T.); +#19518=EDGE_CURVE('',#5601,#5602,#3481,.T.); +#19519=EDGE_CURVE('',#5602,#5606,#3480,.T.); +#19520=EDGE_CURVE('',#5604,#5608,#3476,.T.); +#19521=EDGE_CURVE('',#5604,#5601,#3482,.T.); +#19522=EDGE_CURVE('',#5603,#5607,#3478,.T.); +#19523=EDGE_CURVE('',#5603,#5604,#3483,.T.); +#19524=EDGE_CURVE('',#5602,#5603,#3484,.T.); +#19525=EDGE_CURVE('',#5609,#5613,#3486,.T.); +#19526=EDGE_CURVE('',#5609,#5610,#3493,.T.); +#19527=EDGE_CURVE('',#5610,#5614,#3492,.T.); +#19528=EDGE_CURVE('',#5612,#5616,#3488,.T.); +#19529=EDGE_CURVE('',#5612,#5609,#3494,.T.); +#19530=EDGE_CURVE('',#5611,#5615,#3490,.T.); +#19531=EDGE_CURVE('',#5611,#5612,#3495,.T.); +#19532=EDGE_CURVE('',#5610,#5611,#3496,.T.); +#19533=EDGE_CURVE('',#5617,#5621,#3498,.T.); +#19534=EDGE_CURVE('',#5617,#5618,#3505,.T.); +#19535=EDGE_CURVE('',#5618,#5622,#3504,.T.); +#19536=EDGE_CURVE('',#5620,#5624,#3500,.T.); +#19537=EDGE_CURVE('',#5620,#5617,#3506,.T.); +#19538=EDGE_CURVE('',#5619,#5623,#3502,.T.); +#19539=EDGE_CURVE('',#5619,#5620,#3507,.T.); +#19540=EDGE_CURVE('',#5618,#5619,#3508,.T.); +#19541=EDGE_CURVE('',#5625,#5629,#3510,.T.); +#19542=EDGE_CURVE('',#5625,#5626,#3517,.T.); +#19543=EDGE_CURVE('',#5626,#5630,#3516,.T.); +#19544=EDGE_CURVE('',#5628,#5632,#3512,.T.); +#19545=EDGE_CURVE('',#5628,#5625,#3518,.T.); +#19546=EDGE_CURVE('',#5627,#5631,#3514,.T.); +#19547=EDGE_CURVE('',#5627,#5628,#3519,.T.); +#19548=EDGE_CURVE('',#5626,#5627,#3520,.T.); +#19549=EDGE_CURVE('',#5633,#5637,#3522,.T.); +#19550=EDGE_CURVE('',#5633,#5634,#3529,.T.); +#19551=EDGE_CURVE('',#5634,#5638,#3528,.T.); +#19552=EDGE_CURVE('',#5636,#5640,#3524,.T.); +#19553=EDGE_CURVE('',#5636,#5633,#3530,.T.); +#19554=EDGE_CURVE('',#5635,#5639,#3526,.T.); +#19555=EDGE_CURVE('',#5635,#5636,#3531,.T.); +#19556=EDGE_CURVE('',#5634,#5635,#3532,.T.); +#19557=EDGE_CURVE('',#5641,#5645,#3534,.T.); +#19558=EDGE_CURVE('',#5641,#5642,#3541,.T.); +#19559=EDGE_CURVE('',#5642,#5646,#3540,.T.); +#19560=EDGE_CURVE('',#5644,#5648,#3536,.T.); +#19561=EDGE_CURVE('',#5644,#5641,#3542,.T.); +#19562=EDGE_CURVE('',#5643,#5647,#3538,.T.); +#19563=EDGE_CURVE('',#5643,#5644,#3543,.T.); +#19564=EDGE_CURVE('',#5642,#5643,#3544,.T.); +#19565=EDGE_CURVE('',#5649,#5653,#3546,.T.); +#19566=EDGE_CURVE('',#5649,#5650,#3553,.T.); +#19567=EDGE_CURVE('',#5650,#5654,#3552,.T.); +#19568=EDGE_CURVE('',#5652,#5656,#3548,.T.); +#19569=EDGE_CURVE('',#5652,#5649,#3554,.T.); +#19570=EDGE_CURVE('',#5651,#5655,#3550,.T.); +#19571=EDGE_CURVE('',#5651,#5652,#3555,.T.); +#19572=EDGE_CURVE('',#5650,#5651,#3556,.T.); +#19573=EDGE_CURVE('',#5657,#5661,#3558,.T.); +#19574=EDGE_CURVE('',#5657,#5658,#3565,.T.); +#19575=EDGE_CURVE('',#5658,#5662,#3564,.T.); +#19576=EDGE_CURVE('',#5660,#5664,#3560,.T.); +#19577=EDGE_CURVE('',#5660,#5657,#3566,.T.); +#19578=EDGE_CURVE('',#5659,#5663,#3562,.T.); +#19579=EDGE_CURVE('',#5659,#5660,#3567,.T.); +#19580=EDGE_CURVE('',#5658,#5659,#3568,.T.); +#19581=EDGE_CURVE('',#5665,#5669,#3570,.T.); +#19582=EDGE_CURVE('',#5665,#5666,#3577,.T.); +#19583=EDGE_CURVE('',#5666,#5670,#3576,.T.); +#19584=EDGE_CURVE('',#5668,#5672,#3572,.T.); +#19585=EDGE_CURVE('',#5668,#5665,#3578,.T.); +#19586=EDGE_CURVE('',#5667,#5671,#3574,.T.); +#19587=EDGE_CURVE('',#5667,#5668,#3579,.T.); +#19588=EDGE_CURVE('',#5666,#5667,#3580,.T.); +#19589=EDGE_CURVE('',#5673,#5677,#3582,.T.); +#19590=EDGE_CURVE('',#5673,#5674,#3589,.T.); +#19591=EDGE_CURVE('',#5674,#5678,#3588,.T.); +#19592=EDGE_CURVE('',#5676,#5680,#3584,.T.); +#19593=EDGE_CURVE('',#5676,#5673,#3590,.T.); +#19594=EDGE_CURVE('',#5675,#5679,#3586,.T.); +#19595=EDGE_CURVE('',#5675,#5676,#3591,.T.); +#19596=EDGE_CURVE('',#5674,#5675,#3592,.T.); +#19597=EDGE_CURVE('',#5681,#5685,#3594,.T.); +#19598=EDGE_CURVE('',#5681,#5682,#3601,.T.); +#19599=EDGE_CURVE('',#5682,#5686,#3600,.T.); +#19600=EDGE_CURVE('',#5684,#5688,#3596,.T.); +#19601=EDGE_CURVE('',#5684,#5681,#3602,.T.); +#19602=EDGE_CURVE('',#5683,#5687,#3598,.T.); +#19603=EDGE_CURVE('',#5683,#5684,#3603,.T.); +#19604=EDGE_CURVE('',#5682,#5683,#3604,.T.); +#19605=EDGE_CURVE('',#5689,#5693,#3606,.T.); +#19606=EDGE_CURVE('',#5689,#5690,#3613,.T.); +#19607=EDGE_CURVE('',#5690,#5694,#3612,.T.); +#19608=EDGE_CURVE('',#5692,#5696,#3608,.T.); +#19609=EDGE_CURVE('',#5692,#5689,#3614,.T.); +#19610=EDGE_CURVE('',#5691,#5695,#3610,.T.); +#19611=EDGE_CURVE('',#5691,#5692,#3615,.T.); +#19612=EDGE_CURVE('',#5690,#5691,#3616,.T.); +#19613=EDGE_CURVE('',#5697,#5701,#3618,.T.); +#19614=EDGE_CURVE('',#5697,#5698,#3625,.T.); +#19615=EDGE_CURVE('',#5698,#5702,#3624,.T.); +#19616=EDGE_CURVE('',#5700,#5704,#3620,.T.); +#19617=EDGE_CURVE('',#5700,#5697,#3626,.T.); +#19618=EDGE_CURVE('',#5699,#5703,#3622,.T.); +#19619=EDGE_CURVE('',#5699,#5700,#3627,.T.); +#19620=EDGE_CURVE('',#5698,#5699,#3628,.T.); +#19621=EDGE_CURVE('',#5705,#5709,#3630,.T.); +#19622=EDGE_CURVE('',#5705,#5706,#3637,.T.); +#19623=EDGE_CURVE('',#5706,#5710,#3636,.T.); +#19624=EDGE_CURVE('',#5708,#5712,#3632,.T.); +#19625=EDGE_CURVE('',#5708,#5705,#3638,.T.); +#19626=EDGE_CURVE('',#5707,#5711,#3634,.T.); +#19627=EDGE_CURVE('',#5707,#5708,#3639,.T.); +#19628=EDGE_CURVE('',#5706,#5707,#3640,.T.); +#19629=EDGE_CURVE('',#5713,#5717,#3642,.T.); +#19630=EDGE_CURVE('',#5713,#5714,#3649,.T.); +#19631=EDGE_CURVE('',#5714,#5718,#3648,.T.); +#19632=EDGE_CURVE('',#5716,#5720,#3644,.T.); +#19633=EDGE_CURVE('',#5716,#5713,#3650,.T.); +#19634=EDGE_CURVE('',#5715,#5719,#3646,.T.); +#19635=EDGE_CURVE('',#5715,#5716,#3651,.T.); +#19636=EDGE_CURVE('',#5714,#5715,#3652,.T.); +#19637=EDGE_CURVE('',#5721,#5725,#3654,.T.); +#19638=EDGE_CURVE('',#5721,#5722,#3661,.T.); +#19639=EDGE_CURVE('',#5722,#5726,#3660,.T.); +#19640=EDGE_CURVE('',#5724,#5728,#3656,.T.); +#19641=EDGE_CURVE('',#5724,#5721,#3662,.T.); +#19642=EDGE_CURVE('',#5723,#5727,#3658,.T.); +#19643=EDGE_CURVE('',#5723,#5724,#3663,.T.); +#19644=EDGE_CURVE('',#5722,#5723,#3664,.T.); +#19645=EDGE_CURVE('',#5729,#5733,#3666,.T.); +#19646=EDGE_CURVE('',#5729,#5730,#3673,.T.); +#19647=EDGE_CURVE('',#5730,#5734,#3672,.T.); +#19648=EDGE_CURVE('',#5732,#5736,#3668,.T.); +#19649=EDGE_CURVE('',#5732,#5729,#3674,.T.); +#19650=EDGE_CURVE('',#5731,#5735,#3670,.T.); +#19651=EDGE_CURVE('',#5731,#5732,#3675,.T.); +#19652=EDGE_CURVE('',#5730,#5731,#3676,.T.); +#19653=EDGE_CURVE('',#5737,#5741,#3678,.T.); +#19654=EDGE_CURVE('',#5737,#5738,#3685,.T.); +#19655=EDGE_CURVE('',#5738,#5742,#3684,.T.); +#19656=EDGE_CURVE('',#5740,#5744,#3680,.T.); +#19657=EDGE_CURVE('',#5740,#5737,#3686,.T.); +#19658=EDGE_CURVE('',#5739,#5743,#3682,.T.); +#19659=EDGE_CURVE('',#5739,#5740,#3687,.T.); +#19660=EDGE_CURVE('',#5738,#5739,#3688,.T.); +#19661=EDGE_CURVE('',#5745,#5749,#3690,.T.); +#19662=EDGE_CURVE('',#5745,#5746,#3697,.T.); +#19663=EDGE_CURVE('',#5746,#5750,#3696,.T.); +#19664=EDGE_CURVE('',#5748,#5752,#3692,.T.); +#19665=EDGE_CURVE('',#5748,#5745,#3698,.T.); +#19666=EDGE_CURVE('',#5747,#5751,#3694,.T.); +#19667=EDGE_CURVE('',#5747,#5748,#3699,.T.); +#19668=EDGE_CURVE('',#5746,#5747,#3700,.T.); +#19669=EDGE_CURVE('',#5753,#5757,#3702,.T.); +#19670=EDGE_CURVE('',#5753,#5754,#3709,.T.); +#19671=EDGE_CURVE('',#5754,#5758,#3708,.T.); +#19672=EDGE_CURVE('',#5756,#5760,#3704,.T.); +#19673=EDGE_CURVE('',#5756,#5753,#3710,.T.); +#19674=EDGE_CURVE('',#5755,#5759,#3706,.T.); +#19675=EDGE_CURVE('',#5755,#5756,#3711,.T.); +#19676=EDGE_CURVE('',#5754,#5755,#3712,.T.); +#19677=EDGE_CURVE('',#5761,#5765,#3714,.T.); +#19678=EDGE_CURVE('',#5761,#5762,#3721,.T.); +#19679=EDGE_CURVE('',#5762,#5766,#3720,.T.); +#19680=EDGE_CURVE('',#5764,#5768,#3716,.T.); +#19681=EDGE_CURVE('',#5764,#5761,#3722,.T.); +#19682=EDGE_CURVE('',#5763,#5767,#3718,.T.); +#19683=EDGE_CURVE('',#5763,#5764,#3723,.T.); +#19684=EDGE_CURVE('',#5762,#5763,#3724,.T.); +#19685=EDGE_CURVE('',#5769,#5773,#3726,.T.); +#19686=EDGE_CURVE('',#5769,#5770,#3733,.T.); +#19687=EDGE_CURVE('',#5770,#5774,#3732,.T.); +#19688=EDGE_CURVE('',#5772,#5776,#3728,.T.); +#19689=EDGE_CURVE('',#5772,#5769,#3734,.T.); +#19690=EDGE_CURVE('',#5771,#5775,#3730,.T.); +#19691=EDGE_CURVE('',#5771,#5772,#3735,.T.); +#19692=EDGE_CURVE('',#5770,#5771,#3736,.T.); +#19693=EDGE_CURVE('',#5777,#5781,#3738,.T.); +#19694=EDGE_CURVE('',#5777,#5778,#3745,.T.); +#19695=EDGE_CURVE('',#5778,#5782,#3744,.T.); +#19696=EDGE_CURVE('',#5780,#5784,#3740,.T.); +#19697=EDGE_CURVE('',#5780,#5777,#3746,.T.); +#19698=EDGE_CURVE('',#5779,#5783,#3742,.T.); +#19699=EDGE_CURVE('',#5779,#5780,#3747,.T.); +#19700=EDGE_CURVE('',#5778,#5779,#3748,.T.); +#19701=EDGE_CURVE('',#5785,#5789,#3750,.T.); +#19702=EDGE_CURVE('',#5785,#5786,#3757,.T.); +#19703=EDGE_CURVE('',#5786,#5790,#3756,.T.); +#19704=EDGE_CURVE('',#5788,#5792,#3752,.T.); +#19705=EDGE_CURVE('',#5788,#5785,#3758,.T.); +#19706=EDGE_CURVE('',#5787,#5791,#3754,.T.); +#19707=EDGE_CURVE('',#5787,#5788,#3759,.T.); +#19708=EDGE_CURVE('',#5786,#5787,#3760,.T.); +#19709=EDGE_CURVE('',#5793,#5797,#3762,.T.); +#19710=EDGE_CURVE('',#5793,#5794,#3769,.T.); +#19711=EDGE_CURVE('',#5794,#5798,#3768,.T.); +#19712=EDGE_CURVE('',#5796,#5800,#3764,.T.); +#19713=EDGE_CURVE('',#5796,#5793,#3770,.T.); +#19714=EDGE_CURVE('',#5795,#5799,#3766,.T.); +#19715=EDGE_CURVE('',#5795,#5796,#3771,.T.); +#19716=EDGE_CURVE('',#5794,#5795,#3772,.T.); +#19717=EDGE_CURVE('',#5801,#5805,#3774,.T.); +#19718=EDGE_CURVE('',#5801,#5802,#3781,.T.); +#19719=EDGE_CURVE('',#5802,#5806,#3780,.T.); +#19720=EDGE_CURVE('',#5804,#5808,#3776,.T.); +#19721=EDGE_CURVE('',#5804,#5801,#3782,.T.); +#19722=EDGE_CURVE('',#5803,#5807,#3778,.T.); +#19723=EDGE_CURVE('',#5803,#5804,#3783,.T.); +#19724=EDGE_CURVE('',#5802,#5803,#3784,.T.); +#19725=EDGE_CURVE('',#5809,#5813,#3786,.T.); +#19726=EDGE_CURVE('',#5809,#5810,#3793,.T.); +#19727=EDGE_CURVE('',#5810,#5814,#3792,.T.); +#19728=EDGE_CURVE('',#5812,#5816,#3788,.T.); +#19729=EDGE_CURVE('',#5812,#5809,#3794,.T.); +#19730=EDGE_CURVE('',#5811,#5815,#3790,.T.); +#19731=EDGE_CURVE('',#5811,#5812,#3795,.T.); +#19732=EDGE_CURVE('',#5810,#5811,#3796,.T.); +#19733=EDGE_CURVE('',#5817,#5821,#3798,.T.); +#19734=EDGE_CURVE('',#5817,#5818,#3805,.T.); +#19735=EDGE_CURVE('',#5818,#5822,#3804,.T.); +#19736=EDGE_CURVE('',#5820,#5824,#3800,.T.); +#19737=EDGE_CURVE('',#5820,#5817,#3806,.T.); +#19738=EDGE_CURVE('',#5819,#5823,#3802,.T.); +#19739=EDGE_CURVE('',#5819,#5820,#3807,.T.); +#19740=EDGE_CURVE('',#5818,#5819,#3808,.T.); +#19741=EDGE_CURVE('',#5825,#5829,#3810,.T.); +#19742=EDGE_CURVE('',#5825,#5826,#3817,.T.); +#19743=EDGE_CURVE('',#5826,#5830,#3816,.T.); +#19744=EDGE_CURVE('',#5828,#5832,#3812,.T.); +#19745=EDGE_CURVE('',#5828,#5825,#3818,.T.); +#19746=EDGE_CURVE('',#5827,#5831,#3814,.T.); +#19747=EDGE_CURVE('',#5827,#5828,#3819,.T.); +#19748=EDGE_CURVE('',#5826,#5827,#3820,.T.); +#19749=EDGE_CURVE('',#5833,#5837,#3822,.T.); +#19750=EDGE_CURVE('',#5833,#5834,#3829,.T.); +#19751=EDGE_CURVE('',#5834,#5838,#3828,.T.); +#19752=EDGE_CURVE('',#5836,#5840,#3824,.T.); +#19753=EDGE_CURVE('',#5836,#5833,#3830,.T.); +#19754=EDGE_CURVE('',#5835,#5839,#3826,.T.); +#19755=EDGE_CURVE('',#5835,#5836,#3831,.T.); +#19756=EDGE_CURVE('',#5834,#5835,#3832,.T.); +#19757=EDGE_CURVE('',#5841,#5845,#3834,.T.); +#19758=EDGE_CURVE('',#5841,#5842,#3841,.T.); +#19759=EDGE_CURVE('',#5842,#5846,#3840,.T.); +#19760=EDGE_CURVE('',#5844,#5848,#3836,.T.); +#19761=EDGE_CURVE('',#5844,#5841,#3842,.T.); +#19762=EDGE_CURVE('',#5843,#5847,#3838,.T.); +#19763=EDGE_CURVE('',#5843,#5844,#3843,.T.); +#19764=EDGE_CURVE('',#5842,#5843,#3844,.T.); +#19765=EDGE_CURVE('',#5849,#5853,#3846,.T.); +#19766=EDGE_CURVE('',#5849,#5850,#3853,.T.); +#19767=EDGE_CURVE('',#5850,#5854,#3852,.T.); +#19768=EDGE_CURVE('',#5852,#5856,#3848,.T.); +#19769=EDGE_CURVE('',#5852,#5849,#3854,.T.); +#19770=EDGE_CURVE('',#5851,#5855,#3850,.T.); +#19771=EDGE_CURVE('',#5851,#5852,#3855,.T.); +#19772=EDGE_CURVE('',#5850,#5851,#3856,.T.); +#19773=EDGE_CURVE('',#5857,#5861,#3858,.T.); +#19774=EDGE_CURVE('',#5857,#5858,#3865,.T.); +#19775=EDGE_CURVE('',#5858,#5862,#3864,.T.); +#19776=EDGE_CURVE('',#5860,#5864,#3860,.T.); +#19777=EDGE_CURVE('',#5860,#5857,#3866,.T.); +#19778=EDGE_CURVE('',#5859,#5863,#3862,.T.); +#19779=EDGE_CURVE('',#5859,#5860,#3867,.T.); +#19780=EDGE_CURVE('',#5858,#5859,#3868,.T.); +#19781=EDGE_CURVE('',#5865,#5869,#3870,.T.); +#19782=EDGE_CURVE('',#5865,#5866,#3877,.T.); +#19783=EDGE_CURVE('',#5866,#5870,#3876,.T.); +#19784=EDGE_CURVE('',#5868,#5872,#3872,.T.); +#19785=EDGE_CURVE('',#5868,#5865,#3878,.T.); +#19786=EDGE_CURVE('',#5867,#5871,#3874,.T.); +#19787=EDGE_CURVE('',#5867,#5868,#3879,.T.); +#19788=EDGE_CURVE('',#5866,#5867,#3880,.T.); +#19789=EDGE_CURVE('',#5873,#5877,#3882,.T.); +#19790=EDGE_CURVE('',#5873,#5874,#3889,.T.); +#19791=EDGE_CURVE('',#5874,#5878,#3888,.T.); +#19792=EDGE_CURVE('',#5876,#5880,#3884,.T.); +#19793=EDGE_CURVE('',#5876,#5873,#3890,.T.); +#19794=EDGE_CURVE('',#5875,#5879,#3886,.T.); +#19795=EDGE_CURVE('',#5875,#5876,#3891,.T.); +#19796=EDGE_CURVE('',#5874,#5875,#3892,.T.); +#19797=EDGE_CURVE('',#5881,#5885,#3894,.T.); +#19798=EDGE_CURVE('',#5881,#5882,#3901,.T.); +#19799=EDGE_CURVE('',#5882,#5886,#3900,.T.); +#19800=EDGE_CURVE('',#5884,#5888,#3896,.T.); +#19801=EDGE_CURVE('',#5884,#5881,#3902,.T.); +#19802=EDGE_CURVE('',#5883,#5887,#3898,.T.); +#19803=EDGE_CURVE('',#5883,#5884,#3903,.T.); +#19804=EDGE_CURVE('',#5882,#5883,#3904,.T.); +#19805=EDGE_CURVE('',#5889,#5893,#3906,.T.); +#19806=EDGE_CURVE('',#5889,#5890,#3913,.T.); +#19807=EDGE_CURVE('',#5890,#5894,#3912,.T.); +#19808=EDGE_CURVE('',#5892,#5896,#3908,.T.); +#19809=EDGE_CURVE('',#5892,#5889,#3914,.T.); +#19810=EDGE_CURVE('',#5891,#5895,#3910,.T.); +#19811=EDGE_CURVE('',#5891,#5892,#3915,.T.); +#19812=EDGE_CURVE('',#5890,#5891,#3916,.T.); +#19813=EDGE_CURVE('',#5897,#5901,#3918,.T.); +#19814=EDGE_CURVE('',#5897,#5898,#3925,.T.); +#19815=EDGE_CURVE('',#5898,#5902,#3924,.T.); +#19816=EDGE_CURVE('',#5900,#5904,#3920,.T.); +#19817=EDGE_CURVE('',#5900,#5897,#3926,.T.); +#19818=EDGE_CURVE('',#5899,#5903,#3922,.T.); +#19819=EDGE_CURVE('',#5899,#5900,#3927,.T.); +#19820=EDGE_CURVE('',#5898,#5899,#3928,.T.); +#19821=EDGE_CURVE('',#5905,#5909,#3930,.T.); +#19822=EDGE_CURVE('',#5905,#5906,#3937,.T.); +#19823=EDGE_CURVE('',#5906,#5910,#3936,.T.); +#19824=EDGE_CURVE('',#5908,#5912,#3932,.T.); +#19825=EDGE_CURVE('',#5908,#5905,#3938,.T.); +#19826=EDGE_CURVE('',#5907,#5911,#3934,.T.); +#19827=EDGE_CURVE('',#5907,#5908,#3939,.T.); +#19828=EDGE_CURVE('',#5906,#5907,#3940,.T.); +#19829=EDGE_CURVE('',#5913,#5917,#3942,.T.); +#19830=EDGE_CURVE('',#5913,#5914,#3949,.T.); +#19831=EDGE_CURVE('',#5914,#5918,#3948,.T.); +#19832=EDGE_CURVE('',#5916,#5920,#3944,.T.); +#19833=EDGE_CURVE('',#5916,#5913,#3950,.T.); +#19834=EDGE_CURVE('',#5915,#5919,#3946,.T.); +#19835=EDGE_CURVE('',#5915,#5916,#3951,.T.); +#19836=EDGE_CURVE('',#5914,#5915,#3952,.T.); +#19837=EDGE_CURVE('',#5921,#5925,#3954,.T.); +#19838=EDGE_CURVE('',#5921,#5922,#3961,.T.); +#19839=EDGE_CURVE('',#5922,#5926,#3960,.T.); +#19840=EDGE_CURVE('',#5924,#5928,#3956,.T.); +#19841=EDGE_CURVE('',#5924,#5921,#3962,.T.); +#19842=EDGE_CURVE('',#5923,#5927,#3958,.T.); +#19843=EDGE_CURVE('',#5923,#5924,#3963,.T.); +#19844=EDGE_CURVE('',#5922,#5923,#3964,.T.); +#19845=EDGE_CURVE('',#5929,#5933,#3966,.T.); +#19846=EDGE_CURVE('',#5929,#5930,#3973,.T.); +#19847=EDGE_CURVE('',#5930,#5934,#3972,.T.); +#19848=EDGE_CURVE('',#5932,#5936,#3968,.T.); +#19849=EDGE_CURVE('',#5932,#5929,#3974,.T.); +#19850=EDGE_CURVE('',#5931,#5935,#3970,.T.); +#19851=EDGE_CURVE('',#5931,#5932,#3975,.T.); +#19852=EDGE_CURVE('',#5930,#5931,#3976,.T.); +#19853=EDGE_CURVE('',#5937,#5941,#3978,.T.); +#19854=EDGE_CURVE('',#5937,#5938,#3985,.T.); +#19855=EDGE_CURVE('',#5938,#5942,#3984,.T.); +#19856=EDGE_CURVE('',#5940,#5944,#3980,.T.); +#19857=EDGE_CURVE('',#5940,#5937,#3986,.T.); +#19858=EDGE_CURVE('',#5939,#5943,#3982,.T.); +#19859=EDGE_CURVE('',#5939,#5940,#3987,.T.); +#19860=EDGE_CURVE('',#5938,#5939,#3988,.T.); +#19861=EDGE_CURVE('',#5945,#5949,#3990,.T.); +#19862=EDGE_CURVE('',#5945,#5946,#3997,.T.); +#19863=EDGE_CURVE('',#5946,#5950,#3996,.T.); +#19864=EDGE_CURVE('',#5948,#5952,#3992,.T.); +#19865=EDGE_CURVE('',#5948,#5945,#3998,.T.); +#19866=EDGE_CURVE('',#5947,#5951,#3994,.T.); +#19867=EDGE_CURVE('',#5947,#5948,#3999,.T.); +#19868=EDGE_CURVE('',#5946,#5947,#4000,.T.); +#19869=EDGE_CURVE('',#5953,#5957,#4002,.T.); +#19870=EDGE_CURVE('',#5953,#5954,#4009,.T.); +#19871=EDGE_CURVE('',#5954,#5958,#4008,.T.); +#19872=EDGE_CURVE('',#5956,#5960,#4004,.T.); +#19873=EDGE_CURVE('',#5956,#5953,#4010,.T.); +#19874=EDGE_CURVE('',#5955,#5959,#4006,.T.); +#19875=EDGE_CURVE('',#5955,#5956,#4011,.T.); +#19876=EDGE_CURVE('',#5954,#5955,#4012,.T.); +#19877=EDGE_CURVE('',#5961,#5965,#4014,.T.); +#19878=EDGE_CURVE('',#5961,#5962,#4021,.T.); +#19879=EDGE_CURVE('',#5962,#5966,#4020,.T.); +#19880=EDGE_CURVE('',#5964,#5968,#4016,.T.); +#19881=EDGE_CURVE('',#5964,#5961,#4022,.T.); +#19882=EDGE_CURVE('',#5963,#5967,#4018,.T.); +#19883=EDGE_CURVE('',#5963,#5964,#4023,.T.); +#19884=EDGE_CURVE('',#5962,#5963,#4024,.T.); +#19885=EDGE_CURVE('',#5969,#5973,#4026,.T.); +#19886=EDGE_CURVE('',#5969,#5970,#4033,.T.); +#19887=EDGE_CURVE('',#5970,#5974,#4032,.T.); +#19888=EDGE_CURVE('',#5972,#5976,#4028,.T.); +#19889=EDGE_CURVE('',#5972,#5969,#4034,.T.); +#19890=EDGE_CURVE('',#5971,#5975,#4030,.T.); +#19891=EDGE_CURVE('',#5971,#5972,#4035,.T.); +#19892=EDGE_CURVE('',#5970,#5971,#4036,.T.); +#19893=EDGE_CURVE('',#5977,#5981,#4038,.T.); +#19894=EDGE_CURVE('',#5977,#5978,#4045,.T.); +#19895=EDGE_CURVE('',#5978,#5982,#4044,.T.); +#19896=EDGE_CURVE('',#5980,#5984,#4040,.T.); +#19897=EDGE_CURVE('',#5980,#5977,#4046,.T.); +#19898=EDGE_CURVE('',#5979,#5983,#4042,.T.); +#19899=EDGE_CURVE('',#5979,#5980,#4047,.T.); +#19900=EDGE_CURVE('',#5978,#5979,#4048,.T.); +#19901=EDGE_CURVE('',#5985,#5989,#4050,.T.); +#19902=EDGE_CURVE('',#5985,#5986,#4057,.T.); +#19903=EDGE_CURVE('',#5986,#5990,#4056,.T.); +#19904=EDGE_CURVE('',#5988,#5992,#4052,.T.); +#19905=EDGE_CURVE('',#5988,#5985,#4058,.T.); +#19906=EDGE_CURVE('',#5987,#5991,#4054,.T.); +#19907=EDGE_CURVE('',#5987,#5988,#4059,.T.); +#19908=EDGE_CURVE('',#5986,#5987,#4060,.T.); +#19909=EDGE_CURVE('',#5993,#5997,#4062,.T.); +#19910=EDGE_CURVE('',#5993,#5994,#4069,.T.); +#19911=EDGE_CURVE('',#5994,#5998,#4068,.T.); +#19912=EDGE_CURVE('',#5996,#6000,#4064,.T.); +#19913=EDGE_CURVE('',#5996,#5993,#4070,.T.); +#19914=EDGE_CURVE('',#5995,#5999,#4066,.T.); +#19915=EDGE_CURVE('',#5995,#5996,#4071,.T.); +#19916=EDGE_CURVE('',#5994,#5995,#4072,.T.); +#19917=EDGE_CURVE('',#6001,#6005,#4074,.T.); +#19918=EDGE_CURVE('',#6001,#6002,#4081,.T.); +#19919=EDGE_CURVE('',#6002,#6006,#4080,.T.); +#19920=EDGE_CURVE('',#6004,#6008,#4076,.T.); +#19921=EDGE_CURVE('',#6004,#6001,#4082,.T.); +#19922=EDGE_CURVE('',#6003,#6007,#4078,.T.); +#19923=EDGE_CURVE('',#6003,#6004,#4083,.T.); +#19924=EDGE_CURVE('',#6002,#6003,#4084,.T.); +#19925=EDGE_CURVE('',#6009,#6013,#4086,.T.); +#19926=EDGE_CURVE('',#6009,#6010,#4093,.T.); +#19927=EDGE_CURVE('',#6010,#6014,#4092,.T.); +#19928=EDGE_CURVE('',#6012,#6016,#4088,.T.); +#19929=EDGE_CURVE('',#6012,#6009,#4094,.T.); +#19930=EDGE_CURVE('',#6011,#6015,#4090,.T.); +#19931=EDGE_CURVE('',#6011,#6012,#4095,.T.); +#19932=EDGE_CURVE('',#6010,#6011,#4096,.T.); +#19933=EDGE_CURVE('',#6017,#6021,#4098,.T.); +#19934=EDGE_CURVE('',#6017,#6018,#4105,.T.); +#19935=EDGE_CURVE('',#6018,#6022,#4104,.T.); +#19936=EDGE_CURVE('',#6020,#6024,#4100,.T.); +#19937=EDGE_CURVE('',#6020,#6017,#4106,.T.); +#19938=EDGE_CURVE('',#6019,#6023,#4102,.T.); +#19939=EDGE_CURVE('',#6019,#6020,#4107,.T.); +#19940=EDGE_CURVE('',#6018,#6019,#4108,.T.); +#19941=EDGE_CURVE('',#6025,#6029,#4110,.T.); +#19942=EDGE_CURVE('',#6025,#6026,#4117,.T.); +#19943=EDGE_CURVE('',#6026,#6030,#4116,.T.); +#19944=EDGE_CURVE('',#6028,#6032,#4112,.T.); +#19945=EDGE_CURVE('',#6028,#6025,#4118,.T.); +#19946=EDGE_CURVE('',#6027,#6031,#4114,.T.); +#19947=EDGE_CURVE('',#6027,#6028,#4119,.T.); +#19948=EDGE_CURVE('',#6026,#6027,#4120,.T.); +#19949=EDGE_CURVE('',#6033,#6037,#4122,.T.); +#19950=EDGE_CURVE('',#6033,#6034,#4129,.T.); +#19951=EDGE_CURVE('',#6034,#6038,#4128,.T.); +#19952=EDGE_CURVE('',#6036,#6040,#4124,.T.); +#19953=EDGE_CURVE('',#6036,#6033,#4130,.T.); +#19954=EDGE_CURVE('',#6035,#6039,#4126,.T.); +#19955=EDGE_CURVE('',#6035,#6036,#4131,.T.); +#19956=EDGE_CURVE('',#6034,#6035,#4132,.T.); +#19957=EDGE_CURVE('',#6041,#6045,#4134,.T.); +#19958=EDGE_CURVE('',#6041,#6042,#4141,.T.); +#19959=EDGE_CURVE('',#6042,#6046,#4140,.T.); +#19960=EDGE_CURVE('',#6044,#6048,#4136,.T.); +#19961=EDGE_CURVE('',#6044,#6041,#4142,.T.); +#19962=EDGE_CURVE('',#6043,#6047,#4138,.T.); +#19963=EDGE_CURVE('',#6043,#6044,#4143,.T.); +#19964=EDGE_CURVE('',#6042,#6043,#4144,.T.); +#19965=EDGE_CURVE('',#6049,#6053,#4146,.T.); +#19966=EDGE_CURVE('',#6049,#6050,#4153,.T.); +#19967=EDGE_CURVE('',#6050,#6054,#4152,.T.); +#19968=EDGE_CURVE('',#6052,#6056,#4148,.T.); +#19969=EDGE_CURVE('',#6052,#6049,#4154,.T.); +#19970=EDGE_CURVE('',#6051,#6055,#4150,.T.); +#19971=EDGE_CURVE('',#6051,#6052,#4155,.T.); +#19972=EDGE_CURVE('',#6050,#6051,#4156,.T.); +#19973=EDGE_CURVE('',#6057,#6061,#4158,.T.); +#19974=EDGE_CURVE('',#6057,#6058,#4165,.T.); +#19975=EDGE_CURVE('',#6058,#6062,#4164,.T.); +#19976=EDGE_CURVE('',#6060,#6064,#4160,.T.); +#19977=EDGE_CURVE('',#6060,#6057,#4166,.T.); +#19978=EDGE_CURVE('',#6059,#6063,#4162,.T.); +#19979=EDGE_CURVE('',#6059,#6060,#4167,.T.); +#19980=EDGE_CURVE('',#6058,#6059,#4168,.T.); +#19981=EDGE_CURVE('',#6065,#6069,#4170,.T.); +#19982=EDGE_CURVE('',#6065,#6066,#4177,.T.); +#19983=EDGE_CURVE('',#6066,#6070,#4176,.T.); +#19984=EDGE_CURVE('',#6068,#6072,#4172,.T.); +#19985=EDGE_CURVE('',#6068,#6065,#4178,.T.); +#19986=EDGE_CURVE('',#6067,#6071,#4174,.T.); +#19987=EDGE_CURVE('',#6067,#6068,#4179,.T.); +#19988=EDGE_CURVE('',#6066,#6067,#4180,.T.); +#19989=EDGE_CURVE('',#6073,#6077,#4182,.T.); +#19990=EDGE_CURVE('',#6073,#6074,#4189,.T.); +#19991=EDGE_CURVE('',#6074,#6078,#4188,.T.); +#19992=EDGE_CURVE('',#6076,#6080,#4184,.T.); +#19993=EDGE_CURVE('',#6076,#6073,#4190,.T.); +#19994=EDGE_CURVE('',#6075,#6079,#4186,.T.); +#19995=EDGE_CURVE('',#6075,#6076,#4191,.T.); +#19996=EDGE_CURVE('',#6074,#6075,#4192,.T.); +#19997=EDGE_CURVE('',#6081,#6085,#4194,.T.); +#19998=EDGE_CURVE('',#6081,#6082,#4201,.T.); +#19999=EDGE_CURVE('',#6082,#6086,#4200,.T.); +#20000=EDGE_CURVE('',#6084,#6088,#4196,.T.); +#20001=EDGE_CURVE('',#6084,#6081,#4202,.T.); +#20002=EDGE_CURVE('',#6083,#6087,#4198,.T.); +#20003=EDGE_CURVE('',#6083,#6084,#4203,.T.); +#20004=EDGE_CURVE('',#6082,#6083,#4204,.T.); +#20005=EDGE_CURVE('',#6089,#6093,#4206,.T.); +#20006=EDGE_CURVE('',#6089,#6090,#4213,.T.); +#20007=EDGE_CURVE('',#6090,#6094,#4212,.T.); +#20008=EDGE_CURVE('',#6092,#6096,#4208,.T.); +#20009=EDGE_CURVE('',#6092,#6089,#4214,.T.); +#20010=EDGE_CURVE('',#6091,#6095,#4210,.T.); +#20011=EDGE_CURVE('',#6091,#6092,#4215,.T.); +#20012=EDGE_CURVE('',#6090,#6091,#4216,.T.); +#20013=EDGE_CURVE('',#6097,#6101,#4218,.T.); +#20014=EDGE_CURVE('',#6097,#6098,#4225,.T.); +#20015=EDGE_CURVE('',#6098,#6102,#4224,.T.); +#20016=EDGE_CURVE('',#6100,#6104,#4220,.T.); +#20017=EDGE_CURVE('',#6100,#6097,#4226,.T.); +#20018=EDGE_CURVE('',#6099,#6103,#4222,.T.); +#20019=EDGE_CURVE('',#6099,#6100,#4227,.T.); +#20020=EDGE_CURVE('',#6098,#6099,#4228,.T.); +#20021=EDGE_CURVE('',#6105,#6109,#4230,.T.); +#20022=EDGE_CURVE('',#6105,#6106,#4237,.T.); +#20023=EDGE_CURVE('',#6106,#6110,#4236,.T.); +#20024=EDGE_CURVE('',#6108,#6112,#4232,.T.); +#20025=EDGE_CURVE('',#6108,#6105,#4238,.T.); +#20026=EDGE_CURVE('',#6107,#6111,#4234,.T.); +#20027=EDGE_CURVE('',#6107,#6108,#4239,.T.); +#20028=EDGE_CURVE('',#6106,#6107,#4240,.T.); +#20029=EDGE_CURVE('',#6113,#6117,#4242,.T.); +#20030=EDGE_CURVE('',#6113,#6114,#4249,.T.); +#20031=EDGE_CURVE('',#6114,#6118,#4248,.T.); +#20032=EDGE_CURVE('',#6116,#6120,#4244,.T.); +#20033=EDGE_CURVE('',#6116,#6113,#4250,.T.); +#20034=EDGE_CURVE('',#6115,#6119,#4246,.T.); +#20035=EDGE_CURVE('',#6115,#6116,#4251,.T.); +#20036=EDGE_CURVE('',#6114,#6115,#4252,.T.); +#20037=EDGE_CURVE('',#6121,#6125,#4254,.T.); +#20038=EDGE_CURVE('',#6121,#6122,#4261,.T.); +#20039=EDGE_CURVE('',#6122,#6126,#4260,.T.); +#20040=EDGE_CURVE('',#6124,#6128,#4256,.T.); +#20041=EDGE_CURVE('',#6124,#6121,#4262,.T.); +#20042=EDGE_CURVE('',#6123,#6127,#4258,.T.); +#20043=EDGE_CURVE('',#6123,#6124,#4263,.T.); +#20044=EDGE_CURVE('',#6122,#6123,#4264,.T.); +#20045=EDGE_CURVE('',#6129,#6133,#4266,.T.); +#20046=EDGE_CURVE('',#6129,#6130,#4273,.T.); +#20047=EDGE_CURVE('',#6130,#6134,#4272,.T.); +#20048=EDGE_CURVE('',#6132,#6136,#4268,.T.); +#20049=EDGE_CURVE('',#6132,#6129,#4274,.T.); +#20050=EDGE_CURVE('',#6131,#6135,#4270,.T.); +#20051=EDGE_CURVE('',#6131,#6132,#4275,.T.); +#20052=EDGE_CURVE('',#6130,#6131,#4276,.T.); +#20053=EDGE_CURVE('',#6137,#6141,#4278,.T.); +#20054=EDGE_CURVE('',#6137,#6138,#4285,.T.); +#20055=EDGE_CURVE('',#6138,#6142,#4284,.T.); +#20056=EDGE_CURVE('',#6140,#6144,#4280,.T.); +#20057=EDGE_CURVE('',#6140,#6137,#4286,.T.); +#20058=EDGE_CURVE('',#6139,#6143,#4282,.T.); +#20059=EDGE_CURVE('',#6139,#6140,#4287,.T.); +#20060=EDGE_CURVE('',#6138,#6139,#4288,.T.); +#20061=EDGE_CURVE('',#6145,#6149,#4290,.T.); +#20062=EDGE_CURVE('',#6145,#6146,#4297,.T.); +#20063=EDGE_CURVE('',#6146,#6150,#4296,.T.); +#20064=EDGE_CURVE('',#6148,#6152,#4292,.T.); +#20065=EDGE_CURVE('',#6148,#6145,#4298,.T.); +#20066=EDGE_CURVE('',#6147,#6151,#4294,.T.); +#20067=EDGE_CURVE('',#6147,#6148,#4299,.T.); +#20068=EDGE_CURVE('',#6146,#6147,#4300,.T.); +#20069=EDGE_CURVE('',#6153,#6157,#4302,.T.); +#20070=EDGE_CURVE('',#6153,#6154,#4309,.T.); +#20071=EDGE_CURVE('',#6154,#6158,#4308,.T.); +#20072=EDGE_CURVE('',#6156,#6160,#4304,.T.); +#20073=EDGE_CURVE('',#6156,#6153,#4310,.T.); +#20074=EDGE_CURVE('',#6155,#6159,#4306,.T.); +#20075=EDGE_CURVE('',#6155,#6156,#4311,.T.); +#20076=EDGE_CURVE('',#6154,#6155,#4312,.T.); +#20077=EDGE_CURVE('',#6161,#6165,#4314,.T.); +#20078=EDGE_CURVE('',#6161,#6162,#4321,.T.); +#20079=EDGE_CURVE('',#6162,#6166,#4320,.T.); +#20080=EDGE_CURVE('',#6164,#6168,#4316,.T.); +#20081=EDGE_CURVE('',#6164,#6161,#4322,.T.); +#20082=EDGE_CURVE('',#6163,#6167,#4318,.T.); +#20083=EDGE_CURVE('',#6163,#6164,#4323,.T.); +#20084=EDGE_CURVE('',#6162,#6163,#4324,.T.); +#20085=EDGE_CURVE('',#6169,#6173,#4326,.T.); +#20086=EDGE_CURVE('',#6169,#6170,#4333,.T.); +#20087=EDGE_CURVE('',#6170,#6174,#4332,.T.); +#20088=EDGE_CURVE('',#6172,#6176,#4328,.T.); +#20089=EDGE_CURVE('',#6172,#6169,#4334,.T.); +#20090=EDGE_CURVE('',#6171,#6175,#4330,.T.); +#20091=EDGE_CURVE('',#6171,#6172,#4335,.T.); +#20092=EDGE_CURVE('',#6170,#6171,#4336,.T.); +#20093=EDGE_CURVE('',#6177,#6181,#4338,.T.); +#20094=EDGE_CURVE('',#6177,#6178,#4345,.T.); +#20095=EDGE_CURVE('',#6178,#6182,#4344,.T.); +#20096=EDGE_CURVE('',#6180,#6184,#4340,.T.); +#20097=EDGE_CURVE('',#6180,#6177,#4346,.T.); +#20098=EDGE_CURVE('',#6179,#6183,#4342,.T.); +#20099=EDGE_CURVE('',#6179,#6180,#4347,.T.); +#20100=EDGE_CURVE('',#6178,#6179,#4348,.T.); +#20101=EDGE_CURVE('',#6185,#6189,#4350,.T.); +#20102=EDGE_CURVE('',#6185,#6186,#4357,.T.); +#20103=EDGE_CURVE('',#6186,#6190,#4356,.T.); +#20104=EDGE_CURVE('',#6188,#6192,#4352,.T.); +#20105=EDGE_CURVE('',#6188,#6185,#4358,.T.); +#20106=EDGE_CURVE('',#6187,#6191,#4354,.T.); +#20107=EDGE_CURVE('',#6187,#6188,#4359,.T.); +#20108=EDGE_CURVE('',#6186,#6187,#4360,.T.); +#20109=EDGE_CURVE('',#6193,#6197,#4362,.T.); +#20110=EDGE_CURVE('',#6193,#6194,#4369,.T.); +#20111=EDGE_CURVE('',#6194,#6198,#4368,.T.); +#20112=EDGE_CURVE('',#6196,#6200,#4364,.T.); +#20113=EDGE_CURVE('',#6196,#6193,#4370,.T.); +#20114=EDGE_CURVE('',#6195,#6199,#4366,.T.); +#20115=EDGE_CURVE('',#6195,#6196,#4371,.T.); +#20116=EDGE_CURVE('',#6194,#6195,#4372,.T.); +#20117=EDGE_CURVE('',#6201,#6205,#4374,.T.); +#20118=EDGE_CURVE('',#6201,#6202,#4381,.T.); +#20119=EDGE_CURVE('',#6202,#6206,#4380,.T.); +#20120=EDGE_CURVE('',#6204,#6208,#4376,.T.); +#20121=EDGE_CURVE('',#6204,#6201,#4382,.T.); +#20122=EDGE_CURVE('',#6203,#6207,#4378,.T.); +#20123=EDGE_CURVE('',#6203,#6204,#4383,.T.); +#20124=EDGE_CURVE('',#6202,#6203,#4384,.T.); +#20125=EDGE_CURVE('',#6209,#6213,#4386,.T.); +#20126=EDGE_CURVE('',#6209,#6210,#4393,.T.); +#20127=EDGE_CURVE('',#6210,#6214,#4392,.T.); +#20128=EDGE_CURVE('',#6212,#6216,#4388,.T.); +#20129=EDGE_CURVE('',#6212,#6209,#4394,.T.); +#20130=EDGE_CURVE('',#6211,#6215,#4390,.T.); +#20131=EDGE_CURVE('',#6211,#6212,#4395,.T.); +#20132=EDGE_CURVE('',#6210,#6211,#4396,.T.); +#20133=EDGE_CURVE('',#6217,#6221,#4398,.T.); +#20134=EDGE_CURVE('',#6217,#6218,#4405,.T.); +#20135=EDGE_CURVE('',#6218,#6222,#4404,.T.); +#20136=EDGE_CURVE('',#6220,#6224,#4400,.T.); +#20137=EDGE_CURVE('',#6220,#6217,#4406,.T.); +#20138=EDGE_CURVE('',#6219,#6223,#4402,.T.); +#20139=EDGE_CURVE('',#6219,#6220,#4407,.T.); +#20140=EDGE_CURVE('',#6218,#6219,#4408,.T.); +#20141=EDGE_CURVE('',#6225,#6229,#4410,.T.); +#20142=EDGE_CURVE('',#6225,#6226,#4417,.T.); +#20143=EDGE_CURVE('',#6226,#6230,#4416,.T.); +#20144=EDGE_CURVE('',#6228,#6232,#4412,.T.); +#20145=EDGE_CURVE('',#6228,#6225,#4418,.T.); +#20146=EDGE_CURVE('',#6227,#6231,#4414,.T.); +#20147=EDGE_CURVE('',#6227,#6228,#4419,.T.); +#20148=EDGE_CURVE('',#6226,#6227,#4420,.T.); +#20149=EDGE_CURVE('',#6233,#6237,#4422,.T.); +#20150=EDGE_CURVE('',#6233,#6234,#4429,.T.); +#20151=EDGE_CURVE('',#6234,#6238,#4428,.T.); +#20152=EDGE_CURVE('',#6236,#6240,#4424,.T.); +#20153=EDGE_CURVE('',#6236,#6233,#4430,.T.); +#20154=EDGE_CURVE('',#6235,#6239,#4426,.T.); +#20155=EDGE_CURVE('',#6235,#6236,#4431,.T.); +#20156=EDGE_CURVE('',#6234,#6235,#4432,.T.); +#20157=EDGE_CURVE('',#6241,#6245,#4434,.T.); +#20158=EDGE_CURVE('',#6241,#6242,#4441,.T.); +#20159=EDGE_CURVE('',#6242,#6246,#4440,.T.); +#20160=EDGE_CURVE('',#6244,#6248,#4436,.T.); +#20161=EDGE_CURVE('',#6244,#6241,#4442,.T.); +#20162=EDGE_CURVE('',#6243,#6247,#4438,.T.); +#20163=EDGE_CURVE('',#6243,#6244,#4443,.T.); +#20164=EDGE_CURVE('',#6242,#6243,#4444,.T.); +#20165=EDGE_CURVE('',#6249,#6253,#4446,.T.); +#20166=EDGE_CURVE('',#6249,#6250,#4453,.T.); +#20167=EDGE_CURVE('',#6250,#6254,#4452,.T.); +#20168=EDGE_CURVE('',#6252,#6256,#4448,.T.); +#20169=EDGE_CURVE('',#6252,#6249,#4454,.T.); +#20170=EDGE_CURVE('',#6251,#6255,#4450,.T.); +#20171=EDGE_CURVE('',#6251,#6252,#4455,.T.); +#20172=EDGE_CURVE('',#6250,#6251,#4456,.T.); +#20173=EDGE_CURVE('',#6257,#6261,#4458,.T.); +#20174=EDGE_CURVE('',#6257,#6258,#4465,.T.); +#20175=EDGE_CURVE('',#6258,#6262,#4464,.T.); +#20176=EDGE_CURVE('',#6260,#6264,#4460,.T.); +#20177=EDGE_CURVE('',#6260,#6257,#4466,.T.); +#20178=EDGE_CURVE('',#6259,#6263,#4462,.T.); +#20179=EDGE_CURVE('',#6259,#6260,#4467,.T.); +#20180=EDGE_CURVE('',#6258,#6259,#4468,.T.); +#20181=EDGE_CURVE('',#6265,#6269,#4470,.T.); +#20182=EDGE_CURVE('',#6265,#6266,#4477,.T.); +#20183=EDGE_CURVE('',#6266,#6270,#4476,.T.); +#20184=EDGE_CURVE('',#6268,#6272,#4472,.T.); +#20185=EDGE_CURVE('',#6268,#6265,#4478,.T.); +#20186=EDGE_CURVE('',#6267,#6271,#4474,.T.); +#20187=EDGE_CURVE('',#6267,#6268,#4479,.T.); +#20188=EDGE_CURVE('',#6266,#6267,#4480,.T.); +#20189=EDGE_CURVE('',#6273,#6277,#4482,.T.); +#20190=EDGE_CURVE('',#6273,#6274,#4489,.T.); +#20191=EDGE_CURVE('',#6274,#6278,#4488,.T.); +#20192=EDGE_CURVE('',#6276,#6280,#4484,.T.); +#20193=EDGE_CURVE('',#6276,#6273,#4490,.T.); +#20194=EDGE_CURVE('',#6275,#6279,#4486,.T.); +#20195=EDGE_CURVE('',#6275,#6276,#4491,.T.); +#20196=EDGE_CURVE('',#6274,#6275,#4492,.T.); +#20197=EDGE_CURVE('',#6281,#6285,#4494,.T.); +#20198=EDGE_CURVE('',#6281,#6282,#4501,.T.); +#20199=EDGE_CURVE('',#6282,#6286,#4500,.T.); +#20200=EDGE_CURVE('',#6284,#6288,#4496,.T.); +#20201=EDGE_CURVE('',#6284,#6281,#4502,.T.); +#20202=EDGE_CURVE('',#6283,#6287,#4498,.T.); +#20203=EDGE_CURVE('',#6283,#6284,#4503,.T.); +#20204=EDGE_CURVE('',#6282,#6283,#4504,.T.); +#20205=EDGE_CURVE('',#6289,#6293,#4506,.T.); +#20206=EDGE_CURVE('',#6289,#6290,#4513,.T.); +#20207=EDGE_CURVE('',#6290,#6294,#4512,.T.); +#20208=EDGE_CURVE('',#6292,#6296,#4508,.T.); +#20209=EDGE_CURVE('',#6292,#6289,#4514,.T.); +#20210=EDGE_CURVE('',#6291,#6295,#4510,.T.); +#20211=EDGE_CURVE('',#6291,#6292,#4515,.T.); +#20212=EDGE_CURVE('',#6290,#6291,#4516,.T.); +#20213=EDGE_CURVE('',#6297,#6301,#4518,.T.); +#20214=EDGE_CURVE('',#6297,#6298,#4525,.T.); +#20215=EDGE_CURVE('',#6298,#6302,#4524,.T.); +#20216=EDGE_CURVE('',#6300,#6304,#4520,.T.); +#20217=EDGE_CURVE('',#6300,#6297,#4526,.T.); +#20218=EDGE_CURVE('',#6299,#6303,#4522,.T.); +#20219=EDGE_CURVE('',#6299,#6300,#4527,.T.); +#20220=EDGE_CURVE('',#6298,#6299,#4528,.T.); +#20221=EDGE_CURVE('',#6305,#6309,#4530,.T.); +#20222=EDGE_CURVE('',#6305,#6306,#4537,.T.); +#20223=EDGE_CURVE('',#6306,#6310,#4536,.T.); +#20224=EDGE_CURVE('',#6308,#6312,#4532,.T.); +#20225=EDGE_CURVE('',#6308,#6305,#4538,.T.); +#20226=EDGE_CURVE('',#6307,#6311,#4534,.T.); +#20227=EDGE_CURVE('',#6307,#6308,#4539,.T.); +#20228=EDGE_CURVE('',#6306,#6307,#4540,.T.); +#20229=EDGE_CURVE('',#6313,#6317,#4542,.T.); +#20230=EDGE_CURVE('',#6313,#6314,#4549,.T.); +#20231=EDGE_CURVE('',#6314,#6318,#4548,.T.); +#20232=EDGE_CURVE('',#6316,#6320,#4544,.T.); +#20233=EDGE_CURVE('',#6316,#6313,#4550,.T.); +#20234=EDGE_CURVE('',#6315,#6319,#4546,.T.); +#20235=EDGE_CURVE('',#6315,#6316,#4551,.T.); +#20236=EDGE_CURVE('',#6314,#6315,#4552,.T.); +#20237=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#17535),#17543); +#20238=PRODUCT_DEFINITION('design','',#17549,#17546); +#20239=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-1375795-01-3.',#20238); +#20240=SHAPE_REPRESENTATION('',(#17535),#17543); +#20241=SHAPE_ASPECT('','solid data associated with C-1375795-01-3',#20239,.F.); +#20242=PROPERTY_DEFINITION('','shape for solid data with which properties are associated',#20241); +#20243=SHAPE_DEFINITION_REPRESENTATION(#20242,#20240); +#20244=PROPERTY_DEFINITION('geometric_validation_property','area of C-1375795-01-3',#20241); +#20245=REPRESENTATION('surface area',(#17552),#17543); +#20246=PROPERTY_DEFINITION_REPRESENTATION(#20244,#20245); +#20247=PROPERTY_DEFINITION('geometric_validation_property','volume of C-1375795-01-3',#20241); +#20248=REPRESENTATION('volume',(#17555),#17543); +#20249=PROPERTY_DEFINITION_REPRESENTATION(#20247,#20248); +#20250=PROPERTY_DEFINITION('geometric_validation_property','centroid of C-1375795-01-3',#20241); +#20251=REPRESENTATION('centroid',(#17556),#17543); +#20252=PROPERTY_DEFINITION_REPRESENTATION(#20250,#20251); +#20253=SHAPE_DEFINITION_REPRESENTATION(#20239,#20237); +ENDSEC; +END-ISO-10303-21; + diff --git a/packages3D/c-2-5530843-7-f-3d.stp b/packages3D/c-2-5530843-7-f-3d.stp new file mode 100644 index 0000000..dcadf26 --- /dev/null +++ b/packages3D/c-2-5530843-7-f-3d.stp @@ -0,0 +1,13300 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-2-5530843-7','2019-02-26T08:29:03',('workeradm'),( +'TE Connectivity Ltd.'),'CREO PARAMETRIC BY PTC INC, 2018190', +'CREO PARAMETRIC BY PTC INC, 2018190',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#3=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4=VECTOR('',#3,2.032E0); +#5=CARTESIAN_POINT('',(-2.6162E1,-3.048E0,-8.89E0)); +#6=LINE('',#5,#4); +#7=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8=VECTOR('',#7,2.032E0); +#9=CARTESIAN_POINT('',(-2.6162E1,3.048E0,-8.89E0)); +#10=LINE('',#9,#8); +#11=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12=VECTOR('',#11,8.89E0); +#13=CARTESIAN_POINT('',(-2.6162E1,3.9116E0,0.E0)); +#14=LINE('',#13,#12); +#15=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16=VECTOR('',#15,7.8232E0); +#17=CARTESIAN_POINT('',(-2.6162E1,3.9116E0,0.E0)); +#18=LINE('',#17,#16); +#19=DIRECTION('',(0.E0,0.E0,1.E0)); +#20=VECTOR('',#19,8.89E0); +#21=CARTESIAN_POINT('',(-2.6162E1,-3.9116E0,-8.89E0)); +#22=LINE('',#21,#20); +#23=DIRECTION('',(0.E0,1.E0,0.E0)); +#24=VECTOR('',#23,8.636E-1); +#25=CARTESIAN_POINT('',(2.6162E1,3.048E0,-8.89E0)); +#26=LINE('',#25,#24); +#27=CARTESIAN_POINT('',(2.54E1,3.9116E0,-8.89E0)); +#28=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29=DIRECTION('',(0.E0,1.E0,0.E0)); +#30=AXIS2_PLACEMENT_3D('',#27,#28,#29); +#32=CARTESIAN_POINT('',(-2.54E1,3.9116E0,-8.89E0)); +#33=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34=DIRECTION('',(-1.E0,1.282147325026E-14,0.E0)); +#35=AXIS2_PLACEMENT_3D('',#32,#33,#34); +#37=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38=VECTOR('',#37,8.636E-1); +#39=CARTESIAN_POINT('',(-2.6162E1,3.9116E0,-8.89E0)); +#40=LINE('',#39,#38); +#41=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42=VECTOR('',#41,8.636E-1); +#43=CARTESIAN_POINT('',(-2.6162E1,-3.048E0,-8.89E0)); +#44=LINE('',#43,#42); +#45=CARTESIAN_POINT('',(-2.54E1,-3.9116E0,-8.89E0)); +#46=DIRECTION('',(0.E0,0.E0,-1.E0)); +#47=DIRECTION('',(0.E0,-1.E0,0.E0)); +#48=AXIS2_PLACEMENT_3D('',#45,#46,#47); +#50=DIRECTION('',(1.E0,0.E0,0.E0)); +#51=VECTOR('',#50,5.08E1); +#52=CARTESIAN_POINT('',(-2.54E1,-4.6736E0,-8.89E0)); +#53=LINE('',#52,#51); +#54=CARTESIAN_POINT('',(2.54E1,-3.9116E0,-8.89E0)); +#55=DIRECTION('',(0.E0,0.E0,-1.E0)); +#56=DIRECTION('',(1.E0,0.E0,0.E0)); +#57=AXIS2_PLACEMENT_3D('',#54,#55,#56); +#59=DIRECTION('',(0.E0,1.E0,0.E0)); +#60=VECTOR('',#59,8.636E-1); +#61=CARTESIAN_POINT('',(2.6162E1,-3.9116E0,-8.89E0)); +#62=LINE('',#61,#60); +#63=DIRECTION('',(0.E0,0.E0,-1.E0)); +#64=VECTOR('',#63,2.032E0); +#65=CARTESIAN_POINT('',(2.54E1,3.81E0,-8.89E0)); +#66=LINE('',#65,#64); +#67=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68=VECTOR('',#67,5.08E1); +#69=CARTESIAN_POINT('',(2.54E1,3.81E0,-8.89E0)); +#70=LINE('',#69,#68); +#71=DIRECTION('',(0.E0,0.E0,-1.E0)); +#72=VECTOR('',#71,2.032E0); +#73=CARTESIAN_POINT('',(-2.54E1,3.81E0,-8.89E0)); +#74=LINE('',#73,#72); +#75=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76=VECTOR('',#75,1.143E0); +#77=CARTESIAN_POINT('',(2.4003E1,3.81E0,-1.5494E1)); +#78=LINE('',#77,#76); +#79=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80=VECTOR('',#79,6.096E0); +#81=CARTESIAN_POINT('',(2.6162E1,3.048E0,-1.0922E1)); +#82=LINE('',#81,#80); +#83=CARTESIAN_POINT('',(2.54E1,3.048E0,-1.0922E1)); +#84=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85=DIRECTION('',(0.E0,1.E0,0.E0)); +#86=AXIS2_PLACEMENT_3D('',#83,#84,#85); +#88=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89=VECTOR('',#88,1.397E0); +#90=CARTESIAN_POINT('',(2.54E1,3.81E0,-1.0922E1)); +#91=LINE('',#90,#89); +#92=DIRECTION('',(1.E0,0.E0,0.E0)); +#93=VECTOR('',#92,1.397E0); +#94=CARTESIAN_POINT('',(2.4003E1,-3.81E0,-1.0922E1)); +#95=LINE('',#94,#93); +#96=CARTESIAN_POINT('',(2.54E1,-3.048E0,-1.0922E1)); +#97=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98=DIRECTION('',(1.E0,0.E0,0.E0)); +#99=AXIS2_PLACEMENT_3D('',#96,#97,#98); +#101=DIRECTION('',(0.E0,1.E0,0.E0)); +#102=VECTOR('',#101,6.096E0); +#103=CARTESIAN_POINT('',(-2.6162E1,-3.048E0,-1.0922E1)); +#104=LINE('',#103,#102); +#105=CARTESIAN_POINT('',(-2.54E1,-3.048E0,-1.0922E1)); +#106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108=AXIS2_PLACEMENT_3D('',#105,#106,#107); +#110=DIRECTION('',(1.E0,0.E0,0.E0)); +#111=VECTOR('',#110,1.397E0); +#112=CARTESIAN_POINT('',(-2.54E1,-3.81E0,-1.0922E1)); +#113=LINE('',#112,#111); +#114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#115=VECTOR('',#114,1.397E0); +#116=CARTESIAN_POINT('',(-2.4003E1,3.81E0,-1.0922E1)); +#117=LINE('',#116,#115); +#118=CARTESIAN_POINT('',(-2.54E1,3.048E0,-1.0922E1)); +#119=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120=DIRECTION('',(-1.E0,1.223867901162E-14,0.E0)); +#121=AXIS2_PLACEMENT_3D('',#118,#119,#120); +#123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#124=VECTOR('',#123,2.032E0); +#125=CARTESIAN_POINT('',(2.6162E1,3.048E0,-8.89E0)); +#126=LINE('',#125,#124); +#127=DIRECTION('',(0.E0,0.E0,-1.E0)); +#128=VECTOR('',#127,2.032E0); +#129=CARTESIAN_POINT('',(2.6162E1,-3.048E0,-8.89E0)); +#130=LINE('',#129,#128); +#131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#132=VECTOR('',#131,8.89E0); +#133=CARTESIAN_POINT('',(2.6162E1,-3.9116E0,0.E0)); +#134=LINE('',#133,#132); +#135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#136=VECTOR('',#135,7.8232E0); +#137=CARTESIAN_POINT('',(2.6162E1,3.9116E0,0.E0)); +#138=LINE('',#137,#136); +#139=DIRECTION('',(0.E0,0.E0,1.E0)); +#140=VECTOR('',#139,8.89E0); +#141=CARTESIAN_POINT('',(2.6162E1,3.9116E0,-8.89E0)); +#142=LINE('',#141,#140); +#143=CARTESIAN_POINT('',(2.54E1,3.048E0,-8.89E0)); +#144=DIRECTION('',(0.E0,0.E0,1.E0)); +#145=DIRECTION('',(1.E0,0.E0,0.E0)); +#146=AXIS2_PLACEMENT_3D('',#143,#144,#145); +#148=CARTESIAN_POINT('',(2.54E1,-3.048E0,-8.89E0)); +#149=DIRECTION('',(0.E0,0.E0,1.E0)); +#150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#151=AXIS2_PLACEMENT_3D('',#148,#149,#150); +#153=DIRECTION('',(1.E0,0.E0,0.E0)); +#154=VECTOR('',#153,1.143E0); +#155=CARTESIAN_POINT('',(-2.4003E1,-3.81E0,-1.5494E1)); +#156=LINE('',#155,#154); +#157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#158=VECTOR('',#157,2.032E0); +#159=CARTESIAN_POINT('',(-2.54E1,-3.81E0,-8.89E0)); +#160=LINE('',#159,#158); +#161=DIRECTION('',(1.E0,0.E0,0.E0)); +#162=VECTOR('',#161,5.08E1); +#163=CARTESIAN_POINT('',(-2.54E1,-3.81E0,-8.89E0)); +#164=LINE('',#163,#162); +#165=DIRECTION('',(0.E0,0.E0,-1.E0)); +#166=VECTOR('',#165,2.032E0); +#167=CARTESIAN_POINT('',(2.54E1,-3.81E0,-8.89E0)); +#168=LINE('',#167,#166); +#169=DIRECTION('',(0.E0,0.E0,-1.E0)); +#170=VECTOR('',#169,4.572E0); +#171=CARTESIAN_POINT('',(2.4765E1,-3.048E0,-1.0922E1)); +#172=LINE('',#171,#170); +#173=DIRECTION('',(0.E0,0.E0,1.E0)); +#174=VECTOR('',#173,4.572E0); +#175=CARTESIAN_POINT('',(2.4003E1,-3.81E0,-1.5494E1)); +#176=LINE('',#175,#174); +#177=CARTESIAN_POINT('',(2.4003E1,-3.048E0,-1.0922E1)); +#178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#179=DIRECTION('',(1.E0,0.E0,0.E0)); +#180=AXIS2_PLACEMENT_3D('',#177,#178,#179); +#182=DIRECTION('',(0.E0,1.E0,0.E0)); +#183=VECTOR('',#182,5.08E-1); +#184=CARTESIAN_POINT('',(2.4765E1,2.54E0,-1.5494E1)); +#185=LINE('',#184,#183); +#186=DIRECTION('',(0.E0,3.420201433257E-1,-9.396926207859E-1)); +#187=VECTOR('',#186,1.177175138490E0); +#188=CARTESIAN_POINT('',(2.4765E1,2.137382390414E0,-1.438781720899E1)); +#189=LINE('',#188,#187); +#190=CARTESIAN_POINT('',(2.4765E1,1.540677576215E0,-1.4605E1)); +#191=DIRECTION('',(-1.E0,0.E0,0.E0)); +#192=DIRECTION('',(0.E0,0.E0,1.E0)); +#193=AXIS2_PLACEMENT_3D('',#190,#191,#192); +#195=CARTESIAN_POINT('',(2.4765E1,-1.540677576215E0,-1.4605E1)); +#196=DIRECTION('',(-1.E0,0.E0,0.E0)); +#197=DIRECTION('',(0.E0,-9.396926207859E-1,3.420201433257E-1)); +#198=AXIS2_PLACEMENT_3D('',#195,#196,#197); +#200=DIRECTION('',(0.E0,3.420201433257E-1,9.396926207859E-1)); +#201=VECTOR('',#200,1.177175138490E0); +#202=CARTESIAN_POINT('',(2.4765E1,-2.54E0,-1.5494E1)); +#203=LINE('',#202,#201); +#204=DIRECTION('',(0.E0,1.E0,0.E0)); +#205=VECTOR('',#204,5.08E-1); +#206=CARTESIAN_POINT('',(2.4765E1,-3.048E0,-1.5494E1)); +#207=LINE('',#206,#205); +#208=DIRECTION('',(0.E0,-1.E0,0.E0)); +#209=VECTOR('',#208,6.096E0); +#210=CARTESIAN_POINT('',(2.4765E1,3.048E0,-1.0922E1)); +#211=LINE('',#210,#209); +#212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#213=VECTOR('',#212,4.572E0); +#214=CARTESIAN_POINT('',(2.4003E1,3.81E0,-1.0922E1)); +#215=LINE('',#214,#213); +#216=DIRECTION('',(0.E0,0.E0,1.E0)); +#217=VECTOR('',#216,4.572E0); +#218=CARTESIAN_POINT('',(2.4765E1,3.048E0,-1.5494E1)); +#219=LINE('',#218,#217); +#220=CARTESIAN_POINT('',(2.4003E1,3.048E0,-1.0922E1)); +#221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#222=DIRECTION('',(0.E0,1.E0,0.E0)); +#223=AXIS2_PLACEMENT_3D('',#220,#221,#222); +#225=CARTESIAN_POINT('',(2.4003E1,3.048E0,-1.5494E1)); +#226=DIRECTION('',(0.E0,0.E0,-1.E0)); +#227=DIRECTION('',(0.E0,1.E0,0.E0)); +#228=AXIS2_PLACEMENT_3D('',#225,#226,#227); +#230=DIRECTION('',(1.E0,0.E0,0.E0)); +#231=VECTOR('',#230,1.905E0); +#232=CARTESIAN_POINT('',(2.286E1,2.54E0,-1.5494E1)); +#233=LINE('',#232,#231); +#234=CARTESIAN_POINT('',(2.286E1,1.540677576215E0,-1.4605E1)); +#235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#236=DIRECTION('',(0.E0,0.E0,1.E0)); +#237=AXIS2_PLACEMENT_3D('',#234,#235,#236); +#239=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#240=VECTOR('',#239,1.177175138490E0); +#241=CARTESIAN_POINT('',(2.286E1,2.54E0,-1.5494E1)); +#242=LINE('',#241,#240); +#243=DIRECTION('',(0.E0,-1.E0,0.E0)); +#244=VECTOR('',#243,1.27E0); +#245=CARTESIAN_POINT('',(2.286E1,3.81E0,-1.5494E1)); +#246=LINE('',#245,#244); +#247=DIRECTION('',(0.E0,0.E0,1.E0)); +#248=VECTOR('',#247,1.524E0); +#249=CARTESIAN_POINT('',(2.286E1,3.81E0,-1.5494E1)); +#250=LINE('',#249,#248); +#251=DIRECTION('',(0.E0,0.E0,-1.E0)); +#252=VECTOR('',#251,1.524E0); +#253=CARTESIAN_POINT('',(2.286E1,-3.81E0,-1.397E1)); +#254=LINE('',#253,#252); +#255=DIRECTION('',(0.E0,1.E0,0.E0)); +#256=VECTOR('',#255,1.27E0); +#257=CARTESIAN_POINT('',(2.286E1,-3.81E0,-1.5494E1)); +#258=LINE('',#257,#256); +#259=DIRECTION('',(0.E0,3.420201433257E-1,9.396926207859E-1)); +#260=VECTOR('',#259,1.177175138490E0); +#261=CARTESIAN_POINT('',(2.286E1,-2.54E0,-1.5494E1)); +#262=LINE('',#261,#260); +#263=CARTESIAN_POINT('',(2.286E1,-1.540677576215E0,-1.4605E1)); +#264=DIRECTION('',(-1.E0,0.E0,0.E0)); +#265=DIRECTION('',(0.E0,-9.396926207859E-1,3.420201433257E-1)); +#266=AXIS2_PLACEMENT_3D('',#263,#264,#265); +#268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#269=VECTOR('',#268,2.269322423785E0); +#270=CARTESIAN_POINT('',(-2.286E1,3.81E0,-1.397E1)); +#271=LINE('',#270,#269); +#272=DIRECTION('',(-1.E0,0.E0,0.E0)); +#273=VECTOR('',#272,1.905E0); +#274=CARTESIAN_POINT('',(-2.286E1,1.540677576215E0,-1.397E1)); +#275=LINE('',#274,#273); +#276=DIRECTION('',(0.E0,1.E0,0.E0)); +#277=VECTOR('',#276,3.081355152430E0); +#278=CARTESIAN_POINT('',(-2.4765E1,-1.540677576215E0,-1.397E1)); +#279=LINE('',#278,#277); +#280=DIRECTION('',(-1.E0,0.E0,0.E0)); +#281=VECTOR('',#280,1.905E0); +#282=CARTESIAN_POINT('',(-2.286E1,-1.540677576215E0,-1.397E1)); +#283=LINE('',#282,#281); +#284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#285=VECTOR('',#284,2.269322423785E0); +#286=CARTESIAN_POINT('',(-2.286E1,-1.540677576215E0,-1.397E1)); +#287=LINE('',#286,#285); +#288=DIRECTION('',(-1.E0,0.E0,0.E0)); +#289=VECTOR('',#288,4.572E1); +#290=CARTESIAN_POINT('',(2.286E1,-3.81E0,-1.397E1)); +#291=LINE('',#290,#289); +#292=DIRECTION('',(0.E0,-1.E0,0.E0)); +#293=VECTOR('',#292,2.269322423785E0); +#294=CARTESIAN_POINT('',(2.286E1,-1.540677576215E0,-1.397E1)); +#295=LINE('',#294,#293); +#296=DIRECTION('',(1.E0,0.E0,0.E0)); +#297=VECTOR('',#296,1.905E0); +#298=CARTESIAN_POINT('',(2.286E1,-1.540677576215E0,-1.397E1)); +#299=LINE('',#298,#297); +#300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#301=VECTOR('',#300,3.081355152430E0); +#302=CARTESIAN_POINT('',(2.4765E1,1.540677576215E0,-1.397E1)); +#303=LINE('',#302,#301); +#304=DIRECTION('',(1.E0,0.E0,0.E0)); +#305=VECTOR('',#304,1.905E0); +#306=CARTESIAN_POINT('',(2.286E1,1.540677576215E0,-1.397E1)); +#307=LINE('',#306,#305); +#308=DIRECTION('',(0.E0,-1.E0,0.E0)); +#309=VECTOR('',#308,2.269322423785E0); +#310=CARTESIAN_POINT('',(2.286E1,3.81E0,-1.397E1)); +#311=LINE('',#310,#309); +#312=DIRECTION('',(1.E0,0.E0,0.E0)); +#313=VECTOR('',#312,4.572E1); +#314=CARTESIAN_POINT('',(-2.286E1,3.81E0,-1.397E1)); +#315=LINE('',#314,#313); +#316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#317=VECTOR('',#316,1.016E0); +#318=CARTESIAN_POINT('',(-2.14884E1,-1.0922E0,-1.397E1)); +#319=LINE('',#318,#317); +#320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#321=VECTOR('',#320,1.27E-1); +#322=CARTESIAN_POINT('',(-2.14884E1,-2.1082E0,-1.397E1)); +#323=LINE('',#322,#321); +#324=DIRECTION('',(-6.428712937139E-1,7.659742160926E-1,0.E0)); +#325=VECTOR('',#324,1.975512069707E-1); +#326=CARTESIAN_POINT('',(-2.16154E1,-2.1082E0,-1.397E1)); +#327=LINE('',#326,#325); +#328=DIRECTION('',(0.E0,1.E0,0.E0)); +#329=VECTOR('',#328,1.729362101555E-1); +#330=CARTESIAN_POINT('',(-2.17424E1,-1.956880869102E0,-1.397E1)); +#331=LINE('',#330,#329); +#332=DIRECTION('',(6.428711427642E-1,-7.659743427825E-1,0.E0)); +#333=VECTOR('',#332,1.975512533568E-1); +#334=CARTESIAN_POINT('',(-2.17424E1,-1.783944658947E0,-1.397E1)); +#335=LINE('',#334,#333); +#336=DIRECTION('',(0.E0,1.E0,0.E0)); +#337=VECTOR('',#336,8.430638504028E-1); +#338=CARTESIAN_POINT('',(-2.16154E1,-1.935263850403E0,-1.397E1)); +#339=LINE('',#338,#337); +#340=DIRECTION('',(1.E0,0.E0,0.E0)); +#341=VECTOR('',#340,1.27E-1); +#342=CARTESIAN_POINT('',(-2.16154E1,-1.0922E0,-1.397E1)); +#343=LINE('',#342,#341); +#344=DIRECTION('',(0.E0,1.E0,0.E0)); +#345=VECTOR('',#344,1.080851086378E-1); +#346=CARTESIAN_POINT('',(-2.19456E1,2.000114891362E0,-1.397E1)); +#347=LINE('',#346,#345); +#348=DIRECTION('',(1.E0,0.E0,0.E0)); +#349=VECTOR('',#348,7.62E-1); +#350=CARTESIAN_POINT('',(-2.19456E1,2.1082E0,-1.397E1)); +#351=LINE('',#350,#349); +#352=DIRECTION('',(0.E0,-1.E0,0.E0)); +#353=VECTOR('',#352,1.080851086378E-1); +#354=CARTESIAN_POINT('',(-2.11836E1,2.1082E0,-1.397E1)); +#355=LINE('',#354,#353); +#356=DIRECTION('',(-1.E0,0.E0,0.E0)); +#357=VECTOR('',#356,5.926666616201E-1); +#358=CARTESIAN_POINT('',(-2.11836E1,2.000114891362E0,-1.397E1)); +#359=LINE('',#358,#357); +#360=DIRECTION('',(7.437660872566E-1,-6.684399804373E-1,0.E0)); +#361=VECTOR('',#360,7.114691980270E-1); +#362=CARTESIAN_POINT('',(-2.177626666162E1,2.000114891362E0,-1.397E1)); +#363=LINE('',#362,#361); +#364=DIRECTION('',(5.466227293958E-1,-8.373790012341E-1,0.E0)); +#365=VECTOR('',#364,7.744528583039E-2); +#366=CARTESIAN_POINT('',(-2.12471E1,1.524540434551E0,-1.397E1)); +#367=LINE('',#366,#365); +#368=DIRECTION('',(2.377711385310E-1,-9.713212062349E-1,0.E0)); +#369=VECTOR('',#368,8.902109234673E-2); +#370=CARTESIAN_POINT('',(-2.120476664648E1,1.459689378452E0,-1.397E1)); +#371=LINE('',#370,#369); +#372=DIRECTION('',(0.E0,-1.E0,0.E0)); +#373=VECTOR('',#372,4.323409795761E-2); +#374=CARTESIAN_POINT('',(-2.11836E1,1.373221303654E0,-1.397E1)); +#375=LINE('',#374,#373); +#376=DIRECTION('',(-2.377711385310E-1,-9.713212062349E-1,0.E0)); +#377=VECTOR('',#376,8.902109234674E-2); +#378=CARTESIAN_POINT('',(-2.11836E1,1.329987205696E0,-1.397E1)); +#379=LINE('',#378,#377); +#380=DIRECTION('',(-6.996250055017E-1,-7.145102180353E-1,0.E0)); +#381=VECTOR('',#380,1.210172683553E-1); +#382=CARTESIAN_POINT('',(-2.120476664648E1,1.243519130898E0,-1.397E1)); +#383=LINE('',#382,#381); +#384=DIRECTION('',(-8.906052429498E-1,-4.547771995497E-1,0.E0)); +#385=VECTOR('',#384,9.506641371225E-2); +#386=CARTESIAN_POINT('',(-2.128943335352E1,1.157051056099E0,-1.397E1)); +#387=LINE('',#386,#385); +#388=DIRECTION('',(-9.858211730931E-1,-1.677993286083E-1,0.E0)); +#389=VECTOR('',#388,1.288266102071E-1); +#390=CARTESIAN_POINT('',(-2.13741E1,1.113817018700E0,-1.397E1)); +#391=LINE('',#390,#389); +#392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#393=VECTOR('',#392,8.466667675972E-2); +#394=CARTESIAN_POINT('',(-2.15011E1,1.0922E0,-1.397E1)); +#395=LINE('',#394,#393); +#396=DIRECTION('',(-9.895240333173E-1,1.443682357291E-1,0.E0)); +#397=VECTOR('',#396,1.497352834609E-1); +#398=CARTESIAN_POINT('',(-2.158576667676E1,1.0922E0,-1.397E1)); +#399=LINE('',#398,#397); +#400=DIRECTION('',(-8.906052758868E-1,4.547771350481E-1,0.E0)); +#401=VECTOR('',#400,9.506642719563E-2); +#402=CARTESIAN_POINT('',(-2.173393333838E1,1.113817018700E0,-1.397E1)); +#403=LINE('',#402,#401); +#404=DIRECTION('',(-6.996248298646E-1,7.145103900133E-1,0.E0)); +#405=VECTOR('',#404,1.210172392272E-1); +#406=CARTESIAN_POINT('',(-2.18186E1,1.157051056099E0,-1.397E1)); +#407=LINE('',#406,#405); +#408=DIRECTION('',(-2.377713591533E-1,9.713211522284E-1,0.E0)); +#409=VECTOR('',#408,8.902109729640E-2); +#410=CARTESIAN_POINT('',(-2.190326666541E1,1.243519130898E0,-1.397E1)); +#411=LINE('',#410,#409); +#412=DIRECTION('',(1.E0,0.E0,0.E0)); +#413=VECTOR('',#412,1.058333327025E-1); +#414=CARTESIAN_POINT('',(-2.192443333270E1,1.329987205696E0,-1.397E1)); +#415=LINE('',#414,#413); +#416=DIRECTION('',(5.466225923192E-1,-8.373790907147E-1,0.E0)); +#417=VECTOR('',#416,7.744527755474E-2); +#418=CARTESIAN_POINT('',(-2.18186E1,1.329987205696E0,-1.397E1)); +#419=LINE('',#418,#417); +#420=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#421=VECTOR('',#420,7.682077837307E-2); +#422=CARTESIAN_POINT('',(-2.177626666162E1,1.265136149597E0,-1.397E1)); +#423=LINE('',#422,#421); +#424=DIRECTION('',(9.797707111493E-1,-2.001233458994E-1,0.E0)); +#425=VECTOR('',#424,1.080184753183E-1); +#426=CARTESIAN_POINT('',(-2.171276666162E1,1.221902112198E0,-1.397E1)); +#427=LINE('',#426,#425); +#428=DIRECTION('',(1.E0,0.E0,0.E0)); +#429=VECTOR('',#428,8.466664648055E-2); +#430=CARTESIAN_POINT('',(-2.160693332324E1,1.200285093498E0,-1.397E1)); +#431=LINE('',#430,#429); +#432=DIRECTION('',(9.797707055361E-1,2.001233733807E-1,0.E0)); +#433=VECTOR('',#432,1.080184604850E-1); +#434=CARTESIAN_POINT('',(-2.152226667676E1,1.200285093498E0,-1.397E1)); +#435=LINE('',#434,#433); +#436=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#437=VECTOR('',#436,7.682077837306E-2); +#438=CARTESIAN_POINT('',(-2.141643335352E1,1.221902112198E0,-1.397E1)); +#439=LINE('',#438,#437); +#440=DIRECTION('',(5.466227293958E-1,8.373790012341E-1,0.E0)); +#441=VECTOR('',#440,7.744528583038E-2); +#442=CARTESIAN_POINT('',(-2.135293335352E1,1.265136149597E0,-1.397E1)); +#443=LINE('',#442,#441); +#444=DIRECTION('',(0.E0,1.E0,0.E0)); +#445=VECTOR('',#444,4.323409795761E-2); +#446=CARTESIAN_POINT('',(-2.13106E1,1.329987205696E0,-1.397E1)); +#447=LINE('',#446,#445); +#448=DIRECTION('',(-4.397136649566E-1,8.981380143666E-1,0.E0)); +#449=VECTOR('',#448,9.627481903164E-2); +#450=CARTESIAN_POINT('',(-2.13106E1,1.373221303654E0,-1.397E1)); +#451=LINE('',#450,#449); +#452=DIRECTION('',(-7.389229549020E-1,6.737899277363E-1,0.E0)); +#453=VECTOR('',#452,8.020682569797E-1); +#454=CARTESIAN_POINT('',(-2.135293335352E1,1.459689378452E0,-1.397E1)); +#455=LINE('',#454,#453); +#456=DIRECTION('',(0.E0,1.E0,0.E0)); +#457=VECTOR('',#456,3.9878E-1); +#458=CARTESIAN_POINT('',(-2.08803875E1,2.22631E0,-1.397E1)); +#459=LINE('',#458,#457); +#460=DIRECTION('',(0.E0,1.E0,0.E0)); +#461=VECTOR('',#460,3.9878E-1); +#462=CARTESIAN_POINT('',(-2.22996125E1,2.22631E0,-1.397E1)); +#463=LINE('',#462,#461); +#464=DIRECTION('',(0.E0,-1.E0,0.E0)); +#465=VECTOR('',#464,3.9878E-1); +#466=CARTESIAN_POINT('',(-2.22996125E1,-2.22631E0,-1.397E1)); +#467=LINE('',#466,#465); +#468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#469=VECTOR('',#468,3.9878E-1); +#470=CARTESIAN_POINT('',(-2.08803875E1,-2.22631E0,-1.397E1)); +#471=LINE('',#470,#469); +#472=DIRECTION('',(0.E0,1.E0,0.E0)); +#473=VECTOR('',#472,3.9878E-1); +#474=CARTESIAN_POINT('',(-1.83403875E1,2.22631E0,-1.397E1)); +#475=LINE('',#474,#473); +#476=DIRECTION('',(0.E0,1.E0,0.E0)); +#477=VECTOR('',#476,3.9878E-1); +#478=CARTESIAN_POINT('',(-1.97596125E1,2.22631E0,-1.397E1)); +#479=LINE('',#478,#477); +#480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#481=VECTOR('',#480,3.9878E-1); +#482=CARTESIAN_POINT('',(-1.97596125E1,-2.22631E0,-1.397E1)); +#483=LINE('',#482,#481); +#484=DIRECTION('',(0.E0,-1.E0,0.E0)); +#485=VECTOR('',#484,3.9878E-1); +#486=CARTESIAN_POINT('',(-1.83403875E1,-2.22631E0,-1.397E1)); +#487=LINE('',#486,#485); +#488=DIRECTION('',(0.E0,1.E0,0.E0)); +#489=VECTOR('',#488,3.9878E-1); +#490=CARTESIAN_POINT('',(-1.58003875E1,2.22631E0,-1.397E1)); +#491=LINE('',#490,#489); +#492=DIRECTION('',(0.E0,1.E0,0.E0)); +#493=VECTOR('',#492,3.9878E-1); +#494=CARTESIAN_POINT('',(-1.72196125E1,2.22631E0,-1.397E1)); +#495=LINE('',#494,#493); +#496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#497=VECTOR('',#496,3.9878E-1); +#498=CARTESIAN_POINT('',(-1.72196125E1,-2.22631E0,-1.397E1)); +#499=LINE('',#498,#497); +#500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#501=VECTOR('',#500,3.9878E-1); +#502=CARTESIAN_POINT('',(-1.58003875E1,-2.22631E0,-1.397E1)); +#503=LINE('',#502,#501); +#504=DIRECTION('',(0.E0,1.E0,0.E0)); +#505=VECTOR('',#504,3.9878E-1); +#506=CARTESIAN_POINT('',(-1.32603875E1,2.22631E0,-1.397E1)); +#507=LINE('',#506,#505); +#508=DIRECTION('',(0.E0,1.E0,0.E0)); +#509=VECTOR('',#508,3.9878E-1); +#510=CARTESIAN_POINT('',(-1.46796125E1,2.22631E0,-1.397E1)); +#511=LINE('',#510,#509); +#512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#513=VECTOR('',#512,3.9878E-1); +#514=CARTESIAN_POINT('',(-1.46796125E1,-2.22631E0,-1.397E1)); +#515=LINE('',#514,#513); +#516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#517=VECTOR('',#516,3.9878E-1); +#518=CARTESIAN_POINT('',(-1.32603875E1,-2.22631E0,-1.397E1)); +#519=LINE('',#518,#517); +#520=DIRECTION('',(0.E0,1.E0,0.E0)); +#521=VECTOR('',#520,3.9878E-1); +#522=CARTESIAN_POINT('',(-1.07203875E1,2.22631E0,-1.397E1)); +#523=LINE('',#522,#521); +#524=DIRECTION('',(0.E0,1.E0,0.E0)); +#525=VECTOR('',#524,3.9878E-1); +#526=CARTESIAN_POINT('',(-1.21396125E1,2.22631E0,-1.397E1)); +#527=LINE('',#526,#525); +#528=DIRECTION('',(0.E0,-1.E0,0.E0)); +#529=VECTOR('',#528,3.9878E-1); +#530=CARTESIAN_POINT('',(-1.21396125E1,-2.22631E0,-1.397E1)); +#531=LINE('',#530,#529); +#532=DIRECTION('',(0.E0,-1.E0,0.E0)); +#533=VECTOR('',#532,3.9878E-1); +#534=CARTESIAN_POINT('',(-1.07203875E1,-2.22631E0,-1.397E1)); +#535=LINE('',#534,#533); +#536=DIRECTION('',(0.E0,1.E0,0.E0)); +#537=VECTOR('',#536,3.9878E-1); +#538=CARTESIAN_POINT('',(-8.1803875E0,2.22631E0,-1.397E1)); +#539=LINE('',#538,#537); +#540=DIRECTION('',(0.E0,1.E0,0.E0)); +#541=VECTOR('',#540,3.9878E-1); +#542=CARTESIAN_POINT('',(-9.5996125E0,2.22631E0,-1.397E1)); +#543=LINE('',#542,#541); +#544=DIRECTION('',(0.E0,-1.E0,0.E0)); +#545=VECTOR('',#544,3.9878E-1); +#546=CARTESIAN_POINT('',(-9.5996125E0,-2.22631E0,-1.397E1)); +#547=LINE('',#546,#545); +#548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#549=VECTOR('',#548,3.9878E-1); +#550=CARTESIAN_POINT('',(-8.1803875E0,-2.22631E0,-1.397E1)); +#551=LINE('',#550,#549); +#552=DIRECTION('',(0.E0,1.E0,0.E0)); +#553=VECTOR('',#552,3.9878E-1); +#554=CARTESIAN_POINT('',(-5.6403875E0,2.22631E0,-1.397E1)); +#555=LINE('',#554,#553); +#556=DIRECTION('',(0.E0,1.E0,0.E0)); +#557=VECTOR('',#556,3.9878E-1); +#558=CARTESIAN_POINT('',(-7.0596125E0,2.22631E0,-1.397E1)); +#559=LINE('',#558,#557); +#560=DIRECTION('',(0.E0,-1.E0,0.E0)); +#561=VECTOR('',#560,3.9878E-1); +#562=CARTESIAN_POINT('',(-7.0596125E0,-2.22631E0,-1.397E1)); +#563=LINE('',#562,#561); +#564=DIRECTION('',(0.E0,-1.E0,0.E0)); +#565=VECTOR('',#564,3.9878E-1); +#566=CARTESIAN_POINT('',(-5.6403875E0,-2.22631E0,-1.397E1)); +#567=LINE('',#566,#565); +#568=DIRECTION('',(0.E0,1.E0,0.E0)); +#569=VECTOR('',#568,3.9878E-1); +#570=CARTESIAN_POINT('',(-3.1003875E0,2.22631E0,-1.397E1)); +#571=LINE('',#570,#569); +#572=DIRECTION('',(0.E0,1.E0,0.E0)); +#573=VECTOR('',#572,3.9878E-1); +#574=CARTESIAN_POINT('',(-4.5196125E0,2.22631E0,-1.397E1)); +#575=LINE('',#574,#573); +#576=DIRECTION('',(0.E0,-1.E0,0.E0)); +#577=VECTOR('',#576,3.9878E-1); +#578=CARTESIAN_POINT('',(-4.5196125E0,-2.22631E0,-1.397E1)); +#579=LINE('',#578,#577); +#580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#581=VECTOR('',#580,3.9878E-1); +#582=CARTESIAN_POINT('',(-3.1003875E0,-2.22631E0,-1.397E1)); +#583=LINE('',#582,#581); +#584=DIRECTION('',(0.E0,1.E0,0.E0)); +#585=VECTOR('',#584,3.9878E-1); +#586=CARTESIAN_POINT('',(-5.603875E-1,2.22631E0,-1.397E1)); +#587=LINE('',#586,#585); +#588=DIRECTION('',(0.E0,1.E0,0.E0)); +#589=VECTOR('',#588,3.9878E-1); +#590=CARTESIAN_POINT('',(-1.9796125E0,2.22631E0,-1.397E1)); +#591=LINE('',#590,#589); +#592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#593=VECTOR('',#592,3.9878E-1); +#594=CARTESIAN_POINT('',(-1.9796125E0,-2.22631E0,-1.397E1)); +#595=LINE('',#594,#593); +#596=DIRECTION('',(0.E0,-1.E0,0.E0)); +#597=VECTOR('',#596,3.9878E-1); +#598=CARTESIAN_POINT('',(-5.603875E-1,-2.22631E0,-1.397E1)); +#599=LINE('',#598,#597); +#600=DIRECTION('',(0.E0,1.E0,0.E0)); +#601=VECTOR('',#600,3.9878E-1); +#602=CARTESIAN_POINT('',(1.9796125E0,2.22631E0,-1.397E1)); +#603=LINE('',#602,#601); +#604=DIRECTION('',(0.E0,1.E0,0.E0)); +#605=VECTOR('',#604,3.9878E-1); +#606=CARTESIAN_POINT('',(5.603875E-1,2.22631E0,-1.397E1)); +#607=LINE('',#606,#605); +#608=DIRECTION('',(0.E0,-1.E0,0.E0)); +#609=VECTOR('',#608,3.9878E-1); +#610=CARTESIAN_POINT('',(5.603875E-1,-2.22631E0,-1.397E1)); +#611=LINE('',#610,#609); +#612=DIRECTION('',(0.E0,-1.E0,0.E0)); +#613=VECTOR('',#612,3.9878E-1); +#614=CARTESIAN_POINT('',(1.9796125E0,-2.22631E0,-1.397E1)); +#615=LINE('',#614,#613); +#616=DIRECTION('',(0.E0,1.E0,0.E0)); +#617=VECTOR('',#616,3.9878E-1); +#618=CARTESIAN_POINT('',(4.5196125E0,2.22631E0,-1.397E1)); +#619=LINE('',#618,#617); +#620=DIRECTION('',(0.E0,1.E0,0.E0)); +#621=VECTOR('',#620,3.9878E-1); +#622=CARTESIAN_POINT('',(3.1003875E0,2.22631E0,-1.397E1)); +#623=LINE('',#622,#621); +#624=DIRECTION('',(0.E0,-1.E0,0.E0)); +#625=VECTOR('',#624,3.9878E-1); +#626=CARTESIAN_POINT('',(3.1003875E0,-2.22631E0,-1.397E1)); +#627=LINE('',#626,#625); +#628=DIRECTION('',(0.E0,-1.E0,0.E0)); +#629=VECTOR('',#628,3.9878E-1); +#630=CARTESIAN_POINT('',(4.5196125E0,-2.22631E0,-1.397E1)); +#631=LINE('',#630,#629); +#632=DIRECTION('',(0.E0,1.E0,0.E0)); +#633=VECTOR('',#632,3.9878E-1); +#634=CARTESIAN_POINT('',(7.0596125E0,2.22631E0,-1.397E1)); +#635=LINE('',#634,#633); +#636=DIRECTION('',(0.E0,1.E0,0.E0)); +#637=VECTOR('',#636,3.9878E-1); +#638=CARTESIAN_POINT('',(5.6403875E0,2.22631E0,-1.397E1)); +#639=LINE('',#638,#637); +#640=DIRECTION('',(0.E0,-1.E0,0.E0)); +#641=VECTOR('',#640,3.9878E-1); +#642=CARTESIAN_POINT('',(5.6403875E0,-2.22631E0,-1.397E1)); +#643=LINE('',#642,#641); +#644=DIRECTION('',(0.E0,-1.E0,0.E0)); +#645=VECTOR('',#644,3.9878E-1); +#646=CARTESIAN_POINT('',(7.0596125E0,-2.22631E0,-1.397E1)); +#647=LINE('',#646,#645); +#648=DIRECTION('',(0.E0,1.E0,0.E0)); +#649=VECTOR('',#648,3.9878E-1); +#650=CARTESIAN_POINT('',(9.5996125E0,2.22631E0,-1.397E1)); +#651=LINE('',#650,#649); +#652=DIRECTION('',(0.E0,1.E0,0.E0)); +#653=VECTOR('',#652,3.9878E-1); +#654=CARTESIAN_POINT('',(8.1803875E0,2.22631E0,-1.397E1)); +#655=LINE('',#654,#653); +#656=DIRECTION('',(0.E0,-1.E0,0.E0)); +#657=VECTOR('',#656,3.9878E-1); +#658=CARTESIAN_POINT('',(8.1803875E0,-2.22631E0,-1.397E1)); +#659=LINE('',#658,#657); +#660=DIRECTION('',(0.E0,-1.E0,0.E0)); +#661=VECTOR('',#660,3.9878E-1); +#662=CARTESIAN_POINT('',(9.5996125E0,-2.22631E0,-1.397E1)); +#663=LINE('',#662,#661); +#664=DIRECTION('',(0.E0,1.E0,0.E0)); +#665=VECTOR('',#664,3.9878E-1); +#666=CARTESIAN_POINT('',(1.21396125E1,2.22631E0,-1.397E1)); +#667=LINE('',#666,#665); +#668=DIRECTION('',(0.E0,1.E0,0.E0)); +#669=VECTOR('',#668,3.9878E-1); +#670=CARTESIAN_POINT('',(1.07203875E1,2.22631E0,-1.397E1)); +#671=LINE('',#670,#669); +#672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#673=VECTOR('',#672,3.9878E-1); +#674=CARTESIAN_POINT('',(1.07203875E1,-2.22631E0,-1.397E1)); +#675=LINE('',#674,#673); +#676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#677=VECTOR('',#676,3.9878E-1); +#678=CARTESIAN_POINT('',(1.21396125E1,-2.22631E0,-1.397E1)); +#679=LINE('',#678,#677); +#680=DIRECTION('',(0.E0,1.E0,0.E0)); +#681=VECTOR('',#680,3.9878E-1); +#682=CARTESIAN_POINT('',(1.46796125E1,2.22631E0,-1.397E1)); +#683=LINE('',#682,#681); +#684=DIRECTION('',(0.E0,1.E0,0.E0)); +#685=VECTOR('',#684,3.9878E-1); +#686=CARTESIAN_POINT('',(1.32603875E1,2.22631E0,-1.397E1)); +#687=LINE('',#686,#685); +#688=DIRECTION('',(0.E0,-1.E0,0.E0)); +#689=VECTOR('',#688,3.9878E-1); +#690=CARTESIAN_POINT('',(1.32603875E1,-2.22631E0,-1.397E1)); +#691=LINE('',#690,#689); +#692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#693=VECTOR('',#692,3.9878E-1); +#694=CARTESIAN_POINT('',(1.46796125E1,-2.22631E0,-1.397E1)); +#695=LINE('',#694,#693); +#696=DIRECTION('',(0.E0,1.E0,0.E0)); +#697=VECTOR('',#696,3.9878E-1); +#698=CARTESIAN_POINT('',(1.72196125E1,2.22631E0,-1.397E1)); +#699=LINE('',#698,#697); +#700=DIRECTION('',(0.E0,1.E0,0.E0)); +#701=VECTOR('',#700,3.9878E-1); +#702=CARTESIAN_POINT('',(1.58003875E1,2.22631E0,-1.397E1)); +#703=LINE('',#702,#701); +#704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#705=VECTOR('',#704,3.9878E-1); +#706=CARTESIAN_POINT('',(1.58003875E1,-2.22631E0,-1.397E1)); +#707=LINE('',#706,#705); +#708=DIRECTION('',(0.E0,-1.E0,0.E0)); +#709=VECTOR('',#708,3.9878E-1); +#710=CARTESIAN_POINT('',(1.72196125E1,-2.22631E0,-1.397E1)); +#711=LINE('',#710,#709); +#712=DIRECTION('',(0.E0,1.E0,0.E0)); +#713=VECTOR('',#712,3.9878E-1); +#714=CARTESIAN_POINT('',(1.97596125E1,2.22631E0,-1.397E1)); +#715=LINE('',#714,#713); +#716=DIRECTION('',(0.E0,1.E0,0.E0)); +#717=VECTOR('',#716,3.9878E-1); +#718=CARTESIAN_POINT('',(1.83403875E1,2.22631E0,-1.397E1)); +#719=LINE('',#718,#717); +#720=DIRECTION('',(0.E0,-1.E0,0.E0)); +#721=VECTOR('',#720,3.9878E-1); +#722=CARTESIAN_POINT('',(1.83403875E1,-2.22631E0,-1.397E1)); +#723=LINE('',#722,#721); +#724=DIRECTION('',(0.E0,-1.E0,0.E0)); +#725=VECTOR('',#724,3.9878E-1); +#726=CARTESIAN_POINT('',(1.97596125E1,-2.22631E0,-1.397E1)); +#727=LINE('',#726,#725); +#728=DIRECTION('',(0.E0,1.E0,0.E0)); +#729=VECTOR('',#728,3.9878E-1); +#730=CARTESIAN_POINT('',(2.22996125E1,2.22631E0,-1.397E1)); +#731=LINE('',#730,#729); +#732=DIRECTION('',(0.E0,1.E0,0.E0)); +#733=VECTOR('',#732,3.9878E-1); +#734=CARTESIAN_POINT('',(2.08803875E1,2.22631E0,-1.397E1)); +#735=LINE('',#734,#733); +#736=DIRECTION('',(0.E0,-1.E0,0.E0)); +#737=VECTOR('',#736,3.9878E-1); +#738=CARTESIAN_POINT('',(2.08803875E1,-2.22631E0,-1.397E1)); +#739=LINE('',#738,#737); +#740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#741=VECTOR('',#740,3.9878E-1); +#742=CARTESIAN_POINT('',(2.22996125E1,-2.22631E0,-1.397E1)); +#743=LINE('',#742,#741); +#744=DIRECTION('',(0.E0,0.E0,-1.E0)); +#745=VECTOR('',#744,1.524E0); +#746=CARTESIAN_POINT('',(-2.286E1,3.81E0,-1.397E1)); +#747=LINE('',#746,#745); +#748=DIRECTION('',(0.E0,-1.E0,0.E0)); +#749=VECTOR('',#748,1.27E0); +#750=CARTESIAN_POINT('',(-2.286E1,3.81E0,-1.5494E1)); +#751=LINE('',#750,#749); +#752=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#753=VECTOR('',#752,1.177175138490E0); +#754=CARTESIAN_POINT('',(-2.286E1,2.54E0,-1.5494E1)); +#755=LINE('',#754,#753); +#756=CARTESIAN_POINT('',(-2.286E1,1.540677576215E0,-1.4605E1)); +#757=DIRECTION('',(1.E0,0.E0,0.E0)); +#758=DIRECTION('',(0.E0,9.396926207859E-1,3.420201433257E-1)); +#759=AXIS2_PLACEMENT_3D('',#756,#757,#758); +#761=CARTESIAN_POINT('',(-2.286E1,-1.540677576215E0,-1.4605E1)); +#762=DIRECTION('',(1.E0,0.E0,0.E0)); +#763=DIRECTION('',(0.E0,0.E0,1.E0)); +#764=AXIS2_PLACEMENT_3D('',#761,#762,#763); +#766=DIRECTION('',(0.E0,-3.420201433257E-1,-9.396926207859E-1)); +#767=VECTOR('',#766,1.177175138490E0); +#768=CARTESIAN_POINT('',(-2.286E1,-2.137382390414E0,-1.438781720899E1)); +#769=LINE('',#768,#767); +#770=DIRECTION('',(0.E0,-1.E0,0.E0)); +#771=VECTOR('',#770,1.27E0); +#772=CARTESIAN_POINT('',(-2.286E1,-2.54E0,-1.5494E1)); +#773=LINE('',#772,#771); +#774=DIRECTION('',(0.E0,0.E0,1.E0)); +#775=VECTOR('',#774,1.524E0); +#776=CARTESIAN_POINT('',(-2.286E1,-3.81E0,-1.5494E1)); +#777=LINE('',#776,#775); +#778=CARTESIAN_POINT('',(-2.4003E1,3.048E0,-1.5494E1)); +#779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#780=DIRECTION('',(-1.E0,1.223867901162E-14,0.E0)); +#781=AXIS2_PLACEMENT_3D('',#778,#779,#780); +#783=DIRECTION('',(1.E0,0.E0,0.E0)); +#784=VECTOR('',#783,1.143E0); +#785=CARTESIAN_POINT('',(-2.4003E1,3.81E0,-1.5494E1)); +#786=LINE('',#785,#784); +#787=DIRECTION('',(0.E0,0.E0,-1.E0)); +#788=VECTOR('',#787,4.572E0); +#789=CARTESIAN_POINT('',(-2.4765E1,3.048E0,-1.0922E1)); +#790=LINE('',#789,#788); +#791=DIRECTION('',(0.E0,0.E0,1.E0)); +#792=VECTOR('',#791,4.572E0); +#793=CARTESIAN_POINT('',(-2.4003E1,3.81E0,-1.5494E1)); +#794=LINE('',#793,#792); +#795=CARTESIAN_POINT('',(-2.4003E1,3.048E0,-1.0922E1)); +#796=DIRECTION('',(0.E0,0.E0,-1.E0)); +#797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#798=AXIS2_PLACEMENT_3D('',#795,#796,#797); +#800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#801=VECTOR('',#800,5.08E-1); +#802=CARTESIAN_POINT('',(-2.4765E1,-2.54E0,-1.5494E1)); +#803=LINE('',#802,#801); +#804=DIRECTION('',(0.E0,-3.420201433257E-1,-9.396926207859E-1)); +#805=VECTOR('',#804,1.177175138490E0); +#806=CARTESIAN_POINT('',(-2.4765E1,-2.137382390414E0,-1.438781720899E1)); +#807=LINE('',#806,#805); +#808=CARTESIAN_POINT('',(-2.4765E1,-1.540677576215E0,-1.4605E1)); +#809=DIRECTION('',(1.E0,0.E0,0.E0)); +#810=DIRECTION('',(0.E0,0.E0,1.E0)); +#811=AXIS2_PLACEMENT_3D('',#808,#809,#810); +#813=CARTESIAN_POINT('',(-2.4765E1,1.540677576215E0,-1.4605E1)); +#814=DIRECTION('',(1.E0,0.E0,0.E0)); +#815=DIRECTION('',(0.E0,9.396926207859E-1,3.420201433257E-1)); +#816=AXIS2_PLACEMENT_3D('',#813,#814,#815); +#818=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#819=VECTOR('',#818,1.177175138490E0); +#820=CARTESIAN_POINT('',(-2.4765E1,2.54E0,-1.5494E1)); +#821=LINE('',#820,#819); +#822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#823=VECTOR('',#822,5.08E-1); +#824=CARTESIAN_POINT('',(-2.4765E1,3.048E0,-1.5494E1)); +#825=LINE('',#824,#823); +#826=DIRECTION('',(0.E0,-1.E0,0.E0)); +#827=VECTOR('',#826,6.096E0); +#828=CARTESIAN_POINT('',(-2.4765E1,3.048E0,-1.0922E1)); +#829=LINE('',#828,#827); +#830=DIRECTION('',(0.E0,0.E0,-1.E0)); +#831=VECTOR('',#830,4.572E0); +#832=CARTESIAN_POINT('',(-2.4003E1,-3.81E0,-1.0922E1)); +#833=LINE('',#832,#831); +#834=DIRECTION('',(0.E0,0.E0,1.E0)); +#835=VECTOR('',#834,4.572E0); +#836=CARTESIAN_POINT('',(-2.4765E1,-3.048E0,-1.5494E1)); +#837=LINE('',#836,#835); +#838=CARTESIAN_POINT('',(-2.4003E1,-3.048E0,-1.0922E1)); +#839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#841=AXIS2_PLACEMENT_3D('',#838,#839,#840); +#843=CARTESIAN_POINT('',(-2.4003E1,-3.048E0,-1.5494E1)); +#844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#845=DIRECTION('',(0.E0,-1.E0,0.E0)); +#846=AXIS2_PLACEMENT_3D('',#843,#844,#845); +#848=DIRECTION('',(1.E0,0.E0,0.E0)); +#849=VECTOR('',#848,1.905E0); +#850=CARTESIAN_POINT('',(-2.4765E1,-2.54E0,-1.5494E1)); +#851=LINE('',#850,#849); +#852=DIRECTION('',(1.E0,0.E0,0.E0)); +#853=VECTOR('',#852,1.905E0); +#854=CARTESIAN_POINT('',(-2.4765E1,-2.137382390414E0,-1.438781720899E1)); +#855=LINE('',#854,#853); +#856=DIRECTION('',(1.E0,0.E0,0.E0)); +#857=VECTOR('',#856,1.905E0); +#858=CARTESIAN_POINT('',(-2.4765E1,2.137382390414E0,-1.438781720899E1)); +#859=LINE('',#858,#857); +#860=DIRECTION('',(1.E0,0.E0,0.E0)); +#861=VECTOR('',#860,1.905E0); +#862=CARTESIAN_POINT('',(-2.4765E1,2.54E0,-1.5494E1)); +#863=LINE('',#862,#861); +#864=DIRECTION('',(-1.E0,0.E0,0.E0)); +#865=VECTOR('',#864,1.905E0); +#866=CARTESIAN_POINT('',(2.4765E1,-2.137382390414E0,-1.438781720899E1)); +#867=LINE('',#866,#865); +#868=DIRECTION('',(-1.E0,0.E0,0.E0)); +#869=VECTOR('',#868,1.905E0); +#870=CARTESIAN_POINT('',(2.4765E1,-2.54E0,-1.5494E1)); +#871=LINE('',#870,#869); +#872=CARTESIAN_POINT('',(2.4003E1,-3.048E0,-1.5494E1)); +#873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#874=DIRECTION('',(1.E0,-1.223867901162E-14,0.E0)); +#875=AXIS2_PLACEMENT_3D('',#872,#873,#874); +#877=DIRECTION('',(-1.E0,0.E0,0.E0)); +#878=VECTOR('',#877,1.143E0); +#879=CARTESIAN_POINT('',(2.4003E1,-3.81E0,-1.5494E1)); +#880=LINE('',#879,#878); +#881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#882=VECTOR('',#881,1.905E0); +#883=CARTESIAN_POINT('',(2.4765E1,2.137382390414E0,-1.438781720899E1)); +#884=LINE('',#883,#882); +#885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#886=VECTOR('',#885,1.27E-1); +#887=CARTESIAN_POINT('',(-2.14884E1,-1.0922E0,-1.397E1)); +#888=LINE('',#887,#886); +#889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#890=VECTOR('',#889,1.27E-1); +#891=CARTESIAN_POINT('',(-2.16154E1,-1.0922E0,-1.397E1)); +#892=LINE('',#891,#890); +#893=DIRECTION('',(0.E0,-1.049029629567E-14,-1.E0)); +#894=VECTOR('',#893,1.27E-1); +#895=CARTESIAN_POINT('',(-2.16154E1,-1.935263850403E0,-1.397E1)); +#896=LINE('',#895,#894); +#897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#898=VECTOR('',#897,1.27E-1); +#899=CARTESIAN_POINT('',(-2.17424E1,-1.783944658947E0,-1.397E1)); +#900=LINE('',#899,#898); +#901=DIRECTION('',(0.E0,1.573544444351E-14,-1.E0)); +#902=VECTOR('',#901,1.27E-1); +#903=CARTESIAN_POINT('',(-2.17424E1,-1.956880869102E0,-1.397E1)); +#904=LINE('',#903,#902); +#905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#906=VECTOR('',#905,1.27E-1); +#907=CARTESIAN_POINT('',(-2.16154E1,-2.1082E0,-1.397E1)); +#908=LINE('',#907,#906); +#909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#910=VECTOR('',#909,1.27E-1); +#911=CARTESIAN_POINT('',(-2.14884E1,-2.1082E0,-1.397E1)); +#912=LINE('',#911,#910); +#913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#914=VECTOR('',#913,1.016E0); +#915=CARTESIAN_POINT('',(-2.14884E1,-1.0922E0,-1.4097E1)); +#916=LINE('',#915,#914); +#917=DIRECTION('',(1.E0,0.E0,0.E0)); +#918=VECTOR('',#917,1.27E-1); +#919=CARTESIAN_POINT('',(-2.16154E1,-1.0922E0,-1.4097E1)); +#920=LINE('',#919,#918); +#921=DIRECTION('',(0.E0,1.E0,0.E0)); +#922=VECTOR('',#921,8.430638504028E-1); +#923=CARTESIAN_POINT('',(-2.16154E1,-1.935263850403E0,-1.4097E1)); +#924=LINE('',#923,#922); +#925=DIRECTION('',(6.428711427642E-1,-7.659743427825E-1,0.E0)); +#926=VECTOR('',#925,1.975512533568E-1); +#927=CARTESIAN_POINT('',(-2.17424E1,-1.783944658947E0,-1.4097E1)); +#928=LINE('',#927,#926); +#929=DIRECTION('',(0.E0,1.E0,0.E0)); +#930=VECTOR('',#929,1.729362101555E-1); +#931=CARTESIAN_POINT('',(-2.17424E1,-1.956880869102E0,-1.4097E1)); +#932=LINE('',#931,#930); +#933=DIRECTION('',(-6.428712937139E-1,7.659742160926E-1,0.E0)); +#934=VECTOR('',#933,1.975512069707E-1); +#935=CARTESIAN_POINT('',(-2.16154E1,-2.1082E0,-1.4097E1)); +#936=LINE('',#935,#934); +#937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#938=VECTOR('',#937,1.27E-1); +#939=CARTESIAN_POINT('',(-2.14884E1,-2.1082E0,-1.4097E1)); +#940=LINE('',#939,#938); +#941=DIRECTION('',(0.E0,1.E0,0.E0)); +#942=VECTOR('',#941,1.080851086378E-1); +#943=CARTESIAN_POINT('',(-2.19456E1,2.000114891362E0,-1.4097E1)); +#944=LINE('',#943,#942); +#945=DIRECTION('',(-7.389229549020E-1,6.737899277363E-1,0.E0)); +#946=VECTOR('',#945,8.020682569797E-1); +#947=CARTESIAN_POINT('',(-2.135293335352E1,1.459689378452E0,-1.4097E1)); +#948=LINE('',#947,#946); +#949=DIRECTION('',(-4.397136649566E-1,8.981380143666E-1,0.E0)); +#950=VECTOR('',#949,9.627481903164E-2); +#951=CARTESIAN_POINT('',(-2.13106E1,1.373221303654E0,-1.4097E1)); +#952=LINE('',#951,#950); +#953=DIRECTION('',(0.E0,1.E0,0.E0)); +#954=VECTOR('',#953,4.323409795761E-2); +#955=CARTESIAN_POINT('',(-2.13106E1,1.329987205696E0,-1.4097E1)); +#956=LINE('',#955,#954); +#957=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#958=VECTOR('',#957,7.744528583038E-2); +#959=CARTESIAN_POINT('',(-2.135293335352E1,1.265136149597E0,-1.4097E1)); +#960=LINE('',#959,#958); +#961=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#962=VECTOR('',#961,7.682077837306E-2); +#963=CARTESIAN_POINT('',(-2.141643335352E1,1.221902112198E0,-1.4097E1)); +#964=LINE('',#963,#962); +#965=DIRECTION('',(9.797707055361E-1,2.001233733807E-1,0.E0)); +#966=VECTOR('',#965,1.080184604850E-1); +#967=CARTESIAN_POINT('',(-2.152226667676E1,1.200285093498E0,-1.4097E1)); +#968=LINE('',#967,#966); +#969=DIRECTION('',(1.E0,0.E0,0.E0)); +#970=VECTOR('',#969,8.466664648056E-2); +#971=CARTESIAN_POINT('',(-2.160693332324E1,1.200285093498E0,-1.4097E1)); +#972=LINE('',#971,#970); +#973=DIRECTION('',(9.797707111493E-1,-2.001233458994E-1,0.E0)); +#974=VECTOR('',#973,1.080184753183E-1); +#975=CARTESIAN_POINT('',(-2.171276666162E1,1.221902112198E0,-1.4097E1)); +#976=LINE('',#975,#974); +#977=DIRECTION('',(8.265992787996E-1,-5.627909312418E-1,0.E0)); +#978=VECTOR('',#977,7.682077837306E-2); +#979=CARTESIAN_POINT('',(-2.177626666162E1,1.265136149597E0,-1.4097E1)); +#980=LINE('',#979,#978); +#981=DIRECTION('',(5.466225923192E-1,-8.373790907147E-1,0.E0)); +#982=VECTOR('',#981,7.744527755474E-2); +#983=CARTESIAN_POINT('',(-2.18186E1,1.329987205696E0,-1.4097E1)); +#984=LINE('',#983,#982); +#985=DIRECTION('',(1.E0,0.E0,0.E0)); +#986=VECTOR('',#985,1.058333327025E-1); +#987=CARTESIAN_POINT('',(-2.192443333270E1,1.329987205696E0,-1.4097E1)); +#988=LINE('',#987,#986); +#989=DIRECTION('',(-2.377713591533E-1,9.713211522284E-1,0.E0)); +#990=VECTOR('',#989,8.902109729640E-2); +#991=CARTESIAN_POINT('',(-2.190326666541E1,1.243519130898E0,-1.4097E1)); +#992=LINE('',#991,#990); +#993=DIRECTION('',(-6.996248298646E-1,7.145103900133E-1,0.E0)); +#994=VECTOR('',#993,1.210172392272E-1); +#995=CARTESIAN_POINT('',(-2.18186E1,1.157051056099E0,-1.4097E1)); +#996=LINE('',#995,#994); +#997=DIRECTION('',(-8.906052758868E-1,4.547771350481E-1,0.E0)); +#998=VECTOR('',#997,9.506642719563E-2); +#999=CARTESIAN_POINT('',(-2.173393333838E1,1.113817018700E0,-1.4097E1)); +#1000=LINE('',#999,#998); +#1001=DIRECTION('',(-9.895240333173E-1,1.443682357291E-1,0.E0)); +#1002=VECTOR('',#1001,1.497352834609E-1); +#1003=CARTESIAN_POINT('',(-2.158576667676E1,1.0922E0,-1.4097E1)); +#1004=LINE('',#1003,#1002); +#1005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1006=VECTOR('',#1005,8.466667675972E-2); +#1007=CARTESIAN_POINT('',(-2.15011E1,1.0922E0,-1.4097E1)); +#1008=LINE('',#1007,#1006); +#1009=DIRECTION('',(-9.858211730931E-1,-1.677993286083E-1,0.E0)); +#1010=VECTOR('',#1009,1.288266102071E-1); +#1011=CARTESIAN_POINT('',(-2.13741E1,1.113817018700E0,-1.4097E1)); +#1012=LINE('',#1011,#1010); +#1013=DIRECTION('',(-8.906052429498E-1,-4.547771995497E-1,0.E0)); +#1014=VECTOR('',#1013,9.506641371225E-2); +#1015=CARTESIAN_POINT('',(-2.128943335352E1,1.157051056099E0,-1.4097E1)); +#1016=LINE('',#1015,#1014); +#1017=DIRECTION('',(-6.996250055017E-1,-7.145102180353E-1,0.E0)); +#1018=VECTOR('',#1017,1.210172683553E-1); +#1019=CARTESIAN_POINT('',(-2.120476664648E1,1.243519130898E0,-1.4097E1)); +#1020=LINE('',#1019,#1018); +#1021=DIRECTION('',(-2.377711385310E-1,-9.713212062349E-1,0.E0)); +#1022=VECTOR('',#1021,8.902109234674E-2); +#1023=CARTESIAN_POINT('',(-2.11836E1,1.329987205696E0,-1.4097E1)); +#1024=LINE('',#1023,#1022); +#1025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1026=VECTOR('',#1025,4.323409795761E-2); +#1027=CARTESIAN_POINT('',(-2.11836E1,1.373221303654E0,-1.4097E1)); +#1028=LINE('',#1027,#1026); +#1029=DIRECTION('',(2.377711385310E-1,-9.713212062349E-1,0.E0)); +#1030=VECTOR('',#1029,8.902109234674E-2); +#1031=CARTESIAN_POINT('',(-2.120476664648E1,1.459689378452E0,-1.4097E1)); +#1032=LINE('',#1031,#1030); +#1033=DIRECTION('',(5.466227293958E-1,-8.373790012341E-1,0.E0)); +#1034=VECTOR('',#1033,7.744528583038E-2); +#1035=CARTESIAN_POINT('',(-2.12471E1,1.524540434551E0,-1.4097E1)); +#1036=LINE('',#1035,#1034); +#1037=DIRECTION('',(7.437660872566E-1,-6.684399804373E-1,0.E0)); +#1038=VECTOR('',#1037,7.114691980270E-1); +#1039=CARTESIAN_POINT('',(-2.177626666162E1,2.000114891362E0,-1.4097E1)); +#1040=LINE('',#1039,#1038); +#1041=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1042=VECTOR('',#1041,5.926666616201E-1); +#1043=CARTESIAN_POINT('',(-2.11836E1,2.000114891362E0,-1.4097E1)); +#1044=LINE('',#1043,#1042); +#1045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1046=VECTOR('',#1045,1.080851086378E-1); +#1047=CARTESIAN_POINT('',(-2.11836E1,2.1082E0,-1.4097E1)); +#1048=LINE('',#1047,#1046); +#1049=DIRECTION('',(1.E0,0.E0,0.E0)); +#1050=VECTOR('',#1049,7.62E-1); +#1051=CARTESIAN_POINT('',(-2.19456E1,2.1082E0,-1.4097E1)); +#1052=LINE('',#1051,#1050); +#1053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1054=VECTOR('',#1053,1.27E-1); +#1055=CARTESIAN_POINT('',(-2.19456E1,2.000114891362E0,-1.397E1)); +#1056=LINE('',#1055,#1054); +#1057=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1058=VECTOR('',#1057,1.27E-1); +#1059=CARTESIAN_POINT('',(-2.135293335352E1,1.459689378452E0,-1.397E1)); +#1060=LINE('',#1059,#1058); +#1061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1062=VECTOR('',#1061,1.27E-1); +#1063=CARTESIAN_POINT('',(-2.13106E1,1.373221303654E0,-1.397E1)); +#1064=LINE('',#1063,#1062); +#1065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1066=VECTOR('',#1065,1.27E-1); +#1067=CARTESIAN_POINT('',(-2.13106E1,1.329987205696E0,-1.397E1)); +#1068=LINE('',#1067,#1066); +#1069=DIRECTION('',(2.797412345512E-14,0.E0,-1.E0)); +#1070=VECTOR('',#1069,1.27E-1); +#1071=CARTESIAN_POINT('',(-2.135293335352E1,1.265136149597E0,-1.397E1)); +#1072=LINE('',#1071,#1070); +#1073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1074=VECTOR('',#1073,1.27E-1); +#1075=CARTESIAN_POINT('',(-2.141643335352E1,1.221902112198E0,-1.397E1)); +#1076=LINE('',#1075,#1074); +#1077=DIRECTION('',(-2.797412345512E-14,0.E0,-1.E0)); +#1078=VECTOR('',#1077,1.27E-1); +#1079=CARTESIAN_POINT('',(-2.152226667676E1,1.200285093498E0,-1.397E1)); +#1080=LINE('',#1079,#1078); +#1081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1082=VECTOR('',#1081,1.27E-1); +#1083=CARTESIAN_POINT('',(-2.160693332324E1,1.200285093498E0,-1.397E1)); +#1084=LINE('',#1083,#1082); +#1085=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1086=VECTOR('',#1085,1.27E-1); +#1087=CARTESIAN_POINT('',(-2.171276666162E1,1.221902112198E0,-1.397E1)); +#1088=LINE('',#1087,#1086); +#1089=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1090=VECTOR('',#1089,1.27E-1); +#1091=CARTESIAN_POINT('',(-2.177626666162E1,1.265136149597E0,-1.397E1)); +#1092=LINE('',#1091,#1090); +#1093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1094=VECTOR('',#1093,1.27E-1); +#1095=CARTESIAN_POINT('',(-2.18186E1,1.329987205696E0,-1.397E1)); +#1096=LINE('',#1095,#1094); +#1097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1098=VECTOR('',#1097,1.27E-1); +#1099=CARTESIAN_POINT('',(-2.192443333270E1,1.329987205696E0,-1.397E1)); +#1100=LINE('',#1099,#1098); +#1101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1102=VECTOR('',#1101,1.27E-1); +#1103=CARTESIAN_POINT('',(-2.190326666541E1,1.243519130898E0,-1.397E1)); +#1104=LINE('',#1103,#1102); +#1105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1106=VECTOR('',#1105,1.27E-1); +#1107=CARTESIAN_POINT('',(-2.18186E1,1.157051056099E0,-1.397E1)); +#1108=LINE('',#1107,#1106); +#1109=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1110=VECTOR('',#1109,1.27E-1); +#1111=CARTESIAN_POINT('',(-2.173393333838E1,1.113817018700E0,-1.397E1)); +#1112=LINE('',#1111,#1110); +#1113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1114=VECTOR('',#1113,1.27E-1); +#1115=CARTESIAN_POINT('',(-2.158576667676E1,1.0922E0,-1.397E1)); +#1116=LINE('',#1115,#1114); +#1117=DIRECTION('',(-2.797412345512E-14,0.E0,-1.E0)); +#1118=VECTOR('',#1117,1.27E-1); +#1119=CARTESIAN_POINT('',(-2.15011E1,1.0922E0,-1.397E1)); +#1120=LINE('',#1119,#1118); +#1121=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1122=VECTOR('',#1121,1.27E-1); +#1123=CARTESIAN_POINT('',(-2.13741E1,1.113817018700E0,-1.397E1)); +#1124=LINE('',#1123,#1122); +#1125=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1126=VECTOR('',#1125,1.27E-1); +#1127=CARTESIAN_POINT('',(-2.128943335352E1,1.157051056099E0,-1.397E1)); +#1128=LINE('',#1127,#1126); +#1129=DIRECTION('',(2.797412345512E-14,1.923220987540E-14,-1.E0)); +#1130=VECTOR('',#1129,1.27E-1); +#1131=CARTESIAN_POINT('',(-2.120476664648E1,1.243519130898E0,-1.397E1)); +#1132=LINE('',#1131,#1130); +#1133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1134=VECTOR('',#1133,1.27E-1); +#1135=CARTESIAN_POINT('',(-2.11836E1,1.329987205696E0,-1.397E1)); +#1136=LINE('',#1135,#1134); +#1137=DIRECTION('',(0.E0,-2.797412345512E-14,-1.E0)); +#1138=VECTOR('',#1137,1.27E-1); +#1139=CARTESIAN_POINT('',(-2.11836E1,1.373221303654E0,-1.397E1)); +#1140=LINE('',#1139,#1138); +#1141=DIRECTION('',(-2.797412345512E-14,2.447735802323E-14,-1.E0)); +#1142=VECTOR('',#1141,1.27E-1); +#1143=CARTESIAN_POINT('',(-2.120476664648E1,1.459689378452E0,-1.397E1)); +#1144=LINE('',#1143,#1142); +#1145=DIRECTION('',(0.E0,-1.573544444351E-14,-1.E0)); +#1146=VECTOR('',#1145,1.27E-1); +#1147=CARTESIAN_POINT('',(-2.12471E1,1.524540434551E0,-1.397E1)); +#1148=LINE('',#1147,#1146); +#1149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1150=VECTOR('',#1149,1.27E-1); +#1151=CARTESIAN_POINT('',(-2.177626666162E1,2.000114891362E0,-1.397E1)); +#1152=LINE('',#1151,#1150); +#1153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1154=VECTOR('',#1153,1.27E-1); +#1155=CARTESIAN_POINT('',(-2.11836E1,2.000114891362E0,-1.397E1)); +#1156=LINE('',#1155,#1154); +#1157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1158=VECTOR('',#1157,1.27E-1); +#1159=CARTESIAN_POINT('',(-2.11836E1,2.1082E0,-1.397E1)); +#1160=LINE('',#1159,#1158); +#1161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1162=VECTOR('',#1161,1.27E-1); +#1163=CARTESIAN_POINT('',(-2.19456E1,2.1082E0,-1.397E1)); +#1164=LINE('',#1163,#1162); +#1165=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1166=VECTOR('',#1165,1.576847855741E0); +#1167=CARTESIAN_POINT('',(-2.08803875E1,2.62509E0,-1.397E1)); +#1168=LINE('',#1167,#1166); +#1169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1170=VECTOR('',#1169,1.419225E0); +#1171=CARTESIAN_POINT('',(-2.08803875E1,2.62509E0,-1.397E1)); +#1172=LINE('',#1171,#1170); +#1173=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1174=VECTOR('',#1173,1.576847855741E0); +#1175=CARTESIAN_POINT('',(-2.18948E1,2.62509E0,-1.5494E1)); +#1176=LINE('',#1175,#1174); +#1177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1178=VECTOR('',#1177,3.175E0); +#1179=CARTESIAN_POINT('',(-2.18948E1,2.62509E0,-1.5494E1)); +#1180=LINE('',#1179,#1178); +#1181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1182=VECTOR('',#1181,3.9878E-1); +#1183=CARTESIAN_POINT('',(-2.12852E1,2.62509E0,-1.5494E1)); +#1184=LINE('',#1183,#1182); +#1185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1186=VECTOR('',#1185,3.175E0); +#1187=CARTESIAN_POINT('',(-2.12852E1,2.62509E0,-1.5494E1)); +#1188=LINE('',#1187,#1186); +#1189=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1190=VECTOR('',#1189,1.576847855741E0); +#1191=CARTESIAN_POINT('',(-2.18948E1,2.22631E0,-1.5494E1)); +#1192=LINE('',#1191,#1190); +#1193=DIRECTION('',(1.E0,0.E0,0.E0)); +#1194=VECTOR('',#1193,1.419225E0); +#1195=CARTESIAN_POINT('',(-2.22996125E1,2.22631E0,-1.397E1)); +#1196=LINE('',#1195,#1194); +#1197=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1198=VECTOR('',#1197,1.576847855741E0); +#1199=CARTESIAN_POINT('',(-2.08803875E1,2.22631E0,-1.397E1)); +#1200=LINE('',#1199,#1198); +#1201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1202=VECTOR('',#1201,3.175E0); +#1203=CARTESIAN_POINT('',(-2.12852E1,2.22631E0,-1.5494E1)); +#1204=LINE('',#1203,#1202); +#1205=DIRECTION('',(0.E0,1.E0,0.E0)); +#1206=VECTOR('',#1205,3.9878E-1); +#1207=CARTESIAN_POINT('',(-2.18948E1,2.22631E0,-1.5494E1)); +#1208=LINE('',#1207,#1206); +#1209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1210=VECTOR('',#1209,3.175E0); +#1211=CARTESIAN_POINT('',(-2.18948E1,2.22631E0,-1.5494E1)); +#1212=LINE('',#1211,#1210); +#1213=DIRECTION('',(0.E0,1.E0,0.E0)); +#1214=VECTOR('',#1213,3.9878E-1); +#1215=CARTESIAN_POINT('',(-2.18948E1,-2.62509E0,-1.8669E1)); +#1216=LINE('',#1215,#1214); +#1217=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1218=VECTOR('',#1217,6.096E-1); +#1219=CARTESIAN_POINT('',(-2.12852E1,-2.62509E0,-1.8669E1)); +#1220=LINE('',#1219,#1218); +#1221=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1222=VECTOR('',#1221,3.9878E-1); +#1223=CARTESIAN_POINT('',(-2.12852E1,-2.22631E0,-1.8669E1)); +#1224=LINE('',#1223,#1222); +#1225=DIRECTION('',(1.E0,0.E0,0.E0)); +#1226=VECTOR('',#1225,6.096E-1); +#1227=CARTESIAN_POINT('',(-2.18948E1,-2.22631E0,-1.8669E1)); +#1228=LINE('',#1227,#1226); +#1229=DIRECTION('',(1.E0,0.E0,0.E0)); +#1230=VECTOR('',#1229,6.096E-1); +#1231=CARTESIAN_POINT('',(-2.18948E1,2.62509E0,-1.8669E1)); +#1232=LINE('',#1231,#1230); +#1233=DIRECTION('',(0.E0,1.E0,0.E0)); +#1234=VECTOR('',#1233,3.9878E-1); +#1235=CARTESIAN_POINT('',(-2.18948E1,2.22631E0,-1.8669E1)); +#1236=LINE('',#1235,#1234); +#1237=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1238=VECTOR('',#1237,6.096E-1); +#1239=CARTESIAN_POINT('',(-2.12852E1,2.22631E0,-1.8669E1)); +#1240=LINE('',#1239,#1238); +#1241=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1242=VECTOR('',#1241,3.9878E-1); +#1243=CARTESIAN_POINT('',(-2.12852E1,2.62509E0,-1.8669E1)); +#1244=LINE('',#1243,#1242); +#1245=DIRECTION('',(0.E0,1.E0,0.E0)); +#1246=VECTOR('',#1245,3.9878E-1); +#1247=CARTESIAN_POINT('',(-2.18948E1,-2.62509E0,-1.5494E1)); +#1248=LINE('',#1247,#1246); +#1249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1250=VECTOR('',#1249,3.175E0); +#1251=CARTESIAN_POINT('',(-2.18948E1,-2.62509E0,-1.5494E1)); +#1252=LINE('',#1251,#1250); +#1253=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1254=VECTOR('',#1253,1.576847855741E0); +#1255=CARTESIAN_POINT('',(-2.22996125E1,-2.62509E0,-1.397E1)); +#1256=LINE('',#1255,#1254); +#1257=DIRECTION('',(1.E0,0.E0,0.E0)); +#1258=VECTOR('',#1257,1.419225E0); +#1259=CARTESIAN_POINT('',(-2.22996125E1,-2.62509E0,-1.397E1)); +#1260=LINE('',#1259,#1258); +#1261=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1262=VECTOR('',#1261,1.576847855741E0); +#1263=CARTESIAN_POINT('',(-2.12852E1,-2.62509E0,-1.5494E1)); +#1264=LINE('',#1263,#1262); +#1265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1266=VECTOR('',#1265,3.175E0); +#1267=CARTESIAN_POINT('',(-2.12852E1,-2.62509E0,-1.5494E1)); +#1268=LINE('',#1267,#1266); +#1269=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1270=VECTOR('',#1269,1.576847855741E0); +#1271=CARTESIAN_POINT('',(-2.12852E1,-2.22631E0,-1.5494E1)); +#1272=LINE('',#1271,#1270); +#1273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1274=VECTOR('',#1273,1.419225E0); +#1275=CARTESIAN_POINT('',(-2.08803875E1,-2.22631E0,-1.397E1)); +#1276=LINE('',#1275,#1274); +#1277=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1278=VECTOR('',#1277,1.576847855741E0); +#1279=CARTESIAN_POINT('',(-2.22996125E1,-2.22631E0,-1.397E1)); +#1280=LINE('',#1279,#1278); +#1281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1282=VECTOR('',#1281,3.175E0); +#1283=CARTESIAN_POINT('',(-2.18948E1,-2.22631E0,-1.5494E1)); +#1284=LINE('',#1283,#1282); +#1285=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1286=VECTOR('',#1285,3.9878E-1); +#1287=CARTESIAN_POINT('',(-2.12852E1,-2.22631E0,-1.5494E1)); +#1288=LINE('',#1287,#1286); +#1289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1290=VECTOR('',#1289,3.175E0); +#1291=CARTESIAN_POINT('',(-2.12852E1,-2.22631E0,-1.5494E1)); +#1292=LINE('',#1291,#1290); +#1293=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1294=VECTOR('',#1293,1.576847855741E0); +#1295=CARTESIAN_POINT('',(-1.83403875E1,2.62509E0,-1.397E1)); +#1296=LINE('',#1295,#1294); +#1297=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1298=VECTOR('',#1297,1.419225E0); +#1299=CARTESIAN_POINT('',(-1.83403875E1,2.62509E0,-1.397E1)); +#1300=LINE('',#1299,#1298); +#1301=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1302=VECTOR('',#1301,1.576847855741E0); +#1303=CARTESIAN_POINT('',(-1.93548E1,2.62509E0,-1.5494E1)); +#1304=LINE('',#1303,#1302); +#1305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1306=VECTOR('',#1305,3.175E0); +#1307=CARTESIAN_POINT('',(-1.93548E1,2.62509E0,-1.5494E1)); +#1308=LINE('',#1307,#1306); +#1309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1310=VECTOR('',#1309,3.9878E-1); +#1311=CARTESIAN_POINT('',(-1.87452E1,2.62509E0,-1.5494E1)); +#1312=LINE('',#1311,#1310); +#1313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1314=VECTOR('',#1313,3.175E0); +#1315=CARTESIAN_POINT('',(-1.87452E1,2.62509E0,-1.5494E1)); +#1316=LINE('',#1315,#1314); +#1317=DIRECTION('',(1.E0,0.E0,0.E0)); +#1318=VECTOR('',#1317,1.419225E0); +#1319=CARTESIAN_POINT('',(-1.97596125E1,2.22631E0,-1.397E1)); +#1320=LINE('',#1319,#1318); +#1321=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1322=VECTOR('',#1321,1.576847855741E0); +#1323=CARTESIAN_POINT('',(-1.83403875E1,2.22631E0,-1.397E1)); +#1324=LINE('',#1323,#1322); +#1325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1326=VECTOR('',#1325,3.175E0); +#1327=CARTESIAN_POINT('',(-1.87452E1,2.22631E0,-1.5494E1)); +#1328=LINE('',#1327,#1326); +#1329=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1330=VECTOR('',#1329,1.576847855741E0); +#1331=CARTESIAN_POINT('',(-1.93548E1,2.22631E0,-1.5494E1)); +#1332=LINE('',#1331,#1330); +#1333=DIRECTION('',(0.E0,1.E0,0.E0)); +#1334=VECTOR('',#1333,3.9878E-1); +#1335=CARTESIAN_POINT('',(-1.93548E1,-2.62509E0,-1.8669E1)); +#1336=LINE('',#1335,#1334); +#1337=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1338=VECTOR('',#1337,6.096E-1); +#1339=CARTESIAN_POINT('',(-1.87452E1,-2.62509E0,-1.8669E1)); +#1340=LINE('',#1339,#1338); +#1341=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1342=VECTOR('',#1341,3.9878E-1); +#1343=CARTESIAN_POINT('',(-1.87452E1,-2.22631E0,-1.8669E1)); +#1344=LINE('',#1343,#1342); +#1345=DIRECTION('',(1.E0,0.E0,0.E0)); +#1346=VECTOR('',#1345,6.096E-1); +#1347=CARTESIAN_POINT('',(-1.93548E1,-2.22631E0,-1.8669E1)); +#1348=LINE('',#1347,#1346); +#1349=DIRECTION('',(1.E0,0.E0,0.E0)); +#1350=VECTOR('',#1349,6.096E-1); +#1351=CARTESIAN_POINT('',(-1.93548E1,2.62509E0,-1.8669E1)); +#1352=LINE('',#1351,#1350); +#1353=DIRECTION('',(0.E0,1.E0,0.E0)); +#1354=VECTOR('',#1353,3.9878E-1); +#1355=CARTESIAN_POINT('',(-1.93548E1,2.22631E0,-1.8669E1)); +#1356=LINE('',#1355,#1354); +#1357=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1358=VECTOR('',#1357,6.096E-1); +#1359=CARTESIAN_POINT('',(-1.87452E1,2.22631E0,-1.8669E1)); +#1360=LINE('',#1359,#1358); +#1361=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1362=VECTOR('',#1361,3.9878E-1); +#1363=CARTESIAN_POINT('',(-1.87452E1,2.62509E0,-1.8669E1)); +#1364=LINE('',#1363,#1362); +#1365=DIRECTION('',(0.E0,1.E0,0.E0)); +#1366=VECTOR('',#1365,3.9878E-1); +#1367=CARTESIAN_POINT('',(-1.93548E1,-2.62509E0,-1.5494E1)); +#1368=LINE('',#1367,#1366); +#1369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1370=VECTOR('',#1369,3.175E0); +#1371=CARTESIAN_POINT('',(-1.93548E1,-2.62509E0,-1.5494E1)); +#1372=LINE('',#1371,#1370); +#1373=DIRECTION('',(1.E0,0.E0,0.E0)); +#1374=VECTOR('',#1373,1.419225E0); +#1375=CARTESIAN_POINT('',(-1.97596125E1,-2.62509E0,-1.397E1)); +#1376=LINE('',#1375,#1374); +#1377=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1378=VECTOR('',#1377,1.576847855741E0); +#1379=CARTESIAN_POINT('',(-1.87452E1,-2.62509E0,-1.5494E1)); +#1380=LINE('',#1379,#1378); +#1381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1382=VECTOR('',#1381,3.175E0); +#1383=CARTESIAN_POINT('',(-1.87452E1,-2.62509E0,-1.5494E1)); +#1384=LINE('',#1383,#1382); +#1385=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1386=VECTOR('',#1385,1.576847855741E0); +#1387=CARTESIAN_POINT('',(-1.97596125E1,-2.62509E0,-1.397E1)); +#1388=LINE('',#1387,#1386); +#1389=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1390=VECTOR('',#1389,1.576847855741E0); +#1391=CARTESIAN_POINT('',(-1.87452E1,-2.22631E0,-1.5494E1)); +#1392=LINE('',#1391,#1390); +#1393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1394=VECTOR('',#1393,1.419225E0); +#1395=CARTESIAN_POINT('',(-1.83403875E1,-2.22631E0,-1.397E1)); +#1396=LINE('',#1395,#1394); +#1397=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1398=VECTOR('',#1397,1.576847855741E0); +#1399=CARTESIAN_POINT('',(-1.97596125E1,-2.22631E0,-1.397E1)); +#1400=LINE('',#1399,#1398); +#1401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1402=VECTOR('',#1401,3.175E0); +#1403=CARTESIAN_POINT('',(-1.93548E1,-2.22631E0,-1.5494E1)); +#1404=LINE('',#1403,#1402); +#1405=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1406=VECTOR('',#1405,3.9878E-1); +#1407=CARTESIAN_POINT('',(-1.87452E1,-2.22631E0,-1.5494E1)); +#1408=LINE('',#1407,#1406); +#1409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1410=VECTOR('',#1409,3.175E0); +#1411=CARTESIAN_POINT('',(-1.87452E1,-2.22631E0,-1.5494E1)); +#1412=LINE('',#1411,#1410); +#1413=DIRECTION('',(0.E0,1.E0,1.781791302874E-14)); +#1414=VECTOR('',#1413,3.9878E-1); +#1415=CARTESIAN_POINT('',(-1.93548E1,2.22631E0,-1.5494E1)); +#1416=LINE('',#1415,#1414); +#1417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1418=VECTOR('',#1417,3.175E0); +#1419=CARTESIAN_POINT('',(-1.93548E1,2.22631E0,-1.5494E1)); +#1420=LINE('',#1419,#1418); +#1421=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1422=VECTOR('',#1421,1.576847855741E0); +#1423=CARTESIAN_POINT('',(-1.58003875E1,2.62509E0,-1.397E1)); +#1424=LINE('',#1423,#1422); +#1425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1426=VECTOR('',#1425,1.419225E0); +#1427=CARTESIAN_POINT('',(-1.58003875E1,2.62509E0,-1.397E1)); +#1428=LINE('',#1427,#1426); +#1429=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1430=VECTOR('',#1429,1.576847855741E0); +#1431=CARTESIAN_POINT('',(-1.68148E1,2.62509E0,-1.5494E1)); +#1432=LINE('',#1431,#1430); +#1433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1434=VECTOR('',#1433,3.175E0); +#1435=CARTESIAN_POINT('',(-1.68148E1,2.62509E0,-1.5494E1)); +#1436=LINE('',#1435,#1434); +#1437=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1438=VECTOR('',#1437,3.9878E-1); +#1439=CARTESIAN_POINT('',(-1.62052E1,2.62509E0,-1.5494E1)); +#1440=LINE('',#1439,#1438); +#1441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1442=VECTOR('',#1441,3.175E0); +#1443=CARTESIAN_POINT('',(-1.62052E1,2.62509E0,-1.5494E1)); +#1444=LINE('',#1443,#1442); +#1445=DIRECTION('',(1.E0,0.E0,0.E0)); +#1446=VECTOR('',#1445,1.419225E0); +#1447=CARTESIAN_POINT('',(-1.72196125E1,2.22631E0,-1.397E1)); +#1448=LINE('',#1447,#1446); +#1449=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1450=VECTOR('',#1449,1.576847855741E0); +#1451=CARTESIAN_POINT('',(-1.58003875E1,2.22631E0,-1.397E1)); +#1452=LINE('',#1451,#1450); +#1453=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1454=VECTOR('',#1453,3.175E0); +#1455=CARTESIAN_POINT('',(-1.62052E1,2.22631E0,-1.5494E1)); +#1456=LINE('',#1455,#1454); +#1457=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1458=VECTOR('',#1457,1.576847855741E0); +#1459=CARTESIAN_POINT('',(-1.68148E1,2.22631E0,-1.5494E1)); +#1460=LINE('',#1459,#1458); +#1461=DIRECTION('',(0.E0,1.E0,0.E0)); +#1462=VECTOR('',#1461,3.9878E-1); +#1463=CARTESIAN_POINT('',(-1.68148E1,-2.62509E0,-1.8669E1)); +#1464=LINE('',#1463,#1462); +#1465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1466=VECTOR('',#1465,6.096E-1); +#1467=CARTESIAN_POINT('',(-1.62052E1,-2.62509E0,-1.8669E1)); +#1468=LINE('',#1467,#1466); +#1469=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1470=VECTOR('',#1469,3.9878E-1); +#1471=CARTESIAN_POINT('',(-1.62052E1,-2.22631E0,-1.8669E1)); +#1472=LINE('',#1471,#1470); +#1473=DIRECTION('',(1.E0,0.E0,0.E0)); +#1474=VECTOR('',#1473,6.096E-1); +#1475=CARTESIAN_POINT('',(-1.68148E1,-2.22631E0,-1.8669E1)); +#1476=LINE('',#1475,#1474); +#1477=DIRECTION('',(1.E0,0.E0,0.E0)); +#1478=VECTOR('',#1477,6.096E-1); +#1479=CARTESIAN_POINT('',(-1.68148E1,2.62509E0,-1.8669E1)); +#1480=LINE('',#1479,#1478); +#1481=DIRECTION('',(0.E0,1.E0,0.E0)); +#1482=VECTOR('',#1481,3.9878E-1); +#1483=CARTESIAN_POINT('',(-1.68148E1,2.22631E0,-1.8669E1)); +#1484=LINE('',#1483,#1482); +#1485=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1486=VECTOR('',#1485,6.096E-1); +#1487=CARTESIAN_POINT('',(-1.62052E1,2.22631E0,-1.8669E1)); +#1488=LINE('',#1487,#1486); +#1489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1490=VECTOR('',#1489,3.9878E-1); +#1491=CARTESIAN_POINT('',(-1.62052E1,2.62509E0,-1.8669E1)); +#1492=LINE('',#1491,#1490); +#1493=DIRECTION('',(0.E0,1.E0,0.E0)); +#1494=VECTOR('',#1493,3.9878E-1); +#1495=CARTESIAN_POINT('',(-1.68148E1,-2.62509E0,-1.5494E1)); +#1496=LINE('',#1495,#1494); +#1497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1498=VECTOR('',#1497,3.175E0); +#1499=CARTESIAN_POINT('',(-1.68148E1,-2.62509E0,-1.5494E1)); +#1500=LINE('',#1499,#1498); +#1501=DIRECTION('',(1.E0,0.E0,0.E0)); +#1502=VECTOR('',#1501,1.419225E0); +#1503=CARTESIAN_POINT('',(-1.72196125E1,-2.62509E0,-1.397E1)); +#1504=LINE('',#1503,#1502); +#1505=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1506=VECTOR('',#1505,1.576847855741E0); +#1507=CARTESIAN_POINT('',(-1.62052E1,-2.62509E0,-1.5494E1)); +#1508=LINE('',#1507,#1506); +#1509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1510=VECTOR('',#1509,3.175E0); +#1511=CARTESIAN_POINT('',(-1.62052E1,-2.62509E0,-1.5494E1)); +#1512=LINE('',#1511,#1510); +#1513=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1514=VECTOR('',#1513,1.576847855741E0); +#1515=CARTESIAN_POINT('',(-1.72196125E1,-2.62509E0,-1.397E1)); +#1516=LINE('',#1515,#1514); +#1517=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1518=VECTOR('',#1517,1.576847855741E0); +#1519=CARTESIAN_POINT('',(-1.62052E1,-2.22631E0,-1.5494E1)); +#1520=LINE('',#1519,#1518); +#1521=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1522=VECTOR('',#1521,1.419225E0); +#1523=CARTESIAN_POINT('',(-1.58003875E1,-2.22631E0,-1.397E1)); +#1524=LINE('',#1523,#1522); +#1525=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1526=VECTOR('',#1525,1.576847855741E0); +#1527=CARTESIAN_POINT('',(-1.72196125E1,-2.22631E0,-1.397E1)); +#1528=LINE('',#1527,#1526); +#1529=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1530=VECTOR('',#1529,3.175E0); +#1531=CARTESIAN_POINT('',(-1.68148E1,-2.22631E0,-1.5494E1)); +#1532=LINE('',#1531,#1530); +#1533=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1534=VECTOR('',#1533,3.9878E-1); +#1535=CARTESIAN_POINT('',(-1.62052E1,-2.22631E0,-1.5494E1)); +#1536=LINE('',#1535,#1534); +#1537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1538=VECTOR('',#1537,3.175E0); +#1539=CARTESIAN_POINT('',(-1.62052E1,-2.22631E0,-1.5494E1)); +#1540=LINE('',#1539,#1538); +#1541=DIRECTION('',(0.E0,1.E0,0.E0)); +#1542=VECTOR('',#1541,3.9878E-1); +#1543=CARTESIAN_POINT('',(-1.68148E1,2.22631E0,-1.5494E1)); +#1544=LINE('',#1543,#1542); +#1545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1546=VECTOR('',#1545,3.175E0); +#1547=CARTESIAN_POINT('',(-1.68148E1,2.22631E0,-1.5494E1)); +#1548=LINE('',#1547,#1546); +#1549=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1550=VECTOR('',#1549,1.576847855741E0); +#1551=CARTESIAN_POINT('',(-1.32603875E1,2.62509E0,-1.397E1)); +#1552=LINE('',#1551,#1550); +#1553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1554=VECTOR('',#1553,1.419225E0); +#1555=CARTESIAN_POINT('',(-1.32603875E1,2.62509E0,-1.397E1)); +#1556=LINE('',#1555,#1554); +#1557=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1558=VECTOR('',#1557,1.576847855741E0); +#1559=CARTESIAN_POINT('',(-1.42748E1,2.62509E0,-1.5494E1)); +#1560=LINE('',#1559,#1558); +#1561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1562=VECTOR('',#1561,3.175E0); +#1563=CARTESIAN_POINT('',(-1.42748E1,2.62509E0,-1.5494E1)); +#1564=LINE('',#1563,#1562); +#1565=DIRECTION('',(0.E0,-1.E0,-3.563582605748E-14)); +#1566=VECTOR('',#1565,3.9878E-1); +#1567=CARTESIAN_POINT('',(-1.36652E1,2.62509E0,-1.5494E1)); +#1568=LINE('',#1567,#1566); +#1569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1570=VECTOR('',#1569,3.175E0); +#1571=CARTESIAN_POINT('',(-1.36652E1,2.62509E0,-1.5494E1)); +#1572=LINE('',#1571,#1570); +#1573=DIRECTION('',(1.E0,0.E0,0.E0)); +#1574=VECTOR('',#1573,1.419225E0); +#1575=CARTESIAN_POINT('',(-1.46796125E1,2.22631E0,-1.397E1)); +#1576=LINE('',#1575,#1574); +#1577=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1578=VECTOR('',#1577,1.576847855741E0); +#1579=CARTESIAN_POINT('',(-1.32603875E1,2.22631E0,-1.397E1)); +#1580=LINE('',#1579,#1578); +#1581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1582=VECTOR('',#1581,3.175E0); +#1583=CARTESIAN_POINT('',(-1.36652E1,2.22631E0,-1.5494E1)); +#1584=LINE('',#1583,#1582); +#1585=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1586=VECTOR('',#1585,1.576847855741E0); +#1587=CARTESIAN_POINT('',(-1.42748E1,2.22631E0,-1.5494E1)); +#1588=LINE('',#1587,#1586); +#1589=DIRECTION('',(0.E0,1.E0,0.E0)); +#1590=VECTOR('',#1589,3.9878E-1); +#1591=CARTESIAN_POINT('',(-1.42748E1,-2.62509E0,-1.8669E1)); +#1592=LINE('',#1591,#1590); +#1593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1594=VECTOR('',#1593,6.096E-1); +#1595=CARTESIAN_POINT('',(-1.36652E1,-2.62509E0,-1.8669E1)); +#1596=LINE('',#1595,#1594); +#1597=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1598=VECTOR('',#1597,3.9878E-1); +#1599=CARTESIAN_POINT('',(-1.36652E1,-2.22631E0,-1.8669E1)); +#1600=LINE('',#1599,#1598); +#1601=DIRECTION('',(1.E0,0.E0,0.E0)); +#1602=VECTOR('',#1601,6.096E-1); +#1603=CARTESIAN_POINT('',(-1.42748E1,-2.22631E0,-1.8669E1)); +#1604=LINE('',#1603,#1602); +#1605=DIRECTION('',(1.E0,0.E0,0.E0)); +#1606=VECTOR('',#1605,6.096E-1); +#1607=CARTESIAN_POINT('',(-1.42748E1,2.62509E0,-1.8669E1)); +#1608=LINE('',#1607,#1606); +#1609=DIRECTION('',(0.E0,1.E0,0.E0)); +#1610=VECTOR('',#1609,3.9878E-1); +#1611=CARTESIAN_POINT('',(-1.42748E1,2.22631E0,-1.8669E1)); +#1612=LINE('',#1611,#1610); +#1613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1614=VECTOR('',#1613,6.096E-1); +#1615=CARTESIAN_POINT('',(-1.36652E1,2.22631E0,-1.8669E1)); +#1616=LINE('',#1615,#1614); +#1617=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1618=VECTOR('',#1617,3.9878E-1); +#1619=CARTESIAN_POINT('',(-1.36652E1,2.62509E0,-1.8669E1)); +#1620=LINE('',#1619,#1618); +#1621=DIRECTION('',(0.E0,1.E0,0.E0)); +#1622=VECTOR('',#1621,3.9878E-1); +#1623=CARTESIAN_POINT('',(-1.42748E1,-2.62509E0,-1.5494E1)); +#1624=LINE('',#1623,#1622); +#1625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1626=VECTOR('',#1625,3.175E0); +#1627=CARTESIAN_POINT('',(-1.42748E1,-2.62509E0,-1.5494E1)); +#1628=LINE('',#1627,#1626); +#1629=DIRECTION('',(1.E0,0.E0,0.E0)); +#1630=VECTOR('',#1629,1.419225E0); +#1631=CARTESIAN_POINT('',(-1.46796125E1,-2.62509E0,-1.397E1)); +#1632=LINE('',#1631,#1630); +#1633=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1634=VECTOR('',#1633,1.576847855741E0); +#1635=CARTESIAN_POINT('',(-1.36652E1,-2.62509E0,-1.5494E1)); +#1636=LINE('',#1635,#1634); +#1637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1638=VECTOR('',#1637,3.175E0); +#1639=CARTESIAN_POINT('',(-1.36652E1,-2.62509E0,-1.5494E1)); +#1640=LINE('',#1639,#1638); +#1641=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1642=VECTOR('',#1641,1.576847855741E0); +#1643=CARTESIAN_POINT('',(-1.46796125E1,-2.62509E0,-1.397E1)); +#1644=LINE('',#1643,#1642); +#1645=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1646=VECTOR('',#1645,1.576847855741E0); +#1647=CARTESIAN_POINT('',(-1.36652E1,-2.22631E0,-1.5494E1)); +#1648=LINE('',#1647,#1646); +#1649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1650=VECTOR('',#1649,1.419225E0); +#1651=CARTESIAN_POINT('',(-1.32603875E1,-2.22631E0,-1.397E1)); +#1652=LINE('',#1651,#1650); +#1653=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1654=VECTOR('',#1653,1.576847855741E0); +#1655=CARTESIAN_POINT('',(-1.46796125E1,-2.22631E0,-1.397E1)); +#1656=LINE('',#1655,#1654); +#1657=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1658=VECTOR('',#1657,3.175E0); +#1659=CARTESIAN_POINT('',(-1.42748E1,-2.22631E0,-1.5494E1)); +#1660=LINE('',#1659,#1658); +#1661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1662=VECTOR('',#1661,3.9878E-1); +#1663=CARTESIAN_POINT('',(-1.36652E1,-2.22631E0,-1.5494E1)); +#1664=LINE('',#1663,#1662); +#1665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1666=VECTOR('',#1665,3.175E0); +#1667=CARTESIAN_POINT('',(-1.36652E1,-2.22631E0,-1.5494E1)); +#1668=LINE('',#1667,#1666); +#1669=DIRECTION('',(0.E0,1.E0,0.E0)); +#1670=VECTOR('',#1669,3.9878E-1); +#1671=CARTESIAN_POINT('',(-1.42748E1,2.22631E0,-1.5494E1)); +#1672=LINE('',#1671,#1670); +#1673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1674=VECTOR('',#1673,3.175E0); +#1675=CARTESIAN_POINT('',(-1.42748E1,2.22631E0,-1.5494E1)); +#1676=LINE('',#1675,#1674); +#1677=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1678=VECTOR('',#1677,1.576847855741E0); +#1679=CARTESIAN_POINT('',(-1.07203875E1,2.62509E0,-1.397E1)); +#1680=LINE('',#1679,#1678); +#1681=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1682=VECTOR('',#1681,1.419225E0); +#1683=CARTESIAN_POINT('',(-1.07203875E1,2.62509E0,-1.397E1)); +#1684=LINE('',#1683,#1682); +#1685=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1686=VECTOR('',#1685,1.576847855741E0); +#1687=CARTESIAN_POINT('',(-1.17348E1,2.62509E0,-1.5494E1)); +#1688=LINE('',#1687,#1686); +#1689=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1690=VECTOR('',#1689,3.175E0); +#1691=CARTESIAN_POINT('',(-1.17348E1,2.62509E0,-1.5494E1)); +#1692=LINE('',#1691,#1690); +#1693=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1694=VECTOR('',#1693,3.9878E-1); +#1695=CARTESIAN_POINT('',(-1.11252E1,2.62509E0,-1.5494E1)); +#1696=LINE('',#1695,#1694); +#1697=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1698=VECTOR('',#1697,3.175E0); +#1699=CARTESIAN_POINT('',(-1.11252E1,2.62509E0,-1.5494E1)); +#1700=LINE('',#1699,#1698); +#1701=DIRECTION('',(1.E0,0.E0,0.E0)); +#1702=VECTOR('',#1701,1.419225E0); +#1703=CARTESIAN_POINT('',(-1.21396125E1,2.22631E0,-1.397E1)); +#1704=LINE('',#1703,#1702); +#1705=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1706=VECTOR('',#1705,1.576847855741E0); +#1707=CARTESIAN_POINT('',(-1.07203875E1,2.22631E0,-1.397E1)); +#1708=LINE('',#1707,#1706); +#1709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1710=VECTOR('',#1709,3.175E0); +#1711=CARTESIAN_POINT('',(-1.11252E1,2.22631E0,-1.5494E1)); +#1712=LINE('',#1711,#1710); +#1713=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1714=VECTOR('',#1713,1.576847855741E0); +#1715=CARTESIAN_POINT('',(-1.17348E1,2.22631E0,-1.5494E1)); +#1716=LINE('',#1715,#1714); +#1717=DIRECTION('',(0.E0,1.E0,0.E0)); +#1718=VECTOR('',#1717,3.9878E-1); +#1719=CARTESIAN_POINT('',(-1.17348E1,-2.62509E0,-1.8669E1)); +#1720=LINE('',#1719,#1718); +#1721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1722=VECTOR('',#1721,6.096E-1); +#1723=CARTESIAN_POINT('',(-1.11252E1,-2.62509E0,-1.8669E1)); +#1724=LINE('',#1723,#1722); +#1725=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1726=VECTOR('',#1725,3.9878E-1); +#1727=CARTESIAN_POINT('',(-1.11252E1,-2.22631E0,-1.8669E1)); +#1728=LINE('',#1727,#1726); +#1729=DIRECTION('',(1.E0,0.E0,0.E0)); +#1730=VECTOR('',#1729,6.096E-1); +#1731=CARTESIAN_POINT('',(-1.17348E1,-2.22631E0,-1.8669E1)); +#1732=LINE('',#1731,#1730); +#1733=DIRECTION('',(1.E0,0.E0,0.E0)); +#1734=VECTOR('',#1733,6.096E-1); +#1735=CARTESIAN_POINT('',(-1.17348E1,2.62509E0,-1.8669E1)); +#1736=LINE('',#1735,#1734); +#1737=DIRECTION('',(0.E0,1.E0,0.E0)); +#1738=VECTOR('',#1737,3.9878E-1); +#1739=CARTESIAN_POINT('',(-1.17348E1,2.22631E0,-1.8669E1)); +#1740=LINE('',#1739,#1738); +#1741=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1742=VECTOR('',#1741,6.096E-1); +#1743=CARTESIAN_POINT('',(-1.11252E1,2.22631E0,-1.8669E1)); +#1744=LINE('',#1743,#1742); +#1745=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1746=VECTOR('',#1745,3.9878E-1); +#1747=CARTESIAN_POINT('',(-1.11252E1,2.62509E0,-1.8669E1)); +#1748=LINE('',#1747,#1746); +#1749=DIRECTION('',(0.E0,1.E0,0.E0)); +#1750=VECTOR('',#1749,3.9878E-1); +#1751=CARTESIAN_POINT('',(-1.17348E1,-2.62509E0,-1.5494E1)); +#1752=LINE('',#1751,#1750); +#1753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1754=VECTOR('',#1753,3.175E0); +#1755=CARTESIAN_POINT('',(-1.17348E1,-2.62509E0,-1.5494E1)); +#1756=LINE('',#1755,#1754); +#1757=DIRECTION('',(1.E0,0.E0,0.E0)); +#1758=VECTOR('',#1757,1.419225E0); +#1759=CARTESIAN_POINT('',(-1.21396125E1,-2.62509E0,-1.397E1)); +#1760=LINE('',#1759,#1758); +#1761=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1762=VECTOR('',#1761,1.576847855741E0); +#1763=CARTESIAN_POINT('',(-1.11252E1,-2.62509E0,-1.5494E1)); +#1764=LINE('',#1763,#1762); +#1765=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1766=VECTOR('',#1765,3.175E0); +#1767=CARTESIAN_POINT('',(-1.11252E1,-2.62509E0,-1.5494E1)); +#1768=LINE('',#1767,#1766); +#1769=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1770=VECTOR('',#1769,1.576847855741E0); +#1771=CARTESIAN_POINT('',(-1.21396125E1,-2.62509E0,-1.397E1)); +#1772=LINE('',#1771,#1770); +#1773=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1774=VECTOR('',#1773,1.576847855741E0); +#1775=CARTESIAN_POINT('',(-1.11252E1,-2.22631E0,-1.5494E1)); +#1776=LINE('',#1775,#1774); +#1777=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1778=VECTOR('',#1777,1.419225E0); +#1779=CARTESIAN_POINT('',(-1.07203875E1,-2.22631E0,-1.397E1)); +#1780=LINE('',#1779,#1778); +#1781=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1782=VECTOR('',#1781,1.576847855741E0); +#1783=CARTESIAN_POINT('',(-1.21396125E1,-2.22631E0,-1.397E1)); +#1784=LINE('',#1783,#1782); +#1785=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1786=VECTOR('',#1785,3.175E0); +#1787=CARTESIAN_POINT('',(-1.17348E1,-2.22631E0,-1.5494E1)); +#1788=LINE('',#1787,#1786); +#1789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1790=VECTOR('',#1789,3.9878E-1); +#1791=CARTESIAN_POINT('',(-1.11252E1,-2.22631E0,-1.5494E1)); +#1792=LINE('',#1791,#1790); +#1793=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1794=VECTOR('',#1793,3.175E0); +#1795=CARTESIAN_POINT('',(-1.11252E1,-2.22631E0,-1.5494E1)); +#1796=LINE('',#1795,#1794); +#1797=DIRECTION('',(0.E0,1.E0,0.E0)); +#1798=VECTOR('',#1797,3.9878E-1); +#1799=CARTESIAN_POINT('',(-1.17348E1,2.22631E0,-1.5494E1)); +#1800=LINE('',#1799,#1798); +#1801=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1802=VECTOR('',#1801,3.175E0); +#1803=CARTESIAN_POINT('',(-1.17348E1,2.22631E0,-1.5494E1)); +#1804=LINE('',#1803,#1802); +#1805=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1806=VECTOR('',#1805,1.576847855741E0); +#1807=CARTESIAN_POINT('',(-8.1803875E0,2.62509E0,-1.397E1)); +#1808=LINE('',#1807,#1806); +#1809=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1810=VECTOR('',#1809,1.419225E0); +#1811=CARTESIAN_POINT('',(-8.1803875E0,2.62509E0,-1.397E1)); +#1812=LINE('',#1811,#1810); +#1813=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1814=VECTOR('',#1813,1.576847855741E0); +#1815=CARTESIAN_POINT('',(-9.1948E0,2.62509E0,-1.5494E1)); +#1816=LINE('',#1815,#1814); +#1817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1818=VECTOR('',#1817,3.175E0); +#1819=CARTESIAN_POINT('',(-9.1948E0,2.62509E0,-1.5494E1)); +#1820=LINE('',#1819,#1818); +#1821=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1822=VECTOR('',#1821,3.9878E-1); +#1823=CARTESIAN_POINT('',(-8.5852E0,2.62509E0,-1.5494E1)); +#1824=LINE('',#1823,#1822); +#1825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1826=VECTOR('',#1825,3.175E0); +#1827=CARTESIAN_POINT('',(-8.5852E0,2.62509E0,-1.5494E1)); +#1828=LINE('',#1827,#1826); +#1829=DIRECTION('',(1.E0,0.E0,0.E0)); +#1830=VECTOR('',#1829,1.419225E0); +#1831=CARTESIAN_POINT('',(-9.5996125E0,2.22631E0,-1.397E1)); +#1832=LINE('',#1831,#1830); +#1833=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1834=VECTOR('',#1833,1.576847855741E0); +#1835=CARTESIAN_POINT('',(-8.1803875E0,2.22631E0,-1.397E1)); +#1836=LINE('',#1835,#1834); +#1837=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1838=VECTOR('',#1837,3.175E0); +#1839=CARTESIAN_POINT('',(-8.5852E0,2.22631E0,-1.5494E1)); +#1840=LINE('',#1839,#1838); +#1841=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1842=VECTOR('',#1841,1.576847855741E0); +#1843=CARTESIAN_POINT('',(-9.1948E0,2.22631E0,-1.5494E1)); +#1844=LINE('',#1843,#1842); +#1845=DIRECTION('',(0.E0,1.E0,0.E0)); +#1846=VECTOR('',#1845,3.9878E-1); +#1847=CARTESIAN_POINT('',(-9.1948E0,-2.62509E0,-1.8669E1)); +#1848=LINE('',#1847,#1846); +#1849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1850=VECTOR('',#1849,6.096E-1); +#1851=CARTESIAN_POINT('',(-8.5852E0,-2.62509E0,-1.8669E1)); +#1852=LINE('',#1851,#1850); +#1853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1854=VECTOR('',#1853,3.9878E-1); +#1855=CARTESIAN_POINT('',(-8.5852E0,-2.22631E0,-1.8669E1)); +#1856=LINE('',#1855,#1854); +#1857=DIRECTION('',(1.E0,0.E0,0.E0)); +#1858=VECTOR('',#1857,6.096E-1); +#1859=CARTESIAN_POINT('',(-9.1948E0,-2.22631E0,-1.8669E1)); +#1860=LINE('',#1859,#1858); +#1861=DIRECTION('',(1.E0,0.E0,0.E0)); +#1862=VECTOR('',#1861,6.096E-1); +#1863=CARTESIAN_POINT('',(-9.1948E0,2.62509E0,-1.8669E1)); +#1864=LINE('',#1863,#1862); +#1865=DIRECTION('',(0.E0,1.E0,0.E0)); +#1866=VECTOR('',#1865,3.9878E-1); +#1867=CARTESIAN_POINT('',(-9.1948E0,2.22631E0,-1.8669E1)); +#1868=LINE('',#1867,#1866); +#1869=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1870=VECTOR('',#1869,6.096E-1); +#1871=CARTESIAN_POINT('',(-8.5852E0,2.22631E0,-1.8669E1)); +#1872=LINE('',#1871,#1870); +#1873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1874=VECTOR('',#1873,3.9878E-1); +#1875=CARTESIAN_POINT('',(-8.5852E0,2.62509E0,-1.8669E1)); +#1876=LINE('',#1875,#1874); +#1877=DIRECTION('',(0.E0,1.E0,0.E0)); +#1878=VECTOR('',#1877,3.9878E-1); +#1879=CARTESIAN_POINT('',(-9.1948E0,-2.62509E0,-1.5494E1)); +#1880=LINE('',#1879,#1878); +#1881=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1882=VECTOR('',#1881,3.175E0); +#1883=CARTESIAN_POINT('',(-9.1948E0,-2.62509E0,-1.5494E1)); +#1884=LINE('',#1883,#1882); +#1885=DIRECTION('',(1.E0,0.E0,0.E0)); +#1886=VECTOR('',#1885,1.419225E0); +#1887=CARTESIAN_POINT('',(-9.5996125E0,-2.62509E0,-1.397E1)); +#1888=LINE('',#1887,#1886); +#1889=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1890=VECTOR('',#1889,1.576847855741E0); +#1891=CARTESIAN_POINT('',(-8.5852E0,-2.62509E0,-1.5494E1)); +#1892=LINE('',#1891,#1890); +#1893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1894=VECTOR('',#1893,3.175E0); +#1895=CARTESIAN_POINT('',(-8.5852E0,-2.62509E0,-1.5494E1)); +#1896=LINE('',#1895,#1894); +#1897=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1898=VECTOR('',#1897,1.576847855741E0); +#1899=CARTESIAN_POINT('',(-9.5996125E0,-2.62509E0,-1.397E1)); +#1900=LINE('',#1899,#1898); +#1901=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1902=VECTOR('',#1901,1.576847855741E0); +#1903=CARTESIAN_POINT('',(-8.5852E0,-2.22631E0,-1.5494E1)); +#1904=LINE('',#1903,#1902); +#1905=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1906=VECTOR('',#1905,1.419225E0); +#1907=CARTESIAN_POINT('',(-8.1803875E0,-2.22631E0,-1.397E1)); +#1908=LINE('',#1907,#1906); +#1909=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1910=VECTOR('',#1909,1.576847855741E0); +#1911=CARTESIAN_POINT('',(-9.5996125E0,-2.22631E0,-1.397E1)); +#1912=LINE('',#1911,#1910); +#1913=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1914=VECTOR('',#1913,3.175E0); +#1915=CARTESIAN_POINT('',(-9.1948E0,-2.22631E0,-1.5494E1)); +#1916=LINE('',#1915,#1914); +#1917=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1918=VECTOR('',#1917,3.9878E-1); +#1919=CARTESIAN_POINT('',(-8.5852E0,-2.22631E0,-1.5494E1)); +#1920=LINE('',#1919,#1918); +#1921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1922=VECTOR('',#1921,3.175E0); +#1923=CARTESIAN_POINT('',(-8.5852E0,-2.22631E0,-1.5494E1)); +#1924=LINE('',#1923,#1922); +#1925=DIRECTION('',(0.E0,1.E0,0.E0)); +#1926=VECTOR('',#1925,3.9878E-1); +#1927=CARTESIAN_POINT('',(-9.1948E0,2.22631E0,-1.5494E1)); +#1928=LINE('',#1927,#1926); +#1929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1930=VECTOR('',#1929,3.175E0); +#1931=CARTESIAN_POINT('',(-9.1948E0,2.22631E0,-1.5494E1)); +#1932=LINE('',#1931,#1930); +#1933=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1934=VECTOR('',#1933,1.576847855741E0); +#1935=CARTESIAN_POINT('',(-5.6403875E0,2.62509E0,-1.397E1)); +#1936=LINE('',#1935,#1934); +#1937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1938=VECTOR('',#1937,1.419225E0); +#1939=CARTESIAN_POINT('',(-5.6403875E0,2.62509E0,-1.397E1)); +#1940=LINE('',#1939,#1938); +#1941=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1942=VECTOR('',#1941,1.576847855741E0); +#1943=CARTESIAN_POINT('',(-6.6548E0,2.62509E0,-1.5494E1)); +#1944=LINE('',#1943,#1942); +#1945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1946=VECTOR('',#1945,3.175E0); +#1947=CARTESIAN_POINT('',(-6.6548E0,2.62509E0,-1.5494E1)); +#1948=LINE('',#1947,#1946); +#1949=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1950=VECTOR('',#1949,3.9878E-1); +#1951=CARTESIAN_POINT('',(-6.0452E0,2.62509E0,-1.5494E1)); +#1952=LINE('',#1951,#1950); +#1953=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1954=VECTOR('',#1953,3.175E0); +#1955=CARTESIAN_POINT('',(-6.0452E0,2.62509E0,-1.5494E1)); +#1956=LINE('',#1955,#1954); +#1957=DIRECTION('',(1.E0,0.E0,0.E0)); +#1958=VECTOR('',#1957,1.419225E0); +#1959=CARTESIAN_POINT('',(-7.0596125E0,2.22631E0,-1.397E1)); +#1960=LINE('',#1959,#1958); +#1961=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1962=VECTOR('',#1961,1.576847855741E0); +#1963=CARTESIAN_POINT('',(-5.6403875E0,2.22631E0,-1.397E1)); +#1964=LINE('',#1963,#1962); +#1965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1966=VECTOR('',#1965,3.175E0); +#1967=CARTESIAN_POINT('',(-6.0452E0,2.22631E0,-1.5494E1)); +#1968=LINE('',#1967,#1966); +#1969=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1970=VECTOR('',#1969,1.576847855741E0); +#1971=CARTESIAN_POINT('',(-6.6548E0,2.22631E0,-1.5494E1)); +#1972=LINE('',#1971,#1970); +#1973=DIRECTION('',(0.E0,1.E0,0.E0)); +#1974=VECTOR('',#1973,3.9878E-1); +#1975=CARTESIAN_POINT('',(-6.6548E0,-2.62509E0,-1.8669E1)); +#1976=LINE('',#1975,#1974); +#1977=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1978=VECTOR('',#1977,6.096E-1); +#1979=CARTESIAN_POINT('',(-6.0452E0,-2.62509E0,-1.8669E1)); +#1980=LINE('',#1979,#1978); +#1981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1982=VECTOR('',#1981,3.9878E-1); +#1983=CARTESIAN_POINT('',(-6.0452E0,-2.22631E0,-1.8669E1)); +#1984=LINE('',#1983,#1982); +#1985=DIRECTION('',(1.E0,0.E0,0.E0)); +#1986=VECTOR('',#1985,6.096E-1); +#1987=CARTESIAN_POINT('',(-6.6548E0,-2.22631E0,-1.8669E1)); +#1988=LINE('',#1987,#1986); +#1989=DIRECTION('',(1.E0,0.E0,0.E0)); +#1990=VECTOR('',#1989,6.096E-1); +#1991=CARTESIAN_POINT('',(-6.6548E0,2.62509E0,-1.8669E1)); +#1992=LINE('',#1991,#1990); +#1993=DIRECTION('',(0.E0,1.E0,0.E0)); +#1994=VECTOR('',#1993,3.9878E-1); +#1995=CARTESIAN_POINT('',(-6.6548E0,2.22631E0,-1.8669E1)); +#1996=LINE('',#1995,#1994); +#1997=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1998=VECTOR('',#1997,6.096E-1); +#1999=CARTESIAN_POINT('',(-6.0452E0,2.22631E0,-1.8669E1)); +#2000=LINE('',#1999,#1998); +#2001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2002=VECTOR('',#2001,3.9878E-1); +#2003=CARTESIAN_POINT('',(-6.0452E0,2.62509E0,-1.8669E1)); +#2004=LINE('',#2003,#2002); +#2005=DIRECTION('',(0.E0,1.E0,0.E0)); +#2006=VECTOR('',#2005,3.9878E-1); +#2007=CARTESIAN_POINT('',(-6.6548E0,-2.62509E0,-1.5494E1)); +#2008=LINE('',#2007,#2006); +#2009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2010=VECTOR('',#2009,3.175E0); +#2011=CARTESIAN_POINT('',(-6.6548E0,-2.62509E0,-1.5494E1)); +#2012=LINE('',#2011,#2010); +#2013=DIRECTION('',(1.E0,0.E0,0.E0)); +#2014=VECTOR('',#2013,1.419225E0); +#2015=CARTESIAN_POINT('',(-7.0596125E0,-2.62509E0,-1.397E1)); +#2016=LINE('',#2015,#2014); +#2017=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2018=VECTOR('',#2017,1.576847855741E0); +#2019=CARTESIAN_POINT('',(-6.0452E0,-2.62509E0,-1.5494E1)); +#2020=LINE('',#2019,#2018); +#2021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2022=VECTOR('',#2021,3.175E0); +#2023=CARTESIAN_POINT('',(-6.0452E0,-2.62509E0,-1.5494E1)); +#2024=LINE('',#2023,#2022); +#2025=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2026=VECTOR('',#2025,1.576847855741E0); +#2027=CARTESIAN_POINT('',(-7.0596125E0,-2.62509E0,-1.397E1)); +#2028=LINE('',#2027,#2026); +#2029=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2030=VECTOR('',#2029,1.576847855741E0); +#2031=CARTESIAN_POINT('',(-6.0452E0,-2.22631E0,-1.5494E1)); +#2032=LINE('',#2031,#2030); +#2033=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2034=VECTOR('',#2033,1.419225E0); +#2035=CARTESIAN_POINT('',(-5.6403875E0,-2.22631E0,-1.397E1)); +#2036=LINE('',#2035,#2034); +#2037=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2038=VECTOR('',#2037,1.576847855741E0); +#2039=CARTESIAN_POINT('',(-7.0596125E0,-2.22631E0,-1.397E1)); +#2040=LINE('',#2039,#2038); +#2041=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2042=VECTOR('',#2041,3.175E0); +#2043=CARTESIAN_POINT('',(-6.6548E0,-2.22631E0,-1.5494E1)); +#2044=LINE('',#2043,#2042); +#2045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2046=VECTOR('',#2045,3.9878E-1); +#2047=CARTESIAN_POINT('',(-6.0452E0,-2.22631E0,-1.5494E1)); +#2048=LINE('',#2047,#2046); +#2049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2050=VECTOR('',#2049,3.175E0); +#2051=CARTESIAN_POINT('',(-6.0452E0,-2.22631E0,-1.5494E1)); +#2052=LINE('',#2051,#2050); +#2053=DIRECTION('',(0.E0,1.E0,0.E0)); +#2054=VECTOR('',#2053,3.9878E-1); +#2055=CARTESIAN_POINT('',(-6.6548E0,2.22631E0,-1.5494E1)); +#2056=LINE('',#2055,#2054); +#2057=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2058=VECTOR('',#2057,3.175E0); +#2059=CARTESIAN_POINT('',(-6.6548E0,2.22631E0,-1.5494E1)); +#2060=LINE('',#2059,#2058); +#2061=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2062=VECTOR('',#2061,1.576847855741E0); +#2063=CARTESIAN_POINT('',(-3.1003875E0,2.62509E0,-1.397E1)); +#2064=LINE('',#2063,#2062); +#2065=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2066=VECTOR('',#2065,1.419225E0); +#2067=CARTESIAN_POINT('',(-3.1003875E0,2.62509E0,-1.397E1)); +#2068=LINE('',#2067,#2066); +#2069=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2070=VECTOR('',#2069,1.576847855741E0); +#2071=CARTESIAN_POINT('',(-4.1148E0,2.62509E0,-1.5494E1)); +#2072=LINE('',#2071,#2070); +#2073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2074=VECTOR('',#2073,3.175E0); +#2075=CARTESIAN_POINT('',(-4.1148E0,2.62509E0,-1.5494E1)); +#2076=LINE('',#2075,#2074); +#2077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2078=VECTOR('',#2077,3.9878E-1); +#2079=CARTESIAN_POINT('',(-3.5052E0,2.62509E0,-1.5494E1)); +#2080=LINE('',#2079,#2078); +#2081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2082=VECTOR('',#2081,3.175E0); +#2083=CARTESIAN_POINT('',(-3.5052E0,2.62509E0,-1.5494E1)); +#2084=LINE('',#2083,#2082); +#2085=DIRECTION('',(1.E0,0.E0,0.E0)); +#2086=VECTOR('',#2085,1.419225E0); +#2087=CARTESIAN_POINT('',(-4.5196125E0,2.22631E0,-1.397E1)); +#2088=LINE('',#2087,#2086); +#2089=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2090=VECTOR('',#2089,1.576847855741E0); +#2091=CARTESIAN_POINT('',(-3.1003875E0,2.22631E0,-1.397E1)); +#2092=LINE('',#2091,#2090); +#2093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2094=VECTOR('',#2093,3.175E0); +#2095=CARTESIAN_POINT('',(-3.5052E0,2.22631E0,-1.5494E1)); +#2096=LINE('',#2095,#2094); +#2097=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2098=VECTOR('',#2097,1.576847855741E0); +#2099=CARTESIAN_POINT('',(-4.1148E0,2.22631E0,-1.5494E1)); +#2100=LINE('',#2099,#2098); +#2101=DIRECTION('',(0.E0,1.E0,0.E0)); +#2102=VECTOR('',#2101,3.9878E-1); +#2103=CARTESIAN_POINT('',(-4.1148E0,-2.62509E0,-1.8669E1)); +#2104=LINE('',#2103,#2102); +#2105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2106=VECTOR('',#2105,6.096E-1); +#2107=CARTESIAN_POINT('',(-3.5052E0,-2.62509E0,-1.8669E1)); +#2108=LINE('',#2107,#2106); +#2109=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2110=VECTOR('',#2109,3.9878E-1); +#2111=CARTESIAN_POINT('',(-3.5052E0,-2.22631E0,-1.8669E1)); +#2112=LINE('',#2111,#2110); +#2113=DIRECTION('',(1.E0,0.E0,0.E0)); +#2114=VECTOR('',#2113,6.096E-1); +#2115=CARTESIAN_POINT('',(-4.1148E0,-2.22631E0,-1.8669E1)); +#2116=LINE('',#2115,#2114); +#2117=DIRECTION('',(1.E0,0.E0,0.E0)); +#2118=VECTOR('',#2117,6.096E-1); +#2119=CARTESIAN_POINT('',(-4.1148E0,2.62509E0,-1.8669E1)); +#2120=LINE('',#2119,#2118); +#2121=DIRECTION('',(0.E0,1.E0,0.E0)); +#2122=VECTOR('',#2121,3.9878E-1); +#2123=CARTESIAN_POINT('',(-4.1148E0,2.22631E0,-1.8669E1)); +#2124=LINE('',#2123,#2122); +#2125=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2126=VECTOR('',#2125,6.096E-1); +#2127=CARTESIAN_POINT('',(-3.5052E0,2.22631E0,-1.8669E1)); +#2128=LINE('',#2127,#2126); +#2129=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2130=VECTOR('',#2129,3.9878E-1); +#2131=CARTESIAN_POINT('',(-3.5052E0,2.62509E0,-1.8669E1)); +#2132=LINE('',#2131,#2130); +#2133=DIRECTION('',(0.E0,1.E0,0.E0)); +#2134=VECTOR('',#2133,3.9878E-1); +#2135=CARTESIAN_POINT('',(-4.1148E0,-2.62509E0,-1.5494E1)); +#2136=LINE('',#2135,#2134); +#2137=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2138=VECTOR('',#2137,3.175E0); +#2139=CARTESIAN_POINT('',(-4.1148E0,-2.62509E0,-1.5494E1)); +#2140=LINE('',#2139,#2138); +#2141=DIRECTION('',(1.E0,0.E0,0.E0)); +#2142=VECTOR('',#2141,1.419225E0); +#2143=CARTESIAN_POINT('',(-4.5196125E0,-2.62509E0,-1.397E1)); +#2144=LINE('',#2143,#2142); +#2145=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2146=VECTOR('',#2145,1.576847855741E0); +#2147=CARTESIAN_POINT('',(-3.5052E0,-2.62509E0,-1.5494E1)); +#2148=LINE('',#2147,#2146); +#2149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2150=VECTOR('',#2149,3.175E0); +#2151=CARTESIAN_POINT('',(-3.5052E0,-2.62509E0,-1.5494E1)); +#2152=LINE('',#2151,#2150); +#2153=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2154=VECTOR('',#2153,1.576847855741E0); +#2155=CARTESIAN_POINT('',(-4.5196125E0,-2.62509E0,-1.397E1)); +#2156=LINE('',#2155,#2154); +#2157=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2158=VECTOR('',#2157,1.576847855741E0); +#2159=CARTESIAN_POINT('',(-3.5052E0,-2.22631E0,-1.5494E1)); +#2160=LINE('',#2159,#2158); +#2161=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2162=VECTOR('',#2161,1.419225E0); +#2163=CARTESIAN_POINT('',(-3.1003875E0,-2.22631E0,-1.397E1)); +#2164=LINE('',#2163,#2162); +#2165=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2166=VECTOR('',#2165,1.576847855741E0); +#2167=CARTESIAN_POINT('',(-4.5196125E0,-2.22631E0,-1.397E1)); +#2168=LINE('',#2167,#2166); +#2169=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2170=VECTOR('',#2169,3.175E0); +#2171=CARTESIAN_POINT('',(-4.1148E0,-2.22631E0,-1.5494E1)); +#2172=LINE('',#2171,#2170); +#2173=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2174=VECTOR('',#2173,3.9878E-1); +#2175=CARTESIAN_POINT('',(-3.5052E0,-2.22631E0,-1.5494E1)); +#2176=LINE('',#2175,#2174); +#2177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2178=VECTOR('',#2177,3.175E0); +#2179=CARTESIAN_POINT('',(-3.5052E0,-2.22631E0,-1.5494E1)); +#2180=LINE('',#2179,#2178); +#2181=DIRECTION('',(0.E0,1.E0,-2.227239128593E-14)); +#2182=VECTOR('',#2181,3.9878E-1); +#2183=CARTESIAN_POINT('',(-4.1148E0,2.22631E0,-1.5494E1)); +#2184=LINE('',#2183,#2182); +#2185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2186=VECTOR('',#2185,3.175E0); +#2187=CARTESIAN_POINT('',(-4.1148E0,2.22631E0,-1.5494E1)); +#2188=LINE('',#2187,#2186); +#2189=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2190=VECTOR('',#2189,1.576847855741E0); +#2191=CARTESIAN_POINT('',(-5.603875E-1,2.62509E0,-1.397E1)); +#2192=LINE('',#2191,#2190); +#2193=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2194=VECTOR('',#2193,1.419225E0); +#2195=CARTESIAN_POINT('',(-5.603875E-1,2.62509E0,-1.397E1)); +#2196=LINE('',#2195,#2194); +#2197=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2198=VECTOR('',#2197,1.576847855741E0); +#2199=CARTESIAN_POINT('',(-1.5748E0,2.62509E0,-1.5494E1)); +#2200=LINE('',#2199,#2198); +#2201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2202=VECTOR('',#2201,3.175E0); +#2203=CARTESIAN_POINT('',(-1.5748E0,2.62509E0,-1.5494E1)); +#2204=LINE('',#2203,#2202); +#2205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2206=VECTOR('',#2205,3.9878E-1); +#2207=CARTESIAN_POINT('',(-9.652E-1,2.62509E0,-1.5494E1)); +#2208=LINE('',#2207,#2206); +#2209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2210=VECTOR('',#2209,3.175E0); +#2211=CARTESIAN_POINT('',(-9.652E-1,2.62509E0,-1.5494E1)); +#2212=LINE('',#2211,#2210); +#2213=DIRECTION('',(1.E0,0.E0,0.E0)); +#2214=VECTOR('',#2213,1.419225E0); +#2215=CARTESIAN_POINT('',(-1.9796125E0,2.22631E0,-1.397E1)); +#2216=LINE('',#2215,#2214); +#2217=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2218=VECTOR('',#2217,1.576847855741E0); +#2219=CARTESIAN_POINT('',(-5.603875E-1,2.22631E0,-1.397E1)); +#2220=LINE('',#2219,#2218); +#2221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2222=VECTOR('',#2221,3.175E0); +#2223=CARTESIAN_POINT('',(-9.652E-1,2.22631E0,-1.5494E1)); +#2224=LINE('',#2223,#2222); +#2225=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2226=VECTOR('',#2225,1.576847855741E0); +#2227=CARTESIAN_POINT('',(-1.5748E0,2.22631E0,-1.5494E1)); +#2228=LINE('',#2227,#2226); +#2229=DIRECTION('',(0.E0,1.E0,0.E0)); +#2230=VECTOR('',#2229,3.9878E-1); +#2231=CARTESIAN_POINT('',(-1.5748E0,-2.62509E0,-1.8669E1)); +#2232=LINE('',#2231,#2230); +#2233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2234=VECTOR('',#2233,6.096E-1); +#2235=CARTESIAN_POINT('',(-9.652E-1,-2.62509E0,-1.8669E1)); +#2236=LINE('',#2235,#2234); +#2237=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2238=VECTOR('',#2237,3.9878E-1); +#2239=CARTESIAN_POINT('',(-9.652E-1,-2.22631E0,-1.8669E1)); +#2240=LINE('',#2239,#2238); +#2241=DIRECTION('',(1.E0,0.E0,0.E0)); +#2242=VECTOR('',#2241,6.096E-1); +#2243=CARTESIAN_POINT('',(-1.5748E0,-2.22631E0,-1.8669E1)); +#2244=LINE('',#2243,#2242); +#2245=DIRECTION('',(1.E0,0.E0,0.E0)); +#2246=VECTOR('',#2245,6.096E-1); +#2247=CARTESIAN_POINT('',(-1.5748E0,2.62509E0,-1.8669E1)); +#2248=LINE('',#2247,#2246); +#2249=DIRECTION('',(0.E0,1.E0,0.E0)); +#2250=VECTOR('',#2249,3.9878E-1); +#2251=CARTESIAN_POINT('',(-1.5748E0,2.22631E0,-1.8669E1)); +#2252=LINE('',#2251,#2250); +#2253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2254=VECTOR('',#2253,6.096E-1); +#2255=CARTESIAN_POINT('',(-9.652E-1,2.22631E0,-1.8669E1)); +#2256=LINE('',#2255,#2254); +#2257=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2258=VECTOR('',#2257,3.9878E-1); +#2259=CARTESIAN_POINT('',(-9.652E-1,2.62509E0,-1.8669E1)); +#2260=LINE('',#2259,#2258); +#2261=DIRECTION('',(0.E0,1.E0,0.E0)); +#2262=VECTOR('',#2261,3.9878E-1); +#2263=CARTESIAN_POINT('',(-1.5748E0,-2.62509E0,-1.5494E1)); +#2264=LINE('',#2263,#2262); +#2265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2266=VECTOR('',#2265,3.175E0); +#2267=CARTESIAN_POINT('',(-1.5748E0,-2.62509E0,-1.5494E1)); +#2268=LINE('',#2267,#2266); +#2269=DIRECTION('',(1.E0,0.E0,0.E0)); +#2270=VECTOR('',#2269,1.419225E0); +#2271=CARTESIAN_POINT('',(-1.9796125E0,-2.62509E0,-1.397E1)); +#2272=LINE('',#2271,#2270); +#2273=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2274=VECTOR('',#2273,1.576847855741E0); +#2275=CARTESIAN_POINT('',(-9.652E-1,-2.62509E0,-1.5494E1)); +#2276=LINE('',#2275,#2274); +#2277=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2278=VECTOR('',#2277,3.175E0); +#2279=CARTESIAN_POINT('',(-9.652E-1,-2.62509E0,-1.5494E1)); +#2280=LINE('',#2279,#2278); +#2281=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2282=VECTOR('',#2281,1.576847855741E0); +#2283=CARTESIAN_POINT('',(-1.9796125E0,-2.62509E0,-1.397E1)); +#2284=LINE('',#2283,#2282); +#2285=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2286=VECTOR('',#2285,1.576847855741E0); +#2287=CARTESIAN_POINT('',(-9.652E-1,-2.22631E0,-1.5494E1)); +#2288=LINE('',#2287,#2286); +#2289=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2290=VECTOR('',#2289,1.419225E0); +#2291=CARTESIAN_POINT('',(-5.603875E-1,-2.22631E0,-1.397E1)); +#2292=LINE('',#2291,#2290); +#2293=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2294=VECTOR('',#2293,1.576847855741E0); +#2295=CARTESIAN_POINT('',(-1.9796125E0,-2.22631E0,-1.397E1)); +#2296=LINE('',#2295,#2294); +#2297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2298=VECTOR('',#2297,3.175E0); +#2299=CARTESIAN_POINT('',(-1.5748E0,-2.22631E0,-1.5494E1)); +#2300=LINE('',#2299,#2298); +#2301=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2302=VECTOR('',#2301,3.9878E-1); +#2303=CARTESIAN_POINT('',(-9.652E-1,-2.22631E0,-1.5494E1)); +#2304=LINE('',#2303,#2302); +#2305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2306=VECTOR('',#2305,3.175E0); +#2307=CARTESIAN_POINT('',(-9.652E-1,-2.22631E0,-1.5494E1)); +#2308=LINE('',#2307,#2306); +#2309=DIRECTION('',(0.E0,1.E0,0.E0)); +#2310=VECTOR('',#2309,3.9878E-1); +#2311=CARTESIAN_POINT('',(-1.5748E0,2.22631E0,-1.5494E1)); +#2312=LINE('',#2311,#2310); +#2313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2314=VECTOR('',#2313,3.175E0); +#2315=CARTESIAN_POINT('',(-1.5748E0,2.22631E0,-1.5494E1)); +#2316=LINE('',#2315,#2314); +#2317=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2318=VECTOR('',#2317,1.576847855741E0); +#2319=CARTESIAN_POINT('',(1.9796125E0,2.62509E0,-1.397E1)); +#2320=LINE('',#2319,#2318); +#2321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2322=VECTOR('',#2321,1.419225E0); +#2323=CARTESIAN_POINT('',(1.9796125E0,2.62509E0,-1.397E1)); +#2324=LINE('',#2323,#2322); +#2325=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2326=VECTOR('',#2325,1.576847855741E0); +#2327=CARTESIAN_POINT('',(9.652E-1,2.62509E0,-1.5494E1)); +#2328=LINE('',#2327,#2326); +#2329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2330=VECTOR('',#2329,3.175E0); +#2331=CARTESIAN_POINT('',(9.652E-1,2.62509E0,-1.5494E1)); +#2332=LINE('',#2331,#2330); +#2333=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2334=VECTOR('',#2333,3.9878E-1); +#2335=CARTESIAN_POINT('',(1.5748E0,2.62509E0,-1.5494E1)); +#2336=LINE('',#2335,#2334); +#2337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2338=VECTOR('',#2337,3.175E0); +#2339=CARTESIAN_POINT('',(1.5748E0,2.62509E0,-1.5494E1)); +#2340=LINE('',#2339,#2338); +#2341=DIRECTION('',(1.E0,0.E0,0.E0)); +#2342=VECTOR('',#2341,1.419225E0); +#2343=CARTESIAN_POINT('',(5.603875E-1,2.22631E0,-1.397E1)); +#2344=LINE('',#2343,#2342); +#2345=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2346=VECTOR('',#2345,1.576847855741E0); +#2347=CARTESIAN_POINT('',(1.9796125E0,2.22631E0,-1.397E1)); +#2348=LINE('',#2347,#2346); +#2349=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2350=VECTOR('',#2349,3.175E0); +#2351=CARTESIAN_POINT('',(1.5748E0,2.22631E0,-1.5494E1)); +#2352=LINE('',#2351,#2350); +#2353=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2354=VECTOR('',#2353,1.576847855741E0); +#2355=CARTESIAN_POINT('',(9.652E-1,2.22631E0,-1.5494E1)); +#2356=LINE('',#2355,#2354); +#2357=DIRECTION('',(0.E0,1.E0,0.E0)); +#2358=VECTOR('',#2357,3.9878E-1); +#2359=CARTESIAN_POINT('',(9.652E-1,-2.62509E0,-1.8669E1)); +#2360=LINE('',#2359,#2358); +#2361=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2362=VECTOR('',#2361,6.096E-1); +#2363=CARTESIAN_POINT('',(1.5748E0,-2.62509E0,-1.8669E1)); +#2364=LINE('',#2363,#2362); +#2365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2366=VECTOR('',#2365,3.9878E-1); +#2367=CARTESIAN_POINT('',(1.5748E0,-2.22631E0,-1.8669E1)); +#2368=LINE('',#2367,#2366); +#2369=DIRECTION('',(1.E0,0.E0,0.E0)); +#2370=VECTOR('',#2369,6.096E-1); +#2371=CARTESIAN_POINT('',(9.652E-1,-2.22631E0,-1.8669E1)); +#2372=LINE('',#2371,#2370); +#2373=DIRECTION('',(1.E0,0.E0,0.E0)); +#2374=VECTOR('',#2373,6.096E-1); +#2375=CARTESIAN_POINT('',(9.652E-1,2.62509E0,-1.8669E1)); +#2376=LINE('',#2375,#2374); +#2377=DIRECTION('',(0.E0,1.E0,0.E0)); +#2378=VECTOR('',#2377,3.9878E-1); +#2379=CARTESIAN_POINT('',(9.652E-1,2.22631E0,-1.8669E1)); +#2380=LINE('',#2379,#2378); +#2381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2382=VECTOR('',#2381,6.096E-1); +#2383=CARTESIAN_POINT('',(1.5748E0,2.22631E0,-1.8669E1)); +#2384=LINE('',#2383,#2382); +#2385=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2386=VECTOR('',#2385,3.9878E-1); +#2387=CARTESIAN_POINT('',(1.5748E0,2.62509E0,-1.8669E1)); +#2388=LINE('',#2387,#2386); +#2389=DIRECTION('',(0.E0,1.E0,0.E0)); +#2390=VECTOR('',#2389,3.9878E-1); +#2391=CARTESIAN_POINT('',(9.652E-1,-2.62509E0,-1.5494E1)); +#2392=LINE('',#2391,#2390); +#2393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2394=VECTOR('',#2393,3.175E0); +#2395=CARTESIAN_POINT('',(9.652E-1,-2.62509E0,-1.5494E1)); +#2396=LINE('',#2395,#2394); +#2397=DIRECTION('',(1.E0,0.E0,0.E0)); +#2398=VECTOR('',#2397,1.419225E0); +#2399=CARTESIAN_POINT('',(5.603875E-1,-2.62509E0,-1.397E1)); +#2400=LINE('',#2399,#2398); +#2401=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2402=VECTOR('',#2401,1.576847855741E0); +#2403=CARTESIAN_POINT('',(1.5748E0,-2.62509E0,-1.5494E1)); +#2404=LINE('',#2403,#2402); +#2405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2406=VECTOR('',#2405,3.175E0); +#2407=CARTESIAN_POINT('',(1.5748E0,-2.62509E0,-1.5494E1)); +#2408=LINE('',#2407,#2406); +#2409=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2410=VECTOR('',#2409,1.576847855741E0); +#2411=CARTESIAN_POINT('',(5.603875E-1,-2.62509E0,-1.397E1)); +#2412=LINE('',#2411,#2410); +#2413=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2414=VECTOR('',#2413,1.576847855741E0); +#2415=CARTESIAN_POINT('',(1.5748E0,-2.22631E0,-1.5494E1)); +#2416=LINE('',#2415,#2414); +#2417=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2418=VECTOR('',#2417,1.419225E0); +#2419=CARTESIAN_POINT('',(1.9796125E0,-2.22631E0,-1.397E1)); +#2420=LINE('',#2419,#2418); +#2421=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2422=VECTOR('',#2421,1.576847855741E0); +#2423=CARTESIAN_POINT('',(5.603875E-1,-2.22631E0,-1.397E1)); +#2424=LINE('',#2423,#2422); +#2425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2426=VECTOR('',#2425,3.175E0); +#2427=CARTESIAN_POINT('',(9.652E-1,-2.22631E0,-1.5494E1)); +#2428=LINE('',#2427,#2426); +#2429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2430=VECTOR('',#2429,3.9878E-1); +#2431=CARTESIAN_POINT('',(1.5748E0,-2.22631E0,-1.5494E1)); +#2432=LINE('',#2431,#2430); +#2433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2434=VECTOR('',#2433,3.175E0); +#2435=CARTESIAN_POINT('',(1.5748E0,-2.22631E0,-1.5494E1)); +#2436=LINE('',#2435,#2434); +#2437=DIRECTION('',(0.E0,1.E0,0.E0)); +#2438=VECTOR('',#2437,3.9878E-1); +#2439=CARTESIAN_POINT('',(9.652E-1,2.22631E0,-1.5494E1)); +#2440=LINE('',#2439,#2438); +#2441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2442=VECTOR('',#2441,3.175E0); +#2443=CARTESIAN_POINT('',(9.652E-1,2.22631E0,-1.5494E1)); +#2444=LINE('',#2443,#2442); +#2445=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2446=VECTOR('',#2445,1.576847855741E0); +#2447=CARTESIAN_POINT('',(4.5196125E0,2.62509E0,-1.397E1)); +#2448=LINE('',#2447,#2446); +#2449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2450=VECTOR('',#2449,1.419225E0); +#2451=CARTESIAN_POINT('',(4.5196125E0,2.62509E0,-1.397E1)); +#2452=LINE('',#2451,#2450); +#2453=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2454=VECTOR('',#2453,1.576847855741E0); +#2455=CARTESIAN_POINT('',(3.5052E0,2.62509E0,-1.5494E1)); +#2456=LINE('',#2455,#2454); +#2457=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2458=VECTOR('',#2457,3.175E0); +#2459=CARTESIAN_POINT('',(3.5052E0,2.62509E0,-1.5494E1)); +#2460=LINE('',#2459,#2458); +#2461=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2462=VECTOR('',#2461,3.9878E-1); +#2463=CARTESIAN_POINT('',(4.1148E0,2.62509E0,-1.5494E1)); +#2464=LINE('',#2463,#2462); +#2465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2466=VECTOR('',#2465,3.175E0); +#2467=CARTESIAN_POINT('',(4.1148E0,2.62509E0,-1.5494E1)); +#2468=LINE('',#2467,#2466); +#2469=DIRECTION('',(1.E0,0.E0,0.E0)); +#2470=VECTOR('',#2469,1.419225E0); +#2471=CARTESIAN_POINT('',(3.1003875E0,2.22631E0,-1.397E1)); +#2472=LINE('',#2471,#2470); +#2473=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2474=VECTOR('',#2473,1.576847855741E0); +#2475=CARTESIAN_POINT('',(4.5196125E0,2.22631E0,-1.397E1)); +#2476=LINE('',#2475,#2474); +#2477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2478=VECTOR('',#2477,3.175E0); +#2479=CARTESIAN_POINT('',(4.1148E0,2.22631E0,-1.5494E1)); +#2480=LINE('',#2479,#2478); +#2481=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2482=VECTOR('',#2481,1.576847855741E0); +#2483=CARTESIAN_POINT('',(3.5052E0,2.22631E0,-1.5494E1)); +#2484=LINE('',#2483,#2482); +#2485=DIRECTION('',(0.E0,1.E0,0.E0)); +#2486=VECTOR('',#2485,3.9878E-1); +#2487=CARTESIAN_POINT('',(3.5052E0,-2.62509E0,-1.8669E1)); +#2488=LINE('',#2487,#2486); +#2489=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2490=VECTOR('',#2489,6.096E-1); +#2491=CARTESIAN_POINT('',(4.1148E0,-2.62509E0,-1.8669E1)); +#2492=LINE('',#2491,#2490); +#2493=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2494=VECTOR('',#2493,3.9878E-1); +#2495=CARTESIAN_POINT('',(4.1148E0,-2.22631E0,-1.8669E1)); +#2496=LINE('',#2495,#2494); +#2497=DIRECTION('',(1.E0,0.E0,0.E0)); +#2498=VECTOR('',#2497,6.096E-1); +#2499=CARTESIAN_POINT('',(3.5052E0,-2.22631E0,-1.8669E1)); +#2500=LINE('',#2499,#2498); +#2501=DIRECTION('',(1.E0,0.E0,0.E0)); +#2502=VECTOR('',#2501,6.096E-1); +#2503=CARTESIAN_POINT('',(3.5052E0,2.62509E0,-1.8669E1)); +#2504=LINE('',#2503,#2502); +#2505=DIRECTION('',(0.E0,1.E0,0.E0)); +#2506=VECTOR('',#2505,3.9878E-1); +#2507=CARTESIAN_POINT('',(3.5052E0,2.22631E0,-1.8669E1)); +#2508=LINE('',#2507,#2506); +#2509=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2510=VECTOR('',#2509,6.096E-1); +#2511=CARTESIAN_POINT('',(4.1148E0,2.22631E0,-1.8669E1)); +#2512=LINE('',#2511,#2510); +#2513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2514=VECTOR('',#2513,3.9878E-1); +#2515=CARTESIAN_POINT('',(4.1148E0,2.62509E0,-1.8669E1)); +#2516=LINE('',#2515,#2514); +#2517=DIRECTION('',(0.E0,1.E0,0.E0)); +#2518=VECTOR('',#2517,3.9878E-1); +#2519=CARTESIAN_POINT('',(3.5052E0,-2.62509E0,-1.5494E1)); +#2520=LINE('',#2519,#2518); +#2521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2522=VECTOR('',#2521,3.175E0); +#2523=CARTESIAN_POINT('',(3.5052E0,-2.62509E0,-1.5494E1)); +#2524=LINE('',#2523,#2522); +#2525=DIRECTION('',(1.E0,0.E0,0.E0)); +#2526=VECTOR('',#2525,1.419225E0); +#2527=CARTESIAN_POINT('',(3.1003875E0,-2.62509E0,-1.397E1)); +#2528=LINE('',#2527,#2526); +#2529=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2530=VECTOR('',#2529,1.576847855741E0); +#2531=CARTESIAN_POINT('',(4.1148E0,-2.62509E0,-1.5494E1)); +#2532=LINE('',#2531,#2530); +#2533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2534=VECTOR('',#2533,3.175E0); +#2535=CARTESIAN_POINT('',(4.1148E0,-2.62509E0,-1.5494E1)); +#2536=LINE('',#2535,#2534); +#2537=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2538=VECTOR('',#2537,1.576847855741E0); +#2539=CARTESIAN_POINT('',(3.1003875E0,-2.62509E0,-1.397E1)); +#2540=LINE('',#2539,#2538); +#2541=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2542=VECTOR('',#2541,1.576847855741E0); +#2543=CARTESIAN_POINT('',(4.1148E0,-2.22631E0,-1.5494E1)); +#2544=LINE('',#2543,#2542); +#2545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2546=VECTOR('',#2545,1.419225E0); +#2547=CARTESIAN_POINT('',(4.5196125E0,-2.22631E0,-1.397E1)); +#2548=LINE('',#2547,#2546); +#2549=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2550=VECTOR('',#2549,1.576847855741E0); +#2551=CARTESIAN_POINT('',(3.1003875E0,-2.22631E0,-1.397E1)); +#2552=LINE('',#2551,#2550); +#2553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2554=VECTOR('',#2553,3.175E0); +#2555=CARTESIAN_POINT('',(3.5052E0,-2.22631E0,-1.5494E1)); +#2556=LINE('',#2555,#2554); +#2557=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2558=VECTOR('',#2557,3.9878E-1); +#2559=CARTESIAN_POINT('',(4.1148E0,-2.22631E0,-1.5494E1)); +#2560=LINE('',#2559,#2558); +#2561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2562=VECTOR('',#2561,3.175E0); +#2563=CARTESIAN_POINT('',(4.1148E0,-2.22631E0,-1.5494E1)); +#2564=LINE('',#2563,#2562); +#2565=DIRECTION('',(0.E0,1.E0,0.E0)); +#2566=VECTOR('',#2565,3.9878E-1); +#2567=CARTESIAN_POINT('',(3.5052E0,2.22631E0,-1.5494E1)); +#2568=LINE('',#2567,#2566); +#2569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2570=VECTOR('',#2569,3.175E0); +#2571=CARTESIAN_POINT('',(3.5052E0,2.22631E0,-1.5494E1)); +#2572=LINE('',#2571,#2570); +#2573=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2574=VECTOR('',#2573,1.576847855741E0); +#2575=CARTESIAN_POINT('',(7.0596125E0,2.62509E0,-1.397E1)); +#2576=LINE('',#2575,#2574); +#2577=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2578=VECTOR('',#2577,1.419225E0); +#2579=CARTESIAN_POINT('',(7.0596125E0,2.62509E0,-1.397E1)); +#2580=LINE('',#2579,#2578); +#2581=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2582=VECTOR('',#2581,1.576847855741E0); +#2583=CARTESIAN_POINT('',(6.0452E0,2.62509E0,-1.5494E1)); +#2584=LINE('',#2583,#2582); +#2585=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2586=VECTOR('',#2585,3.175E0); +#2587=CARTESIAN_POINT('',(6.0452E0,2.62509E0,-1.5494E1)); +#2588=LINE('',#2587,#2586); +#2589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2590=VECTOR('',#2589,3.9878E-1); +#2591=CARTESIAN_POINT('',(6.6548E0,2.62509E0,-1.5494E1)); +#2592=LINE('',#2591,#2590); +#2593=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2594=VECTOR('',#2593,3.175E0); +#2595=CARTESIAN_POINT('',(6.6548E0,2.62509E0,-1.5494E1)); +#2596=LINE('',#2595,#2594); +#2597=DIRECTION('',(1.E0,0.E0,0.E0)); +#2598=VECTOR('',#2597,1.419225E0); +#2599=CARTESIAN_POINT('',(5.6403875E0,2.22631E0,-1.397E1)); +#2600=LINE('',#2599,#2598); +#2601=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2602=VECTOR('',#2601,1.576847855741E0); +#2603=CARTESIAN_POINT('',(7.0596125E0,2.22631E0,-1.397E1)); +#2604=LINE('',#2603,#2602); +#2605=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2606=VECTOR('',#2605,3.175E0); +#2607=CARTESIAN_POINT('',(6.6548E0,2.22631E0,-1.5494E1)); +#2608=LINE('',#2607,#2606); +#2609=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2610=VECTOR('',#2609,1.576847855741E0); +#2611=CARTESIAN_POINT('',(6.0452E0,2.22631E0,-1.5494E1)); +#2612=LINE('',#2611,#2610); +#2613=DIRECTION('',(0.E0,1.E0,0.E0)); +#2614=VECTOR('',#2613,3.9878E-1); +#2615=CARTESIAN_POINT('',(6.0452E0,-2.62509E0,-1.8669E1)); +#2616=LINE('',#2615,#2614); +#2617=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2618=VECTOR('',#2617,6.096E-1); +#2619=CARTESIAN_POINT('',(6.6548E0,-2.62509E0,-1.8669E1)); +#2620=LINE('',#2619,#2618); +#2621=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2622=VECTOR('',#2621,3.9878E-1); +#2623=CARTESIAN_POINT('',(6.6548E0,-2.22631E0,-1.8669E1)); +#2624=LINE('',#2623,#2622); +#2625=DIRECTION('',(1.E0,0.E0,0.E0)); +#2626=VECTOR('',#2625,6.096E-1); +#2627=CARTESIAN_POINT('',(6.0452E0,-2.22631E0,-1.8669E1)); +#2628=LINE('',#2627,#2626); +#2629=DIRECTION('',(1.E0,0.E0,0.E0)); +#2630=VECTOR('',#2629,6.096E-1); +#2631=CARTESIAN_POINT('',(6.0452E0,2.62509E0,-1.8669E1)); +#2632=LINE('',#2631,#2630); +#2633=DIRECTION('',(1.781791302874E-14,1.E0,0.E0)); +#2634=VECTOR('',#2633,3.9878E-1); +#2635=CARTESIAN_POINT('',(6.0452E0,2.22631E0,-1.8669E1)); +#2636=LINE('',#2635,#2634); +#2637=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2638=VECTOR('',#2637,6.096E-1); +#2639=CARTESIAN_POINT('',(6.6548E0,2.22631E0,-1.8669E1)); +#2640=LINE('',#2639,#2638); +#2641=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2642=VECTOR('',#2641,3.9878E-1); +#2643=CARTESIAN_POINT('',(6.6548E0,2.62509E0,-1.8669E1)); +#2644=LINE('',#2643,#2642); +#2645=DIRECTION('',(0.E0,1.E0,0.E0)); +#2646=VECTOR('',#2645,3.9878E-1); +#2647=CARTESIAN_POINT('',(6.0452E0,-2.62509E0,-1.5494E1)); +#2648=LINE('',#2647,#2646); +#2649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2650=VECTOR('',#2649,3.175E0); +#2651=CARTESIAN_POINT('',(6.0452E0,-2.62509E0,-1.5494E1)); +#2652=LINE('',#2651,#2650); +#2653=DIRECTION('',(1.E0,0.E0,0.E0)); +#2654=VECTOR('',#2653,1.419225E0); +#2655=CARTESIAN_POINT('',(5.6403875E0,-2.62509E0,-1.397E1)); +#2656=LINE('',#2655,#2654); +#2657=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2658=VECTOR('',#2657,1.576847855741E0); +#2659=CARTESIAN_POINT('',(6.6548E0,-2.62509E0,-1.5494E1)); +#2660=LINE('',#2659,#2658); +#2661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2662=VECTOR('',#2661,3.175E0); +#2663=CARTESIAN_POINT('',(6.6548E0,-2.62509E0,-1.5494E1)); +#2664=LINE('',#2663,#2662); +#2665=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2666=VECTOR('',#2665,1.576847855741E0); +#2667=CARTESIAN_POINT('',(5.6403875E0,-2.62509E0,-1.397E1)); +#2668=LINE('',#2667,#2666); +#2669=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2670=VECTOR('',#2669,1.576847855741E0); +#2671=CARTESIAN_POINT('',(6.6548E0,-2.22631E0,-1.5494E1)); +#2672=LINE('',#2671,#2670); +#2673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2674=VECTOR('',#2673,1.419225E0); +#2675=CARTESIAN_POINT('',(7.0596125E0,-2.22631E0,-1.397E1)); +#2676=LINE('',#2675,#2674); +#2677=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2678=VECTOR('',#2677,1.576847855741E0); +#2679=CARTESIAN_POINT('',(5.6403875E0,-2.22631E0,-1.397E1)); +#2680=LINE('',#2679,#2678); +#2681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2682=VECTOR('',#2681,3.175E0); +#2683=CARTESIAN_POINT('',(6.0452E0,-2.22631E0,-1.5494E1)); +#2684=LINE('',#2683,#2682); +#2685=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2686=VECTOR('',#2685,3.9878E-1); +#2687=CARTESIAN_POINT('',(6.6548E0,-2.22631E0,-1.5494E1)); +#2688=LINE('',#2687,#2686); +#2689=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2690=VECTOR('',#2689,3.175E0); +#2691=CARTESIAN_POINT('',(6.6548E0,-2.22631E0,-1.5494E1)); +#2692=LINE('',#2691,#2690); +#2693=DIRECTION('',(1.781791302874E-14,1.E0,-7.127165211496E-14)); +#2694=VECTOR('',#2693,3.9878E-1); +#2695=CARTESIAN_POINT('',(6.0452E0,2.22631E0,-1.5494E1)); +#2696=LINE('',#2695,#2694); +#2697=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2698=VECTOR('',#2697,3.175E0); +#2699=CARTESIAN_POINT('',(6.0452E0,2.22631E0,-1.5494E1)); +#2700=LINE('',#2699,#2698); +#2701=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2702=VECTOR('',#2701,1.576847855741E0); +#2703=CARTESIAN_POINT('',(9.5996125E0,2.62509E0,-1.397E1)); +#2704=LINE('',#2703,#2702); +#2705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2706=VECTOR('',#2705,1.419225E0); +#2707=CARTESIAN_POINT('',(9.5996125E0,2.62509E0,-1.397E1)); +#2708=LINE('',#2707,#2706); +#2709=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2710=VECTOR('',#2709,1.576847855741E0); +#2711=CARTESIAN_POINT('',(8.5852E0,2.62509E0,-1.5494E1)); +#2712=LINE('',#2711,#2710); +#2713=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2714=VECTOR('',#2713,3.175E0); +#2715=CARTESIAN_POINT('',(8.5852E0,2.62509E0,-1.5494E1)); +#2716=LINE('',#2715,#2714); +#2717=DIRECTION('',(1.781791302874E-14,-1.E0,8.018060862933E-14)); +#2718=VECTOR('',#2717,3.9878E-1); +#2719=CARTESIAN_POINT('',(9.1948E0,2.62509E0,-1.5494E1)); +#2720=LINE('',#2719,#2718); +#2721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2722=VECTOR('',#2721,3.175E0); +#2723=CARTESIAN_POINT('',(9.1948E0,2.62509E0,-1.5494E1)); +#2724=LINE('',#2723,#2722); +#2725=DIRECTION('',(1.E0,0.E0,0.E0)); +#2726=VECTOR('',#2725,1.419225E0); +#2727=CARTESIAN_POINT('',(8.1803875E0,2.22631E0,-1.397E1)); +#2728=LINE('',#2727,#2726); +#2729=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2730=VECTOR('',#2729,1.576847855741E0); +#2731=CARTESIAN_POINT('',(9.5996125E0,2.22631E0,-1.397E1)); +#2732=LINE('',#2731,#2730); +#2733=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2734=VECTOR('',#2733,3.175E0); +#2735=CARTESIAN_POINT('',(9.1948E0,2.22631E0,-1.5494E1)); +#2736=LINE('',#2735,#2734); +#2737=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2738=VECTOR('',#2737,1.576847855741E0); +#2739=CARTESIAN_POINT('',(8.5852E0,2.22631E0,-1.5494E1)); +#2740=LINE('',#2739,#2738); +#2741=DIRECTION('',(0.E0,1.E0,0.E0)); +#2742=VECTOR('',#2741,3.9878E-1); +#2743=CARTESIAN_POINT('',(8.5852E0,-2.62509E0,-1.8669E1)); +#2744=LINE('',#2743,#2742); +#2745=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2746=VECTOR('',#2745,6.096E-1); +#2747=CARTESIAN_POINT('',(9.1948E0,-2.62509E0,-1.8669E1)); +#2748=LINE('',#2747,#2746); +#2749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2750=VECTOR('',#2749,3.9878E-1); +#2751=CARTESIAN_POINT('',(9.1948E0,-2.22631E0,-1.8669E1)); +#2752=LINE('',#2751,#2750); +#2753=DIRECTION('',(1.E0,0.E0,0.E0)); +#2754=VECTOR('',#2753,6.096E-1); +#2755=CARTESIAN_POINT('',(8.5852E0,-2.22631E0,-1.8669E1)); +#2756=LINE('',#2755,#2754); +#2757=DIRECTION('',(1.E0,0.E0,0.E0)); +#2758=VECTOR('',#2757,6.096E-1); +#2759=CARTESIAN_POINT('',(8.5852E0,2.62509E0,-1.8669E1)); +#2760=LINE('',#2759,#2758); +#2761=DIRECTION('',(0.E0,1.E0,0.E0)); +#2762=VECTOR('',#2761,3.9878E-1); +#2763=CARTESIAN_POINT('',(8.5852E0,2.22631E0,-1.8669E1)); +#2764=LINE('',#2763,#2762); +#2765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2766=VECTOR('',#2765,6.096E-1); +#2767=CARTESIAN_POINT('',(9.1948E0,2.22631E0,-1.8669E1)); +#2768=LINE('',#2767,#2766); +#2769=DIRECTION('',(1.781791302874E-14,-1.E0,0.E0)); +#2770=VECTOR('',#2769,3.9878E-1); +#2771=CARTESIAN_POINT('',(9.1948E0,2.62509E0,-1.8669E1)); +#2772=LINE('',#2771,#2770); +#2773=DIRECTION('',(0.E0,1.E0,0.E0)); +#2774=VECTOR('',#2773,3.9878E-1); +#2775=CARTESIAN_POINT('',(8.5852E0,-2.62509E0,-1.5494E1)); +#2776=LINE('',#2775,#2774); +#2777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2778=VECTOR('',#2777,3.175E0); +#2779=CARTESIAN_POINT('',(8.5852E0,-2.62509E0,-1.5494E1)); +#2780=LINE('',#2779,#2778); +#2781=DIRECTION('',(1.E0,0.E0,0.E0)); +#2782=VECTOR('',#2781,1.419225E0); +#2783=CARTESIAN_POINT('',(8.1803875E0,-2.62509E0,-1.397E1)); +#2784=LINE('',#2783,#2782); +#2785=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2786=VECTOR('',#2785,1.576847855741E0); +#2787=CARTESIAN_POINT('',(9.1948E0,-2.62509E0,-1.5494E1)); +#2788=LINE('',#2787,#2786); +#2789=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2790=VECTOR('',#2789,3.175E0); +#2791=CARTESIAN_POINT('',(9.1948E0,-2.62509E0,-1.5494E1)); +#2792=LINE('',#2791,#2790); +#2793=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2794=VECTOR('',#2793,1.576847855741E0); +#2795=CARTESIAN_POINT('',(8.1803875E0,-2.62509E0,-1.397E1)); +#2796=LINE('',#2795,#2794); +#2797=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2798=VECTOR('',#2797,1.576847855741E0); +#2799=CARTESIAN_POINT('',(9.1948E0,-2.22631E0,-1.5494E1)); +#2800=LINE('',#2799,#2798); +#2801=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2802=VECTOR('',#2801,1.419225E0); +#2803=CARTESIAN_POINT('',(9.5996125E0,-2.22631E0,-1.397E1)); +#2804=LINE('',#2803,#2802); +#2805=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2806=VECTOR('',#2805,1.576847855741E0); +#2807=CARTESIAN_POINT('',(8.1803875E0,-2.22631E0,-1.397E1)); +#2808=LINE('',#2807,#2806); +#2809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2810=VECTOR('',#2809,3.175E0); +#2811=CARTESIAN_POINT('',(8.5852E0,-2.22631E0,-1.5494E1)); +#2812=LINE('',#2811,#2810); +#2813=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2814=VECTOR('',#2813,3.9878E-1); +#2815=CARTESIAN_POINT('',(9.1948E0,-2.22631E0,-1.5494E1)); +#2816=LINE('',#2815,#2814); +#2817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2818=VECTOR('',#2817,3.175E0); +#2819=CARTESIAN_POINT('',(9.1948E0,-2.22631E0,-1.5494E1)); +#2820=LINE('',#2819,#2818); +#2821=DIRECTION('',(0.E0,1.E0,0.E0)); +#2822=VECTOR('',#2821,3.9878E-1); +#2823=CARTESIAN_POINT('',(8.5852E0,2.22631E0,-1.5494E1)); +#2824=LINE('',#2823,#2822); +#2825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2826=VECTOR('',#2825,3.175E0); +#2827=CARTESIAN_POINT('',(8.5852E0,2.22631E0,-1.5494E1)); +#2828=LINE('',#2827,#2826); +#2829=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2830=VECTOR('',#2829,1.576847855741E0); +#2831=CARTESIAN_POINT('',(1.21396125E1,2.62509E0,-1.397E1)); +#2832=LINE('',#2831,#2830); +#2833=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2834=VECTOR('',#2833,1.419225E0); +#2835=CARTESIAN_POINT('',(1.21396125E1,2.62509E0,-1.397E1)); +#2836=LINE('',#2835,#2834); +#2837=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2838=VECTOR('',#2837,1.576847855741E0); +#2839=CARTESIAN_POINT('',(1.11252E1,2.62509E0,-1.5494E1)); +#2840=LINE('',#2839,#2838); +#2841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2842=VECTOR('',#2841,3.175E0); +#2843=CARTESIAN_POINT('',(1.11252E1,2.62509E0,-1.5494E1)); +#2844=LINE('',#2843,#2842); +#2845=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2846=VECTOR('',#2845,3.9878E-1); +#2847=CARTESIAN_POINT('',(1.17348E1,2.62509E0,-1.5494E1)); +#2848=LINE('',#2847,#2846); +#2849=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2850=VECTOR('',#2849,3.175E0); +#2851=CARTESIAN_POINT('',(1.17348E1,2.62509E0,-1.5494E1)); +#2852=LINE('',#2851,#2850); +#2853=DIRECTION('',(1.E0,0.E0,0.E0)); +#2854=VECTOR('',#2853,1.419225E0); +#2855=CARTESIAN_POINT('',(1.07203875E1,2.22631E0,-1.397E1)); +#2856=LINE('',#2855,#2854); +#2857=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2858=VECTOR('',#2857,1.576847855741E0); +#2859=CARTESIAN_POINT('',(1.21396125E1,2.22631E0,-1.397E1)); +#2860=LINE('',#2859,#2858); +#2861=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2862=VECTOR('',#2861,3.175E0); +#2863=CARTESIAN_POINT('',(1.17348E1,2.22631E0,-1.5494E1)); +#2864=LINE('',#2863,#2862); +#2865=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2866=VECTOR('',#2865,1.576847855741E0); +#2867=CARTESIAN_POINT('',(1.11252E1,2.22631E0,-1.5494E1)); +#2868=LINE('',#2867,#2866); +#2869=DIRECTION('',(0.E0,1.E0,0.E0)); +#2870=VECTOR('',#2869,3.9878E-1); +#2871=CARTESIAN_POINT('',(1.11252E1,-2.62509E0,-1.8669E1)); +#2872=LINE('',#2871,#2870); +#2873=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2874=VECTOR('',#2873,6.096E-1); +#2875=CARTESIAN_POINT('',(1.17348E1,-2.62509E0,-1.8669E1)); +#2876=LINE('',#2875,#2874); +#2877=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2878=VECTOR('',#2877,3.9878E-1); +#2879=CARTESIAN_POINT('',(1.17348E1,-2.22631E0,-1.8669E1)); +#2880=LINE('',#2879,#2878); +#2881=DIRECTION('',(1.E0,0.E0,0.E0)); +#2882=VECTOR('',#2881,6.096E-1); +#2883=CARTESIAN_POINT('',(1.11252E1,-2.22631E0,-1.8669E1)); +#2884=LINE('',#2883,#2882); +#2885=DIRECTION('',(1.E0,0.E0,0.E0)); +#2886=VECTOR('',#2885,6.096E-1); +#2887=CARTESIAN_POINT('',(1.11252E1,2.62509E0,-1.8669E1)); +#2888=LINE('',#2887,#2886); +#2889=DIRECTION('',(0.E0,1.E0,0.E0)); +#2890=VECTOR('',#2889,3.9878E-1); +#2891=CARTESIAN_POINT('',(1.11252E1,2.22631E0,-1.8669E1)); +#2892=LINE('',#2891,#2890); +#2893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2894=VECTOR('',#2893,6.096E-1); +#2895=CARTESIAN_POINT('',(1.17348E1,2.22631E0,-1.8669E1)); +#2896=LINE('',#2895,#2894); +#2897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2898=VECTOR('',#2897,3.9878E-1); +#2899=CARTESIAN_POINT('',(1.17348E1,2.62509E0,-1.8669E1)); +#2900=LINE('',#2899,#2898); +#2901=DIRECTION('',(0.E0,1.E0,0.E0)); +#2902=VECTOR('',#2901,3.9878E-1); +#2903=CARTESIAN_POINT('',(1.11252E1,-2.62509E0,-1.5494E1)); +#2904=LINE('',#2903,#2902); +#2905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2906=VECTOR('',#2905,3.175E0); +#2907=CARTESIAN_POINT('',(1.11252E1,-2.62509E0,-1.5494E1)); +#2908=LINE('',#2907,#2906); +#2909=DIRECTION('',(1.E0,0.E0,0.E0)); +#2910=VECTOR('',#2909,1.419225E0); +#2911=CARTESIAN_POINT('',(1.07203875E1,-2.62509E0,-1.397E1)); +#2912=LINE('',#2911,#2910); +#2913=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2914=VECTOR('',#2913,1.576847855741E0); +#2915=CARTESIAN_POINT('',(1.17348E1,-2.62509E0,-1.5494E1)); +#2916=LINE('',#2915,#2914); +#2917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2918=VECTOR('',#2917,3.175E0); +#2919=CARTESIAN_POINT('',(1.17348E1,-2.62509E0,-1.5494E1)); +#2920=LINE('',#2919,#2918); +#2921=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2922=VECTOR('',#2921,1.576847855741E0); +#2923=CARTESIAN_POINT('',(1.07203875E1,-2.62509E0,-1.397E1)); +#2924=LINE('',#2923,#2922); +#2925=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2926=VECTOR('',#2925,1.576847855741E0); +#2927=CARTESIAN_POINT('',(1.17348E1,-2.22631E0,-1.5494E1)); +#2928=LINE('',#2927,#2926); +#2929=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2930=VECTOR('',#2929,1.419225E0); +#2931=CARTESIAN_POINT('',(1.21396125E1,-2.22631E0,-1.397E1)); +#2932=LINE('',#2931,#2930); +#2933=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2934=VECTOR('',#2933,1.576847855741E0); +#2935=CARTESIAN_POINT('',(1.07203875E1,-2.22631E0,-1.397E1)); +#2936=LINE('',#2935,#2934); +#2937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2938=VECTOR('',#2937,3.175E0); +#2939=CARTESIAN_POINT('',(1.11252E1,-2.22631E0,-1.5494E1)); +#2940=LINE('',#2939,#2938); +#2941=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2942=VECTOR('',#2941,3.9878E-1); +#2943=CARTESIAN_POINT('',(1.17348E1,-2.22631E0,-1.5494E1)); +#2944=LINE('',#2943,#2942); +#2945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2946=VECTOR('',#2945,3.175E0); +#2947=CARTESIAN_POINT('',(1.17348E1,-2.22631E0,-1.5494E1)); +#2948=LINE('',#2947,#2946); +#2949=DIRECTION('',(0.E0,1.E0,0.E0)); +#2950=VECTOR('',#2949,3.9878E-1); +#2951=CARTESIAN_POINT('',(1.11252E1,2.22631E0,-1.5494E1)); +#2952=LINE('',#2951,#2950); +#2953=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2954=VECTOR('',#2953,3.175E0); +#2955=CARTESIAN_POINT('',(1.11252E1,2.22631E0,-1.5494E1)); +#2956=LINE('',#2955,#2954); +#2957=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2958=VECTOR('',#2957,1.576847855741E0); +#2959=CARTESIAN_POINT('',(1.46796125E1,2.62509E0,-1.397E1)); +#2960=LINE('',#2959,#2958); +#2961=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2962=VECTOR('',#2961,1.419225E0); +#2963=CARTESIAN_POINT('',(1.46796125E1,2.62509E0,-1.397E1)); +#2964=LINE('',#2963,#2962); +#2965=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2966=VECTOR('',#2965,1.576847855741E0); +#2967=CARTESIAN_POINT('',(1.36652E1,2.62509E0,-1.5494E1)); +#2968=LINE('',#2967,#2966); +#2969=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2970=VECTOR('',#2969,3.175E0); +#2971=CARTESIAN_POINT('',(1.36652E1,2.62509E0,-1.5494E1)); +#2972=LINE('',#2971,#2970); +#2973=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2974=VECTOR('',#2973,3.9878E-1); +#2975=CARTESIAN_POINT('',(1.42748E1,2.62509E0,-1.5494E1)); +#2976=LINE('',#2975,#2974); +#2977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2978=VECTOR('',#2977,3.175E0); +#2979=CARTESIAN_POINT('',(1.42748E1,2.62509E0,-1.5494E1)); +#2980=LINE('',#2979,#2978); +#2981=DIRECTION('',(1.E0,0.E0,0.E0)); +#2982=VECTOR('',#2981,1.419225E0); +#2983=CARTESIAN_POINT('',(1.32603875E1,2.22631E0,-1.397E1)); +#2984=LINE('',#2983,#2982); +#2985=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2986=VECTOR('',#2985,1.576847855741E0); +#2987=CARTESIAN_POINT('',(1.46796125E1,2.22631E0,-1.397E1)); +#2988=LINE('',#2987,#2986); +#2989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2990=VECTOR('',#2989,3.175E0); +#2991=CARTESIAN_POINT('',(1.42748E1,2.22631E0,-1.5494E1)); +#2992=LINE('',#2991,#2990); +#2993=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2994=VECTOR('',#2993,1.576847855741E0); +#2995=CARTESIAN_POINT('',(1.36652E1,2.22631E0,-1.5494E1)); +#2996=LINE('',#2995,#2994); +#2997=DIRECTION('',(0.E0,1.E0,0.E0)); +#2998=VECTOR('',#2997,3.9878E-1); +#2999=CARTESIAN_POINT('',(1.36652E1,-2.62509E0,-1.8669E1)); +#3000=LINE('',#2999,#2998); +#3001=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3002=VECTOR('',#3001,6.096E-1); +#3003=CARTESIAN_POINT('',(1.42748E1,-2.62509E0,-1.8669E1)); +#3004=LINE('',#3003,#3002); +#3005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3006=VECTOR('',#3005,3.9878E-1); +#3007=CARTESIAN_POINT('',(1.42748E1,-2.22631E0,-1.8669E1)); +#3008=LINE('',#3007,#3006); +#3009=DIRECTION('',(1.E0,0.E0,0.E0)); +#3010=VECTOR('',#3009,6.096E-1); +#3011=CARTESIAN_POINT('',(1.36652E1,-2.22631E0,-1.8669E1)); +#3012=LINE('',#3011,#3010); +#3013=DIRECTION('',(1.E0,0.E0,0.E0)); +#3014=VECTOR('',#3013,6.096E-1); +#3015=CARTESIAN_POINT('',(1.36652E1,2.62509E0,-1.8669E1)); +#3016=LINE('',#3015,#3014); +#3017=DIRECTION('',(0.E0,1.E0,0.E0)); +#3018=VECTOR('',#3017,3.9878E-1); +#3019=CARTESIAN_POINT('',(1.36652E1,2.22631E0,-1.8669E1)); +#3020=LINE('',#3019,#3018); +#3021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3022=VECTOR('',#3021,6.096E-1); +#3023=CARTESIAN_POINT('',(1.42748E1,2.22631E0,-1.8669E1)); +#3024=LINE('',#3023,#3022); +#3025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3026=VECTOR('',#3025,3.9878E-1); +#3027=CARTESIAN_POINT('',(1.42748E1,2.62509E0,-1.8669E1)); +#3028=LINE('',#3027,#3026); +#3029=DIRECTION('',(0.E0,1.E0,0.E0)); +#3030=VECTOR('',#3029,3.9878E-1); +#3031=CARTESIAN_POINT('',(1.36652E1,-2.62509E0,-1.5494E1)); +#3032=LINE('',#3031,#3030); +#3033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3034=VECTOR('',#3033,3.175E0); +#3035=CARTESIAN_POINT('',(1.36652E1,-2.62509E0,-1.5494E1)); +#3036=LINE('',#3035,#3034); +#3037=DIRECTION('',(1.E0,0.E0,0.E0)); +#3038=VECTOR('',#3037,1.419225E0); +#3039=CARTESIAN_POINT('',(1.32603875E1,-2.62509E0,-1.397E1)); +#3040=LINE('',#3039,#3038); +#3041=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3042=VECTOR('',#3041,1.576847855741E0); +#3043=CARTESIAN_POINT('',(1.42748E1,-2.62509E0,-1.5494E1)); +#3044=LINE('',#3043,#3042); +#3045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3046=VECTOR('',#3045,3.175E0); +#3047=CARTESIAN_POINT('',(1.42748E1,-2.62509E0,-1.5494E1)); +#3048=LINE('',#3047,#3046); +#3049=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3050=VECTOR('',#3049,1.576847855741E0); +#3051=CARTESIAN_POINT('',(1.32603875E1,-2.62509E0,-1.397E1)); +#3052=LINE('',#3051,#3050); +#3053=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3054=VECTOR('',#3053,1.576847855741E0); +#3055=CARTESIAN_POINT('',(1.42748E1,-2.22631E0,-1.5494E1)); +#3056=LINE('',#3055,#3054); +#3057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3058=VECTOR('',#3057,1.419225E0); +#3059=CARTESIAN_POINT('',(1.46796125E1,-2.22631E0,-1.397E1)); +#3060=LINE('',#3059,#3058); +#3061=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3062=VECTOR('',#3061,1.576847855741E0); +#3063=CARTESIAN_POINT('',(1.32603875E1,-2.22631E0,-1.397E1)); +#3064=LINE('',#3063,#3062); +#3065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3066=VECTOR('',#3065,3.175E0); +#3067=CARTESIAN_POINT('',(1.36652E1,-2.22631E0,-1.5494E1)); +#3068=LINE('',#3067,#3066); +#3069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3070=VECTOR('',#3069,3.9878E-1); +#3071=CARTESIAN_POINT('',(1.42748E1,-2.22631E0,-1.5494E1)); +#3072=LINE('',#3071,#3070); +#3073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3074=VECTOR('',#3073,3.175E0); +#3075=CARTESIAN_POINT('',(1.42748E1,-2.22631E0,-1.5494E1)); +#3076=LINE('',#3075,#3074); +#3077=DIRECTION('',(0.E0,1.E0,0.E0)); +#3078=VECTOR('',#3077,3.9878E-1); +#3079=CARTESIAN_POINT('',(1.36652E1,2.22631E0,-1.5494E1)); +#3080=LINE('',#3079,#3078); +#3081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3082=VECTOR('',#3081,3.175E0); +#3083=CARTESIAN_POINT('',(1.36652E1,2.22631E0,-1.5494E1)); +#3084=LINE('',#3083,#3082); +#3085=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3086=VECTOR('',#3085,1.576847855741E0); +#3087=CARTESIAN_POINT('',(1.72196125E1,2.62509E0,-1.397E1)); +#3088=LINE('',#3087,#3086); +#3089=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3090=VECTOR('',#3089,1.419225E0); +#3091=CARTESIAN_POINT('',(1.72196125E1,2.62509E0,-1.397E1)); +#3092=LINE('',#3091,#3090); +#3093=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3094=VECTOR('',#3093,1.576847855741E0); +#3095=CARTESIAN_POINT('',(1.62052E1,2.62509E0,-1.5494E1)); +#3096=LINE('',#3095,#3094); +#3097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3098=VECTOR('',#3097,3.175E0); +#3099=CARTESIAN_POINT('',(1.62052E1,2.62509E0,-1.5494E1)); +#3100=LINE('',#3099,#3098); +#3101=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3102=VECTOR('',#3101,3.9878E-1); +#3103=CARTESIAN_POINT('',(1.68148E1,2.62509E0,-1.5494E1)); +#3104=LINE('',#3103,#3102); +#3105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3106=VECTOR('',#3105,3.175E0); +#3107=CARTESIAN_POINT('',(1.68148E1,2.62509E0,-1.5494E1)); +#3108=LINE('',#3107,#3106); +#3109=DIRECTION('',(1.E0,0.E0,0.E0)); +#3110=VECTOR('',#3109,1.419225E0); +#3111=CARTESIAN_POINT('',(1.58003875E1,2.22631E0,-1.397E1)); +#3112=LINE('',#3111,#3110); +#3113=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3114=VECTOR('',#3113,1.576847855741E0); +#3115=CARTESIAN_POINT('',(1.72196125E1,2.22631E0,-1.397E1)); +#3116=LINE('',#3115,#3114); +#3117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3118=VECTOR('',#3117,3.175E0); +#3119=CARTESIAN_POINT('',(1.68148E1,2.22631E0,-1.5494E1)); +#3120=LINE('',#3119,#3118); +#3121=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3122=VECTOR('',#3121,1.576847855741E0); +#3123=CARTESIAN_POINT('',(1.62052E1,2.22631E0,-1.5494E1)); +#3124=LINE('',#3123,#3122); +#3125=DIRECTION('',(0.E0,1.E0,0.E0)); +#3126=VECTOR('',#3125,3.9878E-1); +#3127=CARTESIAN_POINT('',(1.62052E1,-2.62509E0,-1.8669E1)); +#3128=LINE('',#3127,#3126); +#3129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3130=VECTOR('',#3129,6.096E-1); +#3131=CARTESIAN_POINT('',(1.68148E1,-2.62509E0,-1.8669E1)); +#3132=LINE('',#3131,#3130); +#3133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3134=VECTOR('',#3133,3.9878E-1); +#3135=CARTESIAN_POINT('',(1.68148E1,-2.22631E0,-1.8669E1)); +#3136=LINE('',#3135,#3134); +#3137=DIRECTION('',(1.E0,0.E0,0.E0)); +#3138=VECTOR('',#3137,6.096E-1); +#3139=CARTESIAN_POINT('',(1.62052E1,-2.22631E0,-1.8669E1)); +#3140=LINE('',#3139,#3138); +#3141=DIRECTION('',(1.E0,0.E0,0.E0)); +#3142=VECTOR('',#3141,6.096E-1); +#3143=CARTESIAN_POINT('',(1.62052E1,2.62509E0,-1.8669E1)); +#3144=LINE('',#3143,#3142); +#3145=DIRECTION('',(0.E0,1.E0,0.E0)); +#3146=VECTOR('',#3145,3.9878E-1); +#3147=CARTESIAN_POINT('',(1.62052E1,2.22631E0,-1.8669E1)); +#3148=LINE('',#3147,#3146); +#3149=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3150=VECTOR('',#3149,6.096E-1); +#3151=CARTESIAN_POINT('',(1.68148E1,2.22631E0,-1.8669E1)); +#3152=LINE('',#3151,#3150); +#3153=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3154=VECTOR('',#3153,3.9878E-1); +#3155=CARTESIAN_POINT('',(1.68148E1,2.62509E0,-1.8669E1)); +#3156=LINE('',#3155,#3154); +#3157=DIRECTION('',(0.E0,1.E0,0.E0)); +#3158=VECTOR('',#3157,3.9878E-1); +#3159=CARTESIAN_POINT('',(1.62052E1,-2.62509E0,-1.5494E1)); +#3160=LINE('',#3159,#3158); +#3161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3162=VECTOR('',#3161,3.175E0); +#3163=CARTESIAN_POINT('',(1.62052E1,-2.62509E0,-1.5494E1)); +#3164=LINE('',#3163,#3162); +#3165=DIRECTION('',(1.E0,0.E0,0.E0)); +#3166=VECTOR('',#3165,1.419225E0); +#3167=CARTESIAN_POINT('',(1.58003875E1,-2.62509E0,-1.397E1)); +#3168=LINE('',#3167,#3166); +#3169=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3170=VECTOR('',#3169,1.576847855741E0); +#3171=CARTESIAN_POINT('',(1.68148E1,-2.62509E0,-1.5494E1)); +#3172=LINE('',#3171,#3170); +#3173=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3174=VECTOR('',#3173,3.175E0); +#3175=CARTESIAN_POINT('',(1.68148E1,-2.62509E0,-1.5494E1)); +#3176=LINE('',#3175,#3174); +#3177=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3178=VECTOR('',#3177,1.576847855741E0); +#3179=CARTESIAN_POINT('',(1.58003875E1,-2.62509E0,-1.397E1)); +#3180=LINE('',#3179,#3178); +#3181=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3182=VECTOR('',#3181,1.576847855741E0); +#3183=CARTESIAN_POINT('',(1.68148E1,-2.22631E0,-1.5494E1)); +#3184=LINE('',#3183,#3182); +#3185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3186=VECTOR('',#3185,1.419225E0); +#3187=CARTESIAN_POINT('',(1.72196125E1,-2.22631E0,-1.397E1)); +#3188=LINE('',#3187,#3186); +#3189=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3190=VECTOR('',#3189,1.576847855741E0); +#3191=CARTESIAN_POINT('',(1.58003875E1,-2.22631E0,-1.397E1)); +#3192=LINE('',#3191,#3190); +#3193=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3194=VECTOR('',#3193,3.175E0); +#3195=CARTESIAN_POINT('',(1.62052E1,-2.22631E0,-1.5494E1)); +#3196=LINE('',#3195,#3194); +#3197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3198=VECTOR('',#3197,3.9878E-1); +#3199=CARTESIAN_POINT('',(1.68148E1,-2.22631E0,-1.5494E1)); +#3200=LINE('',#3199,#3198); +#3201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3202=VECTOR('',#3201,3.175E0); +#3203=CARTESIAN_POINT('',(1.68148E1,-2.22631E0,-1.5494E1)); +#3204=LINE('',#3203,#3202); +#3205=DIRECTION('',(0.E0,1.E0,0.E0)); +#3206=VECTOR('',#3205,3.9878E-1); +#3207=CARTESIAN_POINT('',(1.62052E1,2.22631E0,-1.5494E1)); +#3208=LINE('',#3207,#3206); +#3209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3210=VECTOR('',#3209,3.175E0); +#3211=CARTESIAN_POINT('',(1.62052E1,2.22631E0,-1.5494E1)); +#3212=LINE('',#3211,#3210); +#3213=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3214=VECTOR('',#3213,1.576847855741E0); +#3215=CARTESIAN_POINT('',(1.97596125E1,2.62509E0,-1.397E1)); +#3216=LINE('',#3215,#3214); +#3217=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3218=VECTOR('',#3217,1.419225E0); +#3219=CARTESIAN_POINT('',(1.97596125E1,2.62509E0,-1.397E1)); +#3220=LINE('',#3219,#3218); +#3221=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3222=VECTOR('',#3221,1.576847855741E0); +#3223=CARTESIAN_POINT('',(1.87452E1,2.62509E0,-1.5494E1)); +#3224=LINE('',#3223,#3222); +#3225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3226=VECTOR('',#3225,3.175E0); +#3227=CARTESIAN_POINT('',(1.87452E1,2.62509E0,-1.5494E1)); +#3228=LINE('',#3227,#3226); +#3229=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3230=VECTOR('',#3229,3.9878E-1); +#3231=CARTESIAN_POINT('',(1.93548E1,2.62509E0,-1.5494E1)); +#3232=LINE('',#3231,#3230); +#3233=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3234=VECTOR('',#3233,3.175E0); +#3235=CARTESIAN_POINT('',(1.93548E1,2.62509E0,-1.5494E1)); +#3236=LINE('',#3235,#3234); +#3237=DIRECTION('',(1.E0,0.E0,0.E0)); +#3238=VECTOR('',#3237,1.419225E0); +#3239=CARTESIAN_POINT('',(1.83403875E1,2.22631E0,-1.397E1)); +#3240=LINE('',#3239,#3238); +#3241=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3242=VECTOR('',#3241,1.576847855741E0); +#3243=CARTESIAN_POINT('',(1.97596125E1,2.22631E0,-1.397E1)); +#3244=LINE('',#3243,#3242); +#3245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3246=VECTOR('',#3245,3.175E0); +#3247=CARTESIAN_POINT('',(1.93548E1,2.22631E0,-1.5494E1)); +#3248=LINE('',#3247,#3246); +#3249=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3250=VECTOR('',#3249,1.576847855741E0); +#3251=CARTESIAN_POINT('',(1.87452E1,2.22631E0,-1.5494E1)); +#3252=LINE('',#3251,#3250); +#3253=DIRECTION('',(0.E0,1.E0,0.E0)); +#3254=VECTOR('',#3253,3.9878E-1); +#3255=CARTESIAN_POINT('',(1.87452E1,-2.62509E0,-1.8669E1)); +#3256=LINE('',#3255,#3254); +#3257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3258=VECTOR('',#3257,6.096E-1); +#3259=CARTESIAN_POINT('',(1.93548E1,-2.62509E0,-1.8669E1)); +#3260=LINE('',#3259,#3258); +#3261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3262=VECTOR('',#3261,3.9878E-1); +#3263=CARTESIAN_POINT('',(1.93548E1,-2.22631E0,-1.8669E1)); +#3264=LINE('',#3263,#3262); +#3265=DIRECTION('',(1.E0,0.E0,0.E0)); +#3266=VECTOR('',#3265,6.096E-1); +#3267=CARTESIAN_POINT('',(1.87452E1,-2.22631E0,-1.8669E1)); +#3268=LINE('',#3267,#3266); +#3269=DIRECTION('',(1.E0,0.E0,0.E0)); +#3270=VECTOR('',#3269,6.096E-1); +#3271=CARTESIAN_POINT('',(1.87452E1,2.62509E0,-1.8669E1)); +#3272=LINE('',#3271,#3270); +#3273=DIRECTION('',(0.E0,1.E0,0.E0)); +#3274=VECTOR('',#3273,3.9878E-1); +#3275=CARTESIAN_POINT('',(1.87452E1,2.22631E0,-1.8669E1)); +#3276=LINE('',#3275,#3274); +#3277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3278=VECTOR('',#3277,6.096E-1); +#3279=CARTESIAN_POINT('',(1.93548E1,2.22631E0,-1.8669E1)); +#3280=LINE('',#3279,#3278); +#3281=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3282=VECTOR('',#3281,3.9878E-1); +#3283=CARTESIAN_POINT('',(1.93548E1,2.62509E0,-1.8669E1)); +#3284=LINE('',#3283,#3282); +#3285=DIRECTION('',(0.E0,1.E0,0.E0)); +#3286=VECTOR('',#3285,3.9878E-1); +#3287=CARTESIAN_POINT('',(1.87452E1,-2.62509E0,-1.5494E1)); +#3288=LINE('',#3287,#3286); +#3289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3290=VECTOR('',#3289,3.175E0); +#3291=CARTESIAN_POINT('',(1.87452E1,-2.62509E0,-1.5494E1)); +#3292=LINE('',#3291,#3290); +#3293=DIRECTION('',(1.E0,0.E0,0.E0)); +#3294=VECTOR('',#3293,1.419225E0); +#3295=CARTESIAN_POINT('',(1.83403875E1,-2.62509E0,-1.397E1)); +#3296=LINE('',#3295,#3294); +#3297=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3298=VECTOR('',#3297,1.576847855741E0); +#3299=CARTESIAN_POINT('',(1.93548E1,-2.62509E0,-1.5494E1)); +#3300=LINE('',#3299,#3298); +#3301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3302=VECTOR('',#3301,3.175E0); +#3303=CARTESIAN_POINT('',(1.93548E1,-2.62509E0,-1.5494E1)); +#3304=LINE('',#3303,#3302); +#3305=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3306=VECTOR('',#3305,1.576847855741E0); +#3307=CARTESIAN_POINT('',(1.83403875E1,-2.62509E0,-1.397E1)); +#3308=LINE('',#3307,#3306); +#3309=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3310=VECTOR('',#3309,1.576847855741E0); +#3311=CARTESIAN_POINT('',(1.93548E1,-2.22631E0,-1.5494E1)); +#3312=LINE('',#3311,#3310); +#3313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3314=VECTOR('',#3313,1.419225E0); +#3315=CARTESIAN_POINT('',(1.97596125E1,-2.22631E0,-1.397E1)); +#3316=LINE('',#3315,#3314); +#3317=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3318=VECTOR('',#3317,1.576847855741E0); +#3319=CARTESIAN_POINT('',(1.83403875E1,-2.22631E0,-1.397E1)); +#3320=LINE('',#3319,#3318); +#3321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3322=VECTOR('',#3321,3.175E0); +#3323=CARTESIAN_POINT('',(1.87452E1,-2.22631E0,-1.5494E1)); +#3324=LINE('',#3323,#3322); +#3325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3326=VECTOR('',#3325,3.9878E-1); +#3327=CARTESIAN_POINT('',(1.93548E1,-2.22631E0,-1.5494E1)); +#3328=LINE('',#3327,#3326); +#3329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3330=VECTOR('',#3329,3.175E0); +#3331=CARTESIAN_POINT('',(1.93548E1,-2.22631E0,-1.5494E1)); +#3332=LINE('',#3331,#3330); +#3333=DIRECTION('',(0.E0,1.E0,0.E0)); +#3334=VECTOR('',#3333,3.9878E-1); +#3335=CARTESIAN_POINT('',(1.87452E1,2.22631E0,-1.5494E1)); +#3336=LINE('',#3335,#3334); +#3337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3338=VECTOR('',#3337,3.175E0); +#3339=CARTESIAN_POINT('',(1.87452E1,2.22631E0,-1.5494E1)); +#3340=LINE('',#3339,#3338); +#3341=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3342=VECTOR('',#3341,1.576847855741E0); +#3343=CARTESIAN_POINT('',(2.22996125E1,2.62509E0,-1.397E1)); +#3344=LINE('',#3343,#3342); +#3345=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3346=VECTOR('',#3345,1.419225E0); +#3347=CARTESIAN_POINT('',(2.22996125E1,2.62509E0,-1.397E1)); +#3348=LINE('',#3347,#3346); +#3349=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3350=VECTOR('',#3349,1.576847855741E0); +#3351=CARTESIAN_POINT('',(2.12852E1,2.62509E0,-1.5494E1)); +#3352=LINE('',#3351,#3350); +#3353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3354=VECTOR('',#3353,3.175E0); +#3355=CARTESIAN_POINT('',(2.12852E1,2.62509E0,-1.5494E1)); +#3356=LINE('',#3355,#3354); +#3357=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3358=VECTOR('',#3357,3.9878E-1); +#3359=CARTESIAN_POINT('',(2.18948E1,2.62509E0,-1.5494E1)); +#3360=LINE('',#3359,#3358); +#3361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3362=VECTOR('',#3361,3.175E0); +#3363=CARTESIAN_POINT('',(2.18948E1,2.62509E0,-1.5494E1)); +#3364=LINE('',#3363,#3362); +#3365=DIRECTION('',(1.E0,0.E0,0.E0)); +#3366=VECTOR('',#3365,1.419225E0); +#3367=CARTESIAN_POINT('',(2.08803875E1,2.22631E0,-1.397E1)); +#3368=LINE('',#3367,#3366); +#3369=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3370=VECTOR('',#3369,1.576847855741E0); +#3371=CARTESIAN_POINT('',(2.22996125E1,2.22631E0,-1.397E1)); +#3372=LINE('',#3371,#3370); +#3373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3374=VECTOR('',#3373,3.175E0); +#3375=CARTESIAN_POINT('',(2.18948E1,2.22631E0,-1.5494E1)); +#3376=LINE('',#3375,#3374); +#3377=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3378=VECTOR('',#3377,1.576847855741E0); +#3379=CARTESIAN_POINT('',(2.12852E1,2.22631E0,-1.5494E1)); +#3380=LINE('',#3379,#3378); +#3381=DIRECTION('',(0.E0,1.E0,0.E0)); +#3382=VECTOR('',#3381,3.9878E-1); +#3383=CARTESIAN_POINT('',(2.12852E1,-2.62509E0,-1.8669E1)); +#3384=LINE('',#3383,#3382); +#3385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3386=VECTOR('',#3385,6.096E-1); +#3387=CARTESIAN_POINT('',(2.18948E1,-2.62509E0,-1.8669E1)); +#3388=LINE('',#3387,#3386); +#3389=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3390=VECTOR('',#3389,3.9878E-1); +#3391=CARTESIAN_POINT('',(2.18948E1,-2.22631E0,-1.8669E1)); +#3392=LINE('',#3391,#3390); +#3393=DIRECTION('',(1.E0,0.E0,0.E0)); +#3394=VECTOR('',#3393,6.096E-1); +#3395=CARTESIAN_POINT('',(2.12852E1,-2.22631E0,-1.8669E1)); +#3396=LINE('',#3395,#3394); +#3397=DIRECTION('',(1.E0,0.E0,0.E0)); +#3398=VECTOR('',#3397,6.096E-1); +#3399=CARTESIAN_POINT('',(2.12852E1,2.62509E0,-1.8669E1)); +#3400=LINE('',#3399,#3398); +#3401=DIRECTION('',(0.E0,1.E0,0.E0)); +#3402=VECTOR('',#3401,3.9878E-1); +#3403=CARTESIAN_POINT('',(2.12852E1,2.22631E0,-1.8669E1)); +#3404=LINE('',#3403,#3402); +#3405=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3406=VECTOR('',#3405,6.096E-1); +#3407=CARTESIAN_POINT('',(2.18948E1,2.22631E0,-1.8669E1)); +#3408=LINE('',#3407,#3406); +#3409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3410=VECTOR('',#3409,3.9878E-1); +#3411=CARTESIAN_POINT('',(2.18948E1,2.62509E0,-1.8669E1)); +#3412=LINE('',#3411,#3410); +#3413=DIRECTION('',(0.E0,1.E0,0.E0)); +#3414=VECTOR('',#3413,3.9878E-1); +#3415=CARTESIAN_POINT('',(2.12852E1,-2.62509E0,-1.5494E1)); +#3416=LINE('',#3415,#3414); +#3417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3418=VECTOR('',#3417,3.175E0); +#3419=CARTESIAN_POINT('',(2.12852E1,-2.62509E0,-1.5494E1)); +#3420=LINE('',#3419,#3418); +#3421=DIRECTION('',(1.E0,0.E0,0.E0)); +#3422=VECTOR('',#3421,1.419225E0); +#3423=CARTESIAN_POINT('',(2.08803875E1,-2.62509E0,-1.397E1)); +#3424=LINE('',#3423,#3422); +#3425=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3426=VECTOR('',#3425,1.576847855741E0); +#3427=CARTESIAN_POINT('',(2.18948E1,-2.62509E0,-1.5494E1)); +#3428=LINE('',#3427,#3426); +#3429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3430=VECTOR('',#3429,3.175E0); +#3431=CARTESIAN_POINT('',(2.18948E1,-2.62509E0,-1.5494E1)); +#3432=LINE('',#3431,#3430); +#3433=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3434=VECTOR('',#3433,1.576847855741E0); +#3435=CARTESIAN_POINT('',(2.08803875E1,-2.62509E0,-1.397E1)); +#3436=LINE('',#3435,#3434); +#3437=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3438=VECTOR('',#3437,1.576847855741E0); +#3439=CARTESIAN_POINT('',(2.18948E1,-2.22631E0,-1.5494E1)); +#3440=LINE('',#3439,#3438); +#3441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3442=VECTOR('',#3441,1.419225E0); +#3443=CARTESIAN_POINT('',(2.22996125E1,-2.22631E0,-1.397E1)); +#3444=LINE('',#3443,#3442); +#3445=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3446=VECTOR('',#3445,1.576847855741E0); +#3447=CARTESIAN_POINT('',(2.08803875E1,-2.22631E0,-1.397E1)); +#3448=LINE('',#3447,#3446); +#3449=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3450=VECTOR('',#3449,3.175E0); +#3451=CARTESIAN_POINT('',(2.12852E1,-2.22631E0,-1.5494E1)); +#3452=LINE('',#3451,#3450); +#3453=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3454=VECTOR('',#3453,3.9878E-1); +#3455=CARTESIAN_POINT('',(2.18948E1,-2.22631E0,-1.5494E1)); +#3456=LINE('',#3455,#3454); +#3457=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3458=VECTOR('',#3457,3.175E0); +#3459=CARTESIAN_POINT('',(2.18948E1,-2.22631E0,-1.5494E1)); +#3460=LINE('',#3459,#3458); +#3461=DIRECTION('',(0.E0,1.E0,-1.336343477156E-14)); +#3462=VECTOR('',#3461,3.9878E-1); +#3463=CARTESIAN_POINT('',(2.12852E1,2.22631E0,-1.5494E1)); +#3464=LINE('',#3463,#3462); +#3465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3466=VECTOR('',#3465,3.175E0); +#3467=CARTESIAN_POINT('',(2.12852E1,2.22631E0,-1.5494E1)); +#3468=LINE('',#3467,#3466); +#3469=CARTESIAN_POINT('',(-2.54E1,-3.048E0,-8.89E0)); +#3470=DIRECTION('',(0.E0,0.E0,1.E0)); +#3471=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3472=AXIS2_PLACEMENT_3D('',#3469,#3470,#3471); +#3474=DIRECTION('',(0.E0,0.E0,1.E0)); +#3475=VECTOR('',#3474,8.89E0); +#3476=CARTESIAN_POINT('',(-2.54E1,-4.6736E0,-8.89E0)); +#3477=LINE('',#3476,#3475); +#3478=DIRECTION('',(1.E0,0.E0,0.E0)); +#3479=VECTOR('',#3478,5.08E1); +#3480=CARTESIAN_POINT('',(-2.54E1,-4.6736E0,0.E0)); +#3481=LINE('',#3480,#3479); +#3482=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3483=VECTOR('',#3482,8.89E0); +#3484=CARTESIAN_POINT('',(2.54E1,-4.6736E0,0.E0)); +#3485=LINE('',#3484,#3483); +#3486=DIRECTION('',(1.E0,0.E0,0.E0)); +#3487=VECTOR('',#3486,5.1308E1); +#3488=CARTESIAN_POINT('',(-2.5654E1,2.5146E0,0.E0)); +#3489=LINE('',#3488,#3487); +#3490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3491=VECTOR('',#3490,5.1308E1); +#3492=CARTESIAN_POINT('',(2.5654E1,-2.5146E0,0.E0)); +#3493=LINE('',#3492,#3491); +#3494=CARTESIAN_POINT('',(-2.54E1,-3.9116E0,0.E0)); +#3495=DIRECTION('',(0.E0,0.E0,1.E0)); +#3496=DIRECTION('',(-1.E0,-1.282147325026E-14,0.E0)); +#3497=AXIS2_PLACEMENT_3D('',#3494,#3495,#3496); +#3499=CARTESIAN_POINT('',(-2.54E1,3.9116E0,0.E0)); +#3500=DIRECTION('',(0.E0,0.E0,1.E0)); +#3501=DIRECTION('',(0.E0,1.E0,0.E0)); +#3502=AXIS2_PLACEMENT_3D('',#3499,#3500,#3501); +#3504=DIRECTION('',(1.E0,0.E0,0.E0)); +#3505=VECTOR('',#3504,5.08E1); +#3506=CARTESIAN_POINT('',(-2.54E1,4.6736E0,0.E0)); +#3507=LINE('',#3506,#3505); +#3508=CARTESIAN_POINT('',(2.54E1,3.9116E0,0.E0)); +#3509=DIRECTION('',(0.E0,0.E0,1.E0)); +#3510=DIRECTION('',(1.E0,0.E0,0.E0)); +#3511=AXIS2_PLACEMENT_3D('',#3508,#3509,#3510); +#3513=CARTESIAN_POINT('',(2.54E1,-3.9116E0,0.E0)); +#3514=DIRECTION('',(0.E0,0.E0,1.E0)); +#3515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3516=AXIS2_PLACEMENT_3D('',#3513,#3514,#3515); +#3518=CARTESIAN_POINT('',(-2.413E1,9.906E-1,-1.524E0)); +#3519=CARTESIAN_POINT('',(-2.423840128061E1,9.906E-1,-1.415598719395E0)); +#3520=CARTESIAN_POINT('',(-2.443929405977E1,1.013099829547E0, +-1.214705940232E0)); +#3521=CARTESIAN_POINT('',(-2.470649053323E1,1.095134636591E0, +-9.475094667701E-1)); +#3522=CARTESIAN_POINT('',(-2.494499119302E1,1.217751452508E0, +-7.090088069767E-1)); +#3523=CARTESIAN_POINT('',(-2.515686697508E1,1.378021531613E0, +-4.971330249168E-1)); +#3524=CARTESIAN_POINT('',(-2.534169346032E1,1.576545093139E0, +-3.123065396815E-1)); +#3525=CARTESIAN_POINT('',(-2.549620604281E1,1.817938987055E0, +-1.577939571903E-1)); +#3526=CARTESIAN_POINT('',(-2.559371246940E1,2.063300479175E0, +-6.028753059712E-2)); +#3527=CARTESIAN_POINT('',(-2.564290951415E1,2.300330473686E0, +-1.109048584946E-2)); +#3528=CARTESIAN_POINT('',(-2.5654E1,2.437948719395E0,0.E0)); +#3529=CARTESIAN_POINT('',(-2.5654E1,2.5146E0,0.E0)); +#3531=CARTESIAN_POINT('',(2.5654E1,2.5146E0,0.E0)); +#3532=CARTESIAN_POINT('',(2.5654E1,2.437948719395E0,0.E0)); +#3533=CARTESIAN_POINT('',(2.564290951415E1,2.300330473686E0, +-1.109048584946E-2)); +#3534=CARTESIAN_POINT('',(2.559371246940E1,2.063300479175E0, +-6.028753059712E-2)); +#3535=CARTESIAN_POINT('',(2.549620604281E1,1.817938987055E0, +-1.577939571903E-1)); +#3536=CARTESIAN_POINT('',(2.534169346032E1,1.576545093139E0, +-3.123065396815E-1)); +#3537=CARTESIAN_POINT('',(2.515686697508E1,1.378021531613E0, +-4.971330249168E-1)); +#3538=CARTESIAN_POINT('',(2.494499119302E1,1.217751452508E0, +-7.090088069767E-1)); +#3539=CARTESIAN_POINT('',(2.470649053323E1,1.095134636591E0, +-9.475094667701E-1)); +#3540=CARTESIAN_POINT('',(2.443929405977E1,1.013099829547E0,-1.214705940232E0)); +#3541=CARTESIAN_POINT('',(2.423840128061E1,9.906E-1,-1.415598719395E0)); +#3542=CARTESIAN_POINT('',(2.413E1,9.906E-1,-1.524E0)); +#3544=DIRECTION('',(1.E0,0.E0,0.E0)); +#3545=VECTOR('',#3544,4.826E1); +#3546=CARTESIAN_POINT('',(-2.413E1,9.906E-1,-1.524E0)); +#3547=LINE('',#3546,#3545); +#3548=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3549=VECTOR('',#3548,5.969E0); +#3550=CARTESIAN_POINT('',(2.413E1,9.906E-1,-1.524E0)); +#3551=LINE('',#3550,#3549); +#3552=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3553=VECTOR('',#3552,4.826E1); +#3554=CARTESIAN_POINT('',(2.413E1,9.906E-1,-7.493E0)); +#3555=LINE('',#3554,#3553); +#3556=DIRECTION('',(0.E0,0.E0,1.E0)); +#3557=VECTOR('',#3556,5.969E0); +#3558=CARTESIAN_POINT('',(-2.413E1,9.906E-1,-7.493E0)); +#3559=LINE('',#3558,#3557); +#3560=DIRECTION('',(0.E0,0.E0,1.E0)); +#3561=VECTOR('',#3560,3.203220978952E0); +#3562=CARTESIAN_POINT('',(-2.232025E1,9.906E-1,-5.157610489476E0)); +#3563=LINE('',#3562,#3561); +#3564=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3565=VECTOR('',#3564,1.4605E0); +#3566=CARTESIAN_POINT('',(-2.085975E1,9.906E-1,-5.157610489476E0)); +#3567=LINE('',#3566,#3565); +#3568=DIRECTION('',(0.E0,0.E0,1.E0)); +#3569=VECTOR('',#3568,3.203220978952E0); +#3570=CARTESIAN_POINT('',(-2.085975E1,9.906E-1,-5.157610489476E0)); +#3571=LINE('',#3570,#3569); +#3572=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3573=VECTOR('',#3572,1.4605E0); +#3574=CARTESIAN_POINT('',(-2.085975E1,9.906E-1,-1.954389510524E0)); +#3575=LINE('',#3574,#3573); +#3576=DIRECTION('',(0.E0,0.E0,1.E0)); +#3577=VECTOR('',#3576,3.203220978952E0); +#3578=CARTESIAN_POINT('',(-1.978025E1,9.906E-1,-5.157610489476E0)); +#3579=LINE('',#3578,#3577); +#3580=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3581=VECTOR('',#3580,1.4605E0); +#3582=CARTESIAN_POINT('',(-1.831975E1,9.906E-1,-5.157610489476E0)); +#3583=LINE('',#3582,#3581); +#3584=DIRECTION('',(0.E0,0.E0,1.E0)); +#3585=VECTOR('',#3584,3.203220978952E0); +#3586=CARTESIAN_POINT('',(-1.831975E1,9.906E-1,-5.157610489476E0)); +#3587=LINE('',#3586,#3585); +#3588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3589=VECTOR('',#3588,1.4605E0); +#3590=CARTESIAN_POINT('',(-1.831975E1,9.906E-1,-1.954389510524E0)); +#3591=LINE('',#3590,#3589); +#3592=DIRECTION('',(0.E0,0.E0,1.E0)); +#3593=VECTOR('',#3592,3.203220978952E0); +#3594=CARTESIAN_POINT('',(-1.724025E1,9.906E-1,-5.157610489476E0)); +#3595=LINE('',#3594,#3593); +#3596=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3597=VECTOR('',#3596,1.4605E0); +#3598=CARTESIAN_POINT('',(-1.577975E1,9.906E-1,-5.157610489476E0)); +#3599=LINE('',#3598,#3597); +#3600=DIRECTION('',(0.E0,0.E0,1.E0)); +#3601=VECTOR('',#3600,3.203220978952E0); +#3602=CARTESIAN_POINT('',(-1.577975E1,9.906E-1,-5.157610489476E0)); +#3603=LINE('',#3602,#3601); +#3604=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3605=VECTOR('',#3604,1.4605E0); +#3606=CARTESIAN_POINT('',(-1.577975E1,9.906E-1,-1.954389510524E0)); +#3607=LINE('',#3606,#3605); +#3608=DIRECTION('',(0.E0,0.E0,1.E0)); +#3609=VECTOR('',#3608,3.203220978952E0); +#3610=CARTESIAN_POINT('',(-1.470025E1,9.906E-1,-5.157610489476E0)); +#3611=LINE('',#3610,#3609); +#3612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3613=VECTOR('',#3612,1.4605E0); +#3614=CARTESIAN_POINT('',(-1.323975E1,9.906E-1,-5.157610489476E0)); +#3615=LINE('',#3614,#3613); +#3616=DIRECTION('',(0.E0,0.E0,1.E0)); +#3617=VECTOR('',#3616,3.203220978952E0); +#3618=CARTESIAN_POINT('',(-1.323975E1,9.906E-1,-5.157610489476E0)); +#3619=LINE('',#3618,#3617); +#3620=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3621=VECTOR('',#3620,1.4605E0); +#3622=CARTESIAN_POINT('',(-1.323975E1,9.906E-1,-1.954389510524E0)); +#3623=LINE('',#3622,#3621); +#3624=DIRECTION('',(0.E0,0.E0,1.E0)); +#3625=VECTOR('',#3624,3.203220978952E0); +#3626=CARTESIAN_POINT('',(-1.216025E1,9.906E-1,-5.157610489476E0)); +#3627=LINE('',#3626,#3625); +#3628=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3629=VECTOR('',#3628,1.4605E0); +#3630=CARTESIAN_POINT('',(-1.069975E1,9.906E-1,-5.157610489476E0)); +#3631=LINE('',#3630,#3629); +#3632=DIRECTION('',(0.E0,0.E0,1.E0)); +#3633=VECTOR('',#3632,3.203220978952E0); +#3634=CARTESIAN_POINT('',(-1.069975E1,9.906E-1,-5.157610489476E0)); +#3635=LINE('',#3634,#3633); +#3636=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3637=VECTOR('',#3636,1.4605E0); +#3638=CARTESIAN_POINT('',(-1.069975E1,9.906E-1,-1.954389510524E0)); +#3639=LINE('',#3638,#3637); +#3640=DIRECTION('',(0.E0,0.E0,1.E0)); +#3641=VECTOR('',#3640,3.203220978952E0); +#3642=CARTESIAN_POINT('',(-9.62025E0,9.906E-1,-5.157610489476E0)); +#3643=LINE('',#3642,#3641); +#3644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3645=VECTOR('',#3644,1.4605E0); +#3646=CARTESIAN_POINT('',(-8.15975E0,9.906E-1,-5.157610489476E0)); +#3647=LINE('',#3646,#3645); +#3648=DIRECTION('',(0.E0,0.E0,1.E0)); +#3649=VECTOR('',#3648,3.203220978952E0); +#3650=CARTESIAN_POINT('',(-8.15975E0,9.906E-1,-5.157610489476E0)); +#3651=LINE('',#3650,#3649); +#3652=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3653=VECTOR('',#3652,1.4605E0); +#3654=CARTESIAN_POINT('',(-8.15975E0,9.906E-1,-1.954389510524E0)); +#3655=LINE('',#3654,#3653); +#3656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3657=VECTOR('',#3656,3.203220978952E0); +#3658=CARTESIAN_POINT('',(-7.08025E0,9.906E-1,-1.954389510524E0)); +#3659=LINE('',#3658,#3657); +#3660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3661=VECTOR('',#3660,1.4605E0); +#3662=CARTESIAN_POINT('',(-5.61975E0,9.906E-1,-5.157610489476E0)); +#3663=LINE('',#3662,#3661); +#3664=DIRECTION('',(0.E0,0.E0,1.E0)); +#3665=VECTOR('',#3664,3.203220978952E0); +#3666=CARTESIAN_POINT('',(-5.61975E0,9.906E-1,-5.157610489476E0)); +#3667=LINE('',#3666,#3665); +#3668=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3669=VECTOR('',#3668,1.4605E0); +#3670=CARTESIAN_POINT('',(-5.61975E0,9.906E-1,-1.954389510524E0)); +#3671=LINE('',#3670,#3669); +#3672=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3673=VECTOR('',#3672,3.203220978952E0); +#3674=CARTESIAN_POINT('',(-4.54025E0,9.906E-1,-1.954389510524E0)); +#3675=LINE('',#3674,#3673); +#3676=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3677=VECTOR('',#3676,1.4605E0); +#3678=CARTESIAN_POINT('',(-3.07975E0,9.906E-1,-5.157610489476E0)); +#3679=LINE('',#3678,#3677); +#3680=DIRECTION('',(0.E0,0.E0,1.E0)); +#3681=VECTOR('',#3680,3.203220978952E0); +#3682=CARTESIAN_POINT('',(-3.07975E0,9.906E-1,-5.157610489476E0)); +#3683=LINE('',#3682,#3681); +#3684=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3685=VECTOR('',#3684,1.4605E0); +#3686=CARTESIAN_POINT('',(-3.07975E0,9.906E-1,-1.954389510524E0)); +#3687=LINE('',#3686,#3685); +#3688=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3689=VECTOR('',#3688,3.203220978952E0); +#3690=CARTESIAN_POINT('',(-2.00025E0,9.906E-1,-1.954389510524E0)); +#3691=LINE('',#3690,#3689); +#3692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3693=VECTOR('',#3692,1.4605E0); +#3694=CARTESIAN_POINT('',(-5.3975E-1,9.906E-1,-5.157610489476E0)); +#3695=LINE('',#3694,#3693); +#3696=DIRECTION('',(0.E0,0.E0,1.E0)); +#3697=VECTOR('',#3696,3.203220978952E0); +#3698=CARTESIAN_POINT('',(-5.3975E-1,9.906E-1,-5.157610489476E0)); +#3699=LINE('',#3698,#3697); +#3700=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3701=VECTOR('',#3700,1.4605E0); +#3702=CARTESIAN_POINT('',(-5.3975E-1,9.906E-1,-1.954389510524E0)); +#3703=LINE('',#3702,#3701); +#3704=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3705=VECTOR('',#3704,3.203220978952E0); +#3706=CARTESIAN_POINT('',(5.3975E-1,9.906E-1,-1.954389510524E0)); +#3707=LINE('',#3706,#3705); +#3708=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3709=VECTOR('',#3708,1.4605E0); +#3710=CARTESIAN_POINT('',(2.00025E0,9.906E-1,-5.157610489476E0)); +#3711=LINE('',#3710,#3709); +#3712=DIRECTION('',(0.E0,0.E0,1.E0)); +#3713=VECTOR('',#3712,3.203220978952E0); +#3714=CARTESIAN_POINT('',(2.00025E0,9.906E-1,-5.157610489476E0)); +#3715=LINE('',#3714,#3713); +#3716=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3717=VECTOR('',#3716,1.4605E0); +#3718=CARTESIAN_POINT('',(2.00025E0,9.906E-1,-1.954389510524E0)); +#3719=LINE('',#3718,#3717); +#3720=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3721=VECTOR('',#3720,3.203220978952E0); +#3722=CARTESIAN_POINT('',(3.07975E0,9.906E-1,-1.954389510524E0)); +#3723=LINE('',#3722,#3721); +#3724=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3725=VECTOR('',#3724,1.4605E0); +#3726=CARTESIAN_POINT('',(4.54025E0,9.906E-1,-5.157610489476E0)); +#3727=LINE('',#3726,#3725); +#3728=DIRECTION('',(0.E0,0.E0,1.E0)); +#3729=VECTOR('',#3728,3.203220978952E0); +#3730=CARTESIAN_POINT('',(4.54025E0,9.906E-1,-5.157610489476E0)); +#3731=LINE('',#3730,#3729); +#3732=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3733=VECTOR('',#3732,1.4605E0); +#3734=CARTESIAN_POINT('',(4.54025E0,9.906E-1,-1.954389510524E0)); +#3735=LINE('',#3734,#3733); +#3736=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3737=VECTOR('',#3736,3.203220978952E0); +#3738=CARTESIAN_POINT('',(5.61975E0,9.906E-1,-1.954389510524E0)); +#3739=LINE('',#3738,#3737); +#3740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3741=VECTOR('',#3740,1.4605E0); +#3742=CARTESIAN_POINT('',(7.08025E0,9.906E-1,-5.157610489476E0)); +#3743=LINE('',#3742,#3741); +#3744=DIRECTION('',(0.E0,0.E0,1.E0)); +#3745=VECTOR('',#3744,3.203220978952E0); +#3746=CARTESIAN_POINT('',(7.08025E0,9.906E-1,-5.157610489476E0)); +#3747=LINE('',#3746,#3745); +#3748=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3749=VECTOR('',#3748,1.4605E0); +#3750=CARTESIAN_POINT('',(7.08025E0,9.906E-1,-1.954389510524E0)); +#3751=LINE('',#3750,#3749); +#3752=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3753=VECTOR('',#3752,3.203220978952E0); +#3754=CARTESIAN_POINT('',(8.15975E0,9.906E-1,-1.954389510524E0)); +#3755=LINE('',#3754,#3753); +#3756=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3757=VECTOR('',#3756,1.4605E0); +#3758=CARTESIAN_POINT('',(9.62025E0,9.906E-1,-5.157610489476E0)); +#3759=LINE('',#3758,#3757); +#3760=DIRECTION('',(0.E0,0.E0,1.E0)); +#3761=VECTOR('',#3760,3.203220978952E0); +#3762=CARTESIAN_POINT('',(9.62025E0,9.906E-1,-5.157610489476E0)); +#3763=LINE('',#3762,#3761); +#3764=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3765=VECTOR('',#3764,1.4605E0); +#3766=CARTESIAN_POINT('',(9.62025E0,9.906E-1,-1.954389510524E0)); +#3767=LINE('',#3766,#3765); +#3768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3769=VECTOR('',#3768,3.203220978952E0); +#3770=CARTESIAN_POINT('',(1.069975E1,9.906E-1,-1.954389510524E0)); +#3771=LINE('',#3770,#3769); +#3772=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3773=VECTOR('',#3772,1.4605E0); +#3774=CARTESIAN_POINT('',(1.216025E1,9.906E-1,-5.157610489476E0)); +#3775=LINE('',#3774,#3773); +#3776=DIRECTION('',(0.E0,0.E0,1.E0)); +#3777=VECTOR('',#3776,3.203220978952E0); +#3778=CARTESIAN_POINT('',(1.216025E1,9.906E-1,-5.157610489476E0)); +#3779=LINE('',#3778,#3777); +#3780=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3781=VECTOR('',#3780,1.4605E0); +#3782=CARTESIAN_POINT('',(1.216025E1,9.906E-1,-1.954389510524E0)); +#3783=LINE('',#3782,#3781); +#3784=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3785=VECTOR('',#3784,3.203220978952E0); +#3786=CARTESIAN_POINT('',(1.323975E1,9.906E-1,-1.954389510524E0)); +#3787=LINE('',#3786,#3785); +#3788=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3789=VECTOR('',#3788,1.4605E0); +#3790=CARTESIAN_POINT('',(1.470025E1,9.906E-1,-5.157610489476E0)); +#3791=LINE('',#3790,#3789); +#3792=DIRECTION('',(0.E0,0.E0,1.E0)); +#3793=VECTOR('',#3792,3.203220978952E0); +#3794=CARTESIAN_POINT('',(1.470025E1,9.906E-1,-5.157610489476E0)); +#3795=LINE('',#3794,#3793); +#3796=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3797=VECTOR('',#3796,1.4605E0); +#3798=CARTESIAN_POINT('',(1.470025E1,9.906E-1,-1.954389510524E0)); +#3799=LINE('',#3798,#3797); +#3800=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3801=VECTOR('',#3800,3.203220978952E0); +#3802=CARTESIAN_POINT('',(1.577975E1,9.906E-1,-1.954389510524E0)); +#3803=LINE('',#3802,#3801); +#3804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3805=VECTOR('',#3804,1.4605E0); +#3806=CARTESIAN_POINT('',(1.724025E1,9.906E-1,-5.157610489476E0)); +#3807=LINE('',#3806,#3805); +#3808=DIRECTION('',(0.E0,0.E0,1.E0)); +#3809=VECTOR('',#3808,3.203220978952E0); +#3810=CARTESIAN_POINT('',(1.724025E1,9.906E-1,-5.157610489476E0)); +#3811=LINE('',#3810,#3809); +#3812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3813=VECTOR('',#3812,1.4605E0); +#3814=CARTESIAN_POINT('',(1.724025E1,9.906E-1,-1.954389510524E0)); +#3815=LINE('',#3814,#3813); +#3816=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3817=VECTOR('',#3816,3.203220978952E0); +#3818=CARTESIAN_POINT('',(1.831975E1,9.906E-1,-1.954389510524E0)); +#3819=LINE('',#3818,#3817); +#3820=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3821=VECTOR('',#3820,1.4605E0); +#3822=CARTESIAN_POINT('',(1.978025E1,9.906E-1,-5.157610489476E0)); +#3823=LINE('',#3822,#3821); +#3824=DIRECTION('',(0.E0,0.E0,1.E0)); +#3825=VECTOR('',#3824,3.203220978952E0); +#3826=CARTESIAN_POINT('',(1.978025E1,9.906E-1,-5.157610489476E0)); +#3827=LINE('',#3826,#3825); +#3828=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3829=VECTOR('',#3828,1.4605E0); +#3830=CARTESIAN_POINT('',(1.978025E1,9.906E-1,-1.954389510524E0)); +#3831=LINE('',#3830,#3829); +#3832=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3833=VECTOR('',#3832,3.203220978952E0); +#3834=CARTESIAN_POINT('',(2.085975E1,9.906E-1,-1.954389510524E0)); +#3835=LINE('',#3834,#3833); +#3836=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3837=VECTOR('',#3836,1.4605E0); +#3838=CARTESIAN_POINT('',(2.232025E1,9.906E-1,-5.157610489476E0)); +#3839=LINE('',#3838,#3837); +#3840=DIRECTION('',(0.E0,0.E0,1.E0)); +#3841=VECTOR('',#3840,3.203220978952E0); +#3842=CARTESIAN_POINT('',(2.232025E1,9.906E-1,-5.157610489476E0)); +#3843=LINE('',#3842,#3841); +#3844=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3845=VECTOR('',#3844,1.4605E0); +#3846=CARTESIAN_POINT('',(2.232025E1,9.906E-1,-1.954389510524E0)); +#3847=LINE('',#3846,#3845); +#3848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3849=VECTOR('',#3848,1.9812E0); +#3850=CARTESIAN_POINT('',(2.413E1,9.906E-1,-1.524E0)); +#3851=LINE('',#3850,#3849); +#3852=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3853=VECTOR('',#3852,5.0292E0); +#3854=CARTESIAN_POINT('',(2.5654E1,2.5146E0,0.E0)); +#3855=LINE('',#3854,#3853); +#3856=CARTESIAN_POINT('',(2.413E1,-9.906E-1,-1.524E0)); +#3857=CARTESIAN_POINT('',(2.423840128061E1,-9.906E-1,-1.415598719395E0)); +#3858=CARTESIAN_POINT('',(2.443929405977E1,-1.013099829547E0, +-1.214705940232E0)); +#3859=CARTESIAN_POINT('',(2.470649053323E1,-1.095134636591E0, +-9.475094667701E-1)); +#3860=CARTESIAN_POINT('',(2.494499119302E1,-1.217751452508E0, +-7.090088069767E-1)); +#3861=CARTESIAN_POINT('',(2.515686697508E1,-1.378021531613E0, +-4.971330249168E-1)); +#3862=CARTESIAN_POINT('',(2.534169346032E1,-1.576545093139E0, +-3.123065396815E-1)); +#3863=CARTESIAN_POINT('',(2.549620604281E1,-1.817938987055E0, +-1.577939571903E-1)); +#3864=CARTESIAN_POINT('',(2.559371246940E1,-2.063300479175E0, +-6.028753059712E-2)); +#3865=CARTESIAN_POINT('',(2.564290951415E1,-2.300330473686E0, +-1.109048584946E-2)); +#3866=CARTESIAN_POINT('',(2.5654E1,-2.437948719395E0,0.E0)); +#3867=CARTESIAN_POINT('',(2.5654E1,-2.5146E0,0.E0)); +#3869=CARTESIAN_POINT('',(-2.5654E1,-2.5146E0,0.E0)); +#3870=CARTESIAN_POINT('',(-2.5654E1,-2.437948719395E0,0.E0)); +#3871=CARTESIAN_POINT('',(-2.564290951415E1,-2.300330473686E0, +-1.109048584946E-2)); +#3872=CARTESIAN_POINT('',(-2.559371246940E1,-2.063300479175E0, +-6.028753059712E-2)); +#3873=CARTESIAN_POINT('',(-2.549620604281E1,-1.817938987055E0, +-1.577939571903E-1)); +#3874=CARTESIAN_POINT('',(-2.534169346032E1,-1.576545093139E0, +-3.123065396815E-1)); +#3875=CARTESIAN_POINT('',(-2.515686697508E1,-1.378021531613E0, +-4.971330249168E-1)); +#3876=CARTESIAN_POINT('',(-2.494499119302E1,-1.217751452508E0, +-7.090088069767E-1)); +#3877=CARTESIAN_POINT('',(-2.470649053323E1,-1.095134636591E0, +-9.475094667701E-1)); +#3878=CARTESIAN_POINT('',(-2.443929405977E1,-1.013099829547E0, +-1.214705940232E0)); +#3879=CARTESIAN_POINT('',(-2.423840128061E1,-9.906E-1,-1.415598719395E0)); +#3880=CARTESIAN_POINT('',(-2.413E1,-9.906E-1,-1.524E0)); +#3882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3883=VECTOR('',#3882,4.826E1); +#3884=CARTESIAN_POINT('',(2.413E1,-9.906E-1,-1.524E0)); +#3885=LINE('',#3884,#3883); +#3886=DIRECTION('',(0.E0,0.E0,1.E0)); +#3887=VECTOR('',#3886,5.969E0); +#3888=CARTESIAN_POINT('',(-2.413E1,-9.906E-1,-7.493E0)); +#3889=LINE('',#3888,#3887); +#3890=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3891=VECTOR('',#3890,4.826E1); +#3892=CARTESIAN_POINT('',(2.413E1,-9.906E-1,-7.493E0)); +#3893=LINE('',#3892,#3891); +#3894=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3895=VECTOR('',#3894,5.969E0); +#3896=CARTESIAN_POINT('',(2.413E1,-9.906E-1,-1.524E0)); +#3897=LINE('',#3896,#3895); +#3898=DIRECTION('',(0.E0,0.E0,1.E0)); +#3899=VECTOR('',#3898,3.203220978952E0); +#3900=CARTESIAN_POINT('',(-2.232025E1,-9.906E-1,-5.157610489476E0)); +#3901=LINE('',#3900,#3899); +#3902=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3903=VECTOR('',#3902,1.4605E0); +#3904=CARTESIAN_POINT('',(-2.085975E1,-9.906E-1,-1.954389510524E0)); +#3905=LINE('',#3904,#3903); +#3906=DIRECTION('',(0.E0,0.E0,1.E0)); +#3907=VECTOR('',#3906,3.203220978952E0); +#3908=CARTESIAN_POINT('',(-2.085975E1,-9.906E-1,-5.157610489476E0)); +#3909=LINE('',#3908,#3907); +#3910=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3911=VECTOR('',#3910,1.4605E0); +#3912=CARTESIAN_POINT('',(-2.085975E1,-9.906E-1,-5.157610489476E0)); +#3913=LINE('',#3912,#3911); +#3914=DIRECTION('',(0.E0,0.E0,1.E0)); +#3915=VECTOR('',#3914,3.203220978952E0); +#3916=CARTESIAN_POINT('',(-1.978025E1,-9.906E-1,-5.157610489476E0)); +#3917=LINE('',#3916,#3915); +#3918=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3919=VECTOR('',#3918,1.4605E0); +#3920=CARTESIAN_POINT('',(-1.831975E1,-9.906E-1,-1.954389510524E0)); +#3921=LINE('',#3920,#3919); +#3922=DIRECTION('',(0.E0,0.E0,1.E0)); +#3923=VECTOR('',#3922,3.203220978952E0); +#3924=CARTESIAN_POINT('',(-1.831975E1,-9.906E-1,-5.157610489476E0)); +#3925=LINE('',#3924,#3923); +#3926=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3927=VECTOR('',#3926,1.4605E0); +#3928=CARTESIAN_POINT('',(-1.831975E1,-9.906E-1,-5.157610489476E0)); +#3929=LINE('',#3928,#3927); +#3930=DIRECTION('',(0.E0,0.E0,1.E0)); +#3931=VECTOR('',#3930,3.203220978952E0); +#3932=CARTESIAN_POINT('',(-1.724025E1,-9.906E-1,-5.157610489476E0)); +#3933=LINE('',#3932,#3931); +#3934=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3935=VECTOR('',#3934,1.4605E0); +#3936=CARTESIAN_POINT('',(-1.577975E1,-9.906E-1,-1.954389510524E0)); +#3937=LINE('',#3936,#3935); +#3938=DIRECTION('',(0.E0,0.E0,1.E0)); +#3939=VECTOR('',#3938,3.203220978952E0); +#3940=CARTESIAN_POINT('',(-1.577975E1,-9.906E-1,-5.157610489476E0)); +#3941=LINE('',#3940,#3939); +#3942=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3943=VECTOR('',#3942,1.4605E0); +#3944=CARTESIAN_POINT('',(-1.577975E1,-9.906E-1,-5.157610489476E0)); +#3945=LINE('',#3944,#3943); +#3946=DIRECTION('',(0.E0,0.E0,1.E0)); +#3947=VECTOR('',#3946,3.203220978952E0); +#3948=CARTESIAN_POINT('',(-1.470025E1,-9.906E-1,-5.157610489476E0)); +#3949=LINE('',#3948,#3947); +#3950=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3951=VECTOR('',#3950,1.4605E0); +#3952=CARTESIAN_POINT('',(-1.323975E1,-9.906E-1,-1.954389510524E0)); +#3953=LINE('',#3952,#3951); +#3954=DIRECTION('',(0.E0,0.E0,1.E0)); +#3955=VECTOR('',#3954,3.203220978952E0); +#3956=CARTESIAN_POINT('',(-1.323975E1,-9.906E-1,-5.157610489476E0)); +#3957=LINE('',#3956,#3955); +#3958=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3959=VECTOR('',#3958,1.4605E0); +#3960=CARTESIAN_POINT('',(-1.323975E1,-9.906E-1,-5.157610489476E0)); +#3961=LINE('',#3960,#3959); +#3962=DIRECTION('',(0.E0,0.E0,1.E0)); +#3963=VECTOR('',#3962,3.203220978952E0); +#3964=CARTESIAN_POINT('',(-1.216025E1,-9.906E-1,-5.157610489476E0)); +#3965=LINE('',#3964,#3963); +#3966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3967=VECTOR('',#3966,1.4605E0); +#3968=CARTESIAN_POINT('',(-1.069975E1,-9.906E-1,-1.954389510524E0)); +#3969=LINE('',#3968,#3967); +#3970=DIRECTION('',(0.E0,0.E0,1.E0)); +#3971=VECTOR('',#3970,3.203220978952E0); +#3972=CARTESIAN_POINT('',(-1.069975E1,-9.906E-1,-5.157610489476E0)); +#3973=LINE('',#3972,#3971); +#3974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3975=VECTOR('',#3974,1.4605E0); +#3976=CARTESIAN_POINT('',(-1.069975E1,-9.906E-1,-5.157610489476E0)); +#3977=LINE('',#3976,#3975); +#3978=DIRECTION('',(0.E0,0.E0,1.E0)); +#3979=VECTOR('',#3978,3.203220978952E0); +#3980=CARTESIAN_POINT('',(-9.62025E0,-9.906E-1,-5.157610489476E0)); +#3981=LINE('',#3980,#3979); +#3982=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3983=VECTOR('',#3982,1.4605E0); +#3984=CARTESIAN_POINT('',(-8.15975E0,-9.906E-1,-1.954389510524E0)); +#3985=LINE('',#3984,#3983); +#3986=DIRECTION('',(0.E0,0.E0,1.E0)); +#3987=VECTOR('',#3986,3.203220978952E0); +#3988=CARTESIAN_POINT('',(-8.15975E0,-9.906E-1,-5.157610489476E0)); +#3989=LINE('',#3988,#3987); +#3990=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3991=VECTOR('',#3990,1.4605E0); +#3992=CARTESIAN_POINT('',(-8.15975E0,-9.906E-1,-5.157610489476E0)); +#3993=LINE('',#3992,#3991); +#3994=DIRECTION('',(0.E0,0.E0,1.E0)); +#3995=VECTOR('',#3994,3.203220978952E0); +#3996=CARTESIAN_POINT('',(-7.08025E0,-9.906E-1,-5.157610489476E0)); +#3997=LINE('',#3996,#3995); +#3998=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3999=VECTOR('',#3998,1.4605E0); +#4000=CARTESIAN_POINT('',(-5.61975E0,-9.906E-1,-1.954389510524E0)); +#4001=LINE('',#4000,#3999); +#4002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4003=VECTOR('',#4002,3.203220978952E0); +#4004=CARTESIAN_POINT('',(-5.61975E0,-9.906E-1,-1.954389510524E0)); +#4005=LINE('',#4004,#4003); +#4006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4007=VECTOR('',#4006,1.4605E0); +#4008=CARTESIAN_POINT('',(-5.61975E0,-9.906E-1,-5.157610489476E0)); +#4009=LINE('',#4008,#4007); +#4010=DIRECTION('',(0.E0,0.E0,1.E0)); +#4011=VECTOR('',#4010,3.203220978952E0); +#4012=CARTESIAN_POINT('',(-4.54025E0,-9.906E-1,-5.157610489476E0)); +#4013=LINE('',#4012,#4011); +#4014=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4015=VECTOR('',#4014,1.4605E0); +#4016=CARTESIAN_POINT('',(-3.07975E0,-9.906E-1,-1.954389510524E0)); +#4017=LINE('',#4016,#4015); +#4018=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4019=VECTOR('',#4018,3.203220978952E0); +#4020=CARTESIAN_POINT('',(-3.07975E0,-9.906E-1,-1.954389510524E0)); +#4021=LINE('',#4020,#4019); +#4022=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4023=VECTOR('',#4022,1.4605E0); +#4024=CARTESIAN_POINT('',(-3.07975E0,-9.906E-1,-5.157610489476E0)); +#4025=LINE('',#4024,#4023); +#4026=DIRECTION('',(0.E0,0.E0,1.E0)); +#4027=VECTOR('',#4026,3.203220978952E0); +#4028=CARTESIAN_POINT('',(-2.00025E0,-9.906E-1,-5.157610489476E0)); +#4029=LINE('',#4028,#4027); +#4030=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4031=VECTOR('',#4030,1.4605E0); +#4032=CARTESIAN_POINT('',(-5.3975E-1,-9.906E-1,-1.954389510524E0)); +#4033=LINE('',#4032,#4031); +#4034=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4035=VECTOR('',#4034,3.203220978952E0); +#4036=CARTESIAN_POINT('',(-5.3975E-1,-9.906E-1,-1.954389510524E0)); +#4037=LINE('',#4036,#4035); +#4038=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4039=VECTOR('',#4038,1.4605E0); +#4040=CARTESIAN_POINT('',(-5.3975E-1,-9.906E-1,-5.157610489476E0)); +#4041=LINE('',#4040,#4039); +#4042=DIRECTION('',(0.E0,0.E0,1.E0)); +#4043=VECTOR('',#4042,3.203220978952E0); +#4044=CARTESIAN_POINT('',(5.3975E-1,-9.906E-1,-5.157610489476E0)); +#4045=LINE('',#4044,#4043); +#4046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4047=VECTOR('',#4046,1.4605E0); +#4048=CARTESIAN_POINT('',(2.00025E0,-9.906E-1,-1.954389510524E0)); +#4049=LINE('',#4048,#4047); +#4050=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4051=VECTOR('',#4050,3.203220978952E0); +#4052=CARTESIAN_POINT('',(2.00025E0,-9.906E-1,-1.954389510524E0)); +#4053=LINE('',#4052,#4051); +#4054=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4055=VECTOR('',#4054,1.4605E0); +#4056=CARTESIAN_POINT('',(2.00025E0,-9.906E-1,-5.157610489476E0)); +#4057=LINE('',#4056,#4055); +#4058=DIRECTION('',(0.E0,0.E0,1.E0)); +#4059=VECTOR('',#4058,3.203220978952E0); +#4060=CARTESIAN_POINT('',(3.07975E0,-9.906E-1,-5.157610489476E0)); +#4061=LINE('',#4060,#4059); +#4062=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4063=VECTOR('',#4062,1.4605E0); +#4064=CARTESIAN_POINT('',(4.54025E0,-9.906E-1,-1.954389510524E0)); +#4065=LINE('',#4064,#4063); +#4066=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4067=VECTOR('',#4066,3.203220978952E0); +#4068=CARTESIAN_POINT('',(4.54025E0,-9.906E-1,-1.954389510524E0)); +#4069=LINE('',#4068,#4067); +#4070=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4071=VECTOR('',#4070,1.4605E0); +#4072=CARTESIAN_POINT('',(4.54025E0,-9.906E-1,-5.157610489476E0)); +#4073=LINE('',#4072,#4071); +#4074=DIRECTION('',(0.E0,0.E0,1.E0)); +#4075=VECTOR('',#4074,3.203220978952E0); +#4076=CARTESIAN_POINT('',(5.61975E0,-9.906E-1,-5.157610489476E0)); +#4077=LINE('',#4076,#4075); +#4078=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4079=VECTOR('',#4078,1.4605E0); +#4080=CARTESIAN_POINT('',(7.08025E0,-9.906E-1,-1.954389510524E0)); +#4081=LINE('',#4080,#4079); +#4082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4083=VECTOR('',#4082,3.203220978952E0); +#4084=CARTESIAN_POINT('',(7.08025E0,-9.906E-1,-1.954389510524E0)); +#4085=LINE('',#4084,#4083); +#4086=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4087=VECTOR('',#4086,1.4605E0); +#4088=CARTESIAN_POINT('',(7.08025E0,-9.906E-1,-5.157610489476E0)); +#4089=LINE('',#4088,#4087); +#4090=DIRECTION('',(0.E0,0.E0,1.E0)); +#4091=VECTOR('',#4090,3.203220978952E0); +#4092=CARTESIAN_POINT('',(8.15975E0,-9.906E-1,-5.157610489476E0)); +#4093=LINE('',#4092,#4091); +#4094=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4095=VECTOR('',#4094,1.4605E0); +#4096=CARTESIAN_POINT('',(9.62025E0,-9.906E-1,-1.954389510524E0)); +#4097=LINE('',#4096,#4095); +#4098=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4099=VECTOR('',#4098,3.203220978952E0); +#4100=CARTESIAN_POINT('',(9.62025E0,-9.906E-1,-1.954389510524E0)); +#4101=LINE('',#4100,#4099); +#4102=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4103=VECTOR('',#4102,1.4605E0); +#4104=CARTESIAN_POINT('',(9.62025E0,-9.906E-1,-5.157610489476E0)); +#4105=LINE('',#4104,#4103); +#4106=DIRECTION('',(0.E0,0.E0,1.E0)); +#4107=VECTOR('',#4106,3.203220978952E0); +#4108=CARTESIAN_POINT('',(1.069975E1,-9.906E-1,-5.157610489476E0)); +#4109=LINE('',#4108,#4107); +#4110=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4111=VECTOR('',#4110,1.4605E0); +#4112=CARTESIAN_POINT('',(1.216025E1,-9.906E-1,-1.954389510524E0)); +#4113=LINE('',#4112,#4111); +#4114=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4115=VECTOR('',#4114,3.203220978952E0); +#4116=CARTESIAN_POINT('',(1.216025E1,-9.906E-1,-1.954389510524E0)); +#4117=LINE('',#4116,#4115); +#4118=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4119=VECTOR('',#4118,1.4605E0); +#4120=CARTESIAN_POINT('',(1.216025E1,-9.906E-1,-5.157610489476E0)); +#4121=LINE('',#4120,#4119); +#4122=DIRECTION('',(0.E0,0.E0,1.E0)); +#4123=VECTOR('',#4122,3.203220978952E0); +#4124=CARTESIAN_POINT('',(1.323975E1,-9.906E-1,-5.157610489476E0)); +#4125=LINE('',#4124,#4123); +#4126=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4127=VECTOR('',#4126,1.4605E0); +#4128=CARTESIAN_POINT('',(1.470025E1,-9.906E-1,-1.954389510524E0)); +#4129=LINE('',#4128,#4127); +#4130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4131=VECTOR('',#4130,3.203220978952E0); +#4132=CARTESIAN_POINT('',(1.470025E1,-9.906E-1,-1.954389510524E0)); +#4133=LINE('',#4132,#4131); +#4134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4135=VECTOR('',#4134,1.4605E0); +#4136=CARTESIAN_POINT('',(1.470025E1,-9.906E-1,-5.157610489476E0)); +#4137=LINE('',#4136,#4135); +#4138=DIRECTION('',(0.E0,0.E0,1.E0)); +#4139=VECTOR('',#4138,3.203220978952E0); +#4140=CARTESIAN_POINT('',(1.577975E1,-9.906E-1,-5.157610489476E0)); +#4141=LINE('',#4140,#4139); +#4142=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4143=VECTOR('',#4142,1.4605E0); +#4144=CARTESIAN_POINT('',(1.724025E1,-9.906E-1,-1.954389510524E0)); +#4145=LINE('',#4144,#4143); +#4146=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4147=VECTOR('',#4146,3.203220978952E0); +#4148=CARTESIAN_POINT('',(1.724025E1,-9.906E-1,-1.954389510524E0)); +#4149=LINE('',#4148,#4147); +#4150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4151=VECTOR('',#4150,1.4605E0); +#4152=CARTESIAN_POINT('',(1.724025E1,-9.906E-1,-5.157610489476E0)); +#4153=LINE('',#4152,#4151); +#4154=DIRECTION('',(0.E0,0.E0,1.E0)); +#4155=VECTOR('',#4154,3.203220978952E0); +#4156=CARTESIAN_POINT('',(1.831975E1,-9.906E-1,-5.157610489476E0)); +#4157=LINE('',#4156,#4155); +#4158=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4159=VECTOR('',#4158,1.4605E0); +#4160=CARTESIAN_POINT('',(1.978025E1,-9.906E-1,-1.954389510524E0)); +#4161=LINE('',#4160,#4159); +#4162=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4163=VECTOR('',#4162,3.203220978952E0); +#4164=CARTESIAN_POINT('',(1.978025E1,-9.906E-1,-1.954389510524E0)); +#4165=LINE('',#4164,#4163); +#4166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4167=VECTOR('',#4166,1.4605E0); +#4168=CARTESIAN_POINT('',(1.978025E1,-9.906E-1,-5.157610489476E0)); +#4169=LINE('',#4168,#4167); +#4170=DIRECTION('',(0.E0,0.E0,1.E0)); +#4171=VECTOR('',#4170,3.203220978952E0); +#4172=CARTESIAN_POINT('',(2.085975E1,-9.906E-1,-5.157610489476E0)); +#4173=LINE('',#4172,#4171); +#4174=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4175=VECTOR('',#4174,1.4605E0); +#4176=CARTESIAN_POINT('',(2.232025E1,-9.906E-1,-1.954389510524E0)); +#4177=LINE('',#4176,#4175); +#4178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4179=VECTOR('',#4178,3.203220978952E0); +#4180=CARTESIAN_POINT('',(2.232025E1,-9.906E-1,-1.954389510524E0)); +#4181=LINE('',#4180,#4179); +#4182=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4183=VECTOR('',#4182,1.4605E0); +#4184=CARTESIAN_POINT('',(2.232025E1,-9.906E-1,-5.157610489476E0)); +#4185=LINE('',#4184,#4183); +#4186=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4187=VECTOR('',#4186,1.9812E0); +#4188=CARTESIAN_POINT('',(-2.413E1,9.906E-1,-7.493E0)); +#4189=LINE('',#4188,#4187); +#4190=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4191=VECTOR('',#4190,1.9812E0); +#4192=CARTESIAN_POINT('',(2.413E1,9.906E-1,-7.493E0)); +#4193=LINE('',#4192,#4191); +#4194=DIRECTION('',(0.E0,1.E0,0.E0)); +#4195=VECTOR('',#4194,5.0292E0); +#4196=CARTESIAN_POINT('',(-2.5654E1,-2.5146E0,0.E0)); +#4197=LINE('',#4196,#4195); +#4198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4199=VECTOR('',#4198,1.9812E0); +#4200=CARTESIAN_POINT('',(-2.413E1,9.906E-1,-1.524E0)); +#4201=LINE('',#4200,#4199); +#4202=CARTESIAN_POINT('',(-2.232025E1,-2.4384E0,-3.556E0)); +#4203=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4204=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4205=AXIS2_PLACEMENT_3D('',#4202,#4203,#4204); +#4207=CARTESIAN_POINT('',(-2.232025E1,2.4384E0,-3.556E0)); +#4208=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4209=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4210=AXIS2_PLACEMENT_3D('',#4207,#4208,#4209); +#4212=CARTESIAN_POINT('',(-2.085975E1,-2.4384E0,-3.556E0)); +#4213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4214=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4215=AXIS2_PLACEMENT_3D('',#4212,#4213,#4214); +#4217=CARTESIAN_POINT('',(-2.085975E1,2.4384E0,-3.556E0)); +#4218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4219=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4220=AXIS2_PLACEMENT_3D('',#4217,#4218,#4219); +#4222=CARTESIAN_POINT('',(-1.978025E1,-2.4384E0,-3.556E0)); +#4223=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4224=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4225=AXIS2_PLACEMENT_3D('',#4222,#4223,#4224); +#4227=CARTESIAN_POINT('',(-1.978025E1,2.4384E0,-3.556E0)); +#4228=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4229=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4230=AXIS2_PLACEMENT_3D('',#4227,#4228,#4229); +#4232=CARTESIAN_POINT('',(-1.831975E1,-2.4384E0,-3.556E0)); +#4233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4234=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4235=AXIS2_PLACEMENT_3D('',#4232,#4233,#4234); +#4237=CARTESIAN_POINT('',(-1.831975E1,2.4384E0,-3.556E0)); +#4238=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4239=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4240=AXIS2_PLACEMENT_3D('',#4237,#4238,#4239); +#4242=CARTESIAN_POINT('',(-1.724025E1,-2.4384E0,-3.556E0)); +#4243=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4244=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4245=AXIS2_PLACEMENT_3D('',#4242,#4243,#4244); +#4247=CARTESIAN_POINT('',(-1.724025E1,2.4384E0,-3.556E0)); +#4248=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4249=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4250=AXIS2_PLACEMENT_3D('',#4247,#4248,#4249); +#4252=CARTESIAN_POINT('',(-1.577975E1,-2.4384E0,-3.556E0)); +#4253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4254=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4255=AXIS2_PLACEMENT_3D('',#4252,#4253,#4254); +#4257=CARTESIAN_POINT('',(-1.577975E1,2.4384E0,-3.556E0)); +#4258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4259=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4260=AXIS2_PLACEMENT_3D('',#4257,#4258,#4259); +#4262=CARTESIAN_POINT('',(-1.470025E1,-2.4384E0,-3.556E0)); +#4263=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4264=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4265=AXIS2_PLACEMENT_3D('',#4262,#4263,#4264); +#4267=CARTESIAN_POINT('',(-1.470025E1,2.4384E0,-3.556E0)); +#4268=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4269=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4270=AXIS2_PLACEMENT_3D('',#4267,#4268,#4269); +#4272=CARTESIAN_POINT('',(-1.323975E1,-2.4384E0,-3.556E0)); +#4273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4274=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4275=AXIS2_PLACEMENT_3D('',#4272,#4273,#4274); +#4277=CARTESIAN_POINT('',(-1.323975E1,2.4384E0,-3.556E0)); +#4278=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4279=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4280=AXIS2_PLACEMENT_3D('',#4277,#4278,#4279); +#4282=CARTESIAN_POINT('',(-1.216025E1,-2.4384E0,-3.556E0)); +#4283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4284=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4285=AXIS2_PLACEMENT_3D('',#4282,#4283,#4284); +#4287=CARTESIAN_POINT('',(-1.216025E1,2.4384E0,-3.556E0)); +#4288=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4289=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4290=AXIS2_PLACEMENT_3D('',#4287,#4288,#4289); +#4292=CARTESIAN_POINT('',(-1.069975E1,-2.4384E0,-3.556E0)); +#4293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4294=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4295=AXIS2_PLACEMENT_3D('',#4292,#4293,#4294); +#4297=CARTESIAN_POINT('',(-1.069975E1,2.4384E0,-3.556E0)); +#4298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4299=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4300=AXIS2_PLACEMENT_3D('',#4297,#4298,#4299); +#4302=CARTESIAN_POINT('',(-9.62025E0,-2.4384E0,-3.556E0)); +#4303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4304=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4305=AXIS2_PLACEMENT_3D('',#4302,#4303,#4304); +#4307=CARTESIAN_POINT('',(-9.62025E0,2.4384E0,-3.556E0)); +#4308=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4309=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4310=AXIS2_PLACEMENT_3D('',#4307,#4308,#4309); +#4312=CARTESIAN_POINT('',(-8.15975E0,-2.4384E0,-3.556E0)); +#4313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4314=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4315=AXIS2_PLACEMENT_3D('',#4312,#4313,#4314); +#4317=CARTESIAN_POINT('',(-8.15975E0,2.4384E0,-3.556E0)); +#4318=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4319=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4320=AXIS2_PLACEMENT_3D('',#4317,#4318,#4319); +#4322=CARTESIAN_POINT('',(-7.08025E0,2.4384E0,-3.556E0)); +#4323=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4324=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4325=AXIS2_PLACEMENT_3D('',#4322,#4323,#4324); +#4327=CARTESIAN_POINT('',(-7.08025E0,-2.4384E0,-3.556E0)); +#4328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4329=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4330=AXIS2_PLACEMENT_3D('',#4327,#4328,#4329); +#4332=CARTESIAN_POINT('',(-5.61975E0,2.4384E0,-3.556E0)); +#4333=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4334=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4335=AXIS2_PLACEMENT_3D('',#4332,#4333,#4334); +#4337=CARTESIAN_POINT('',(-5.61975E0,-2.4384E0,-3.556E0)); +#4338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4339=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4340=AXIS2_PLACEMENT_3D('',#4337,#4338,#4339); +#4342=CARTESIAN_POINT('',(-4.54025E0,2.4384E0,-3.556E0)); +#4343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4344=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4345=AXIS2_PLACEMENT_3D('',#4342,#4343,#4344); +#4347=CARTESIAN_POINT('',(-4.54025E0,-2.4384E0,-3.556E0)); +#4348=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4349=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4350=AXIS2_PLACEMENT_3D('',#4347,#4348,#4349); +#4352=CARTESIAN_POINT('',(-3.07975E0,2.4384E0,-3.556E0)); +#4353=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4354=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4355=AXIS2_PLACEMENT_3D('',#4352,#4353,#4354); +#4357=CARTESIAN_POINT('',(-3.07975E0,-2.4384E0,-3.556E0)); +#4358=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4359=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4360=AXIS2_PLACEMENT_3D('',#4357,#4358,#4359); +#4362=CARTESIAN_POINT('',(-2.00025E0,2.4384E0,-3.556E0)); +#4363=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4364=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4365=AXIS2_PLACEMENT_3D('',#4362,#4363,#4364); +#4367=CARTESIAN_POINT('',(-2.00025E0,-2.4384E0,-3.556E0)); +#4368=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4369=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4370=AXIS2_PLACEMENT_3D('',#4367,#4368,#4369); +#4372=CARTESIAN_POINT('',(-5.3975E-1,2.4384E0,-3.556E0)); +#4373=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4374=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4375=AXIS2_PLACEMENT_3D('',#4372,#4373,#4374); +#4377=CARTESIAN_POINT('',(-5.3975E-1,-2.4384E0,-3.556E0)); +#4378=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4379=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4380=AXIS2_PLACEMENT_3D('',#4377,#4378,#4379); +#4382=CARTESIAN_POINT('',(5.3975E-1,2.4384E0,-3.556E0)); +#4383=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4384=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4385=AXIS2_PLACEMENT_3D('',#4382,#4383,#4384); +#4387=CARTESIAN_POINT('',(5.3975E-1,-2.4384E0,-3.556E0)); +#4388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4389=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4390=AXIS2_PLACEMENT_3D('',#4387,#4388,#4389); +#4392=CARTESIAN_POINT('',(2.00025E0,2.4384E0,-3.556E0)); +#4393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4394=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4395=AXIS2_PLACEMENT_3D('',#4392,#4393,#4394); +#4397=CARTESIAN_POINT('',(2.00025E0,-2.4384E0,-3.556E0)); +#4398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4399=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4400=AXIS2_PLACEMENT_3D('',#4397,#4398,#4399); +#4402=CARTESIAN_POINT('',(3.07975E0,2.4384E0,-3.556E0)); +#4403=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4404=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4405=AXIS2_PLACEMENT_3D('',#4402,#4403,#4404); +#4407=CARTESIAN_POINT('',(3.07975E0,-2.4384E0,-3.556E0)); +#4408=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4409=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4410=AXIS2_PLACEMENT_3D('',#4407,#4408,#4409); +#4412=CARTESIAN_POINT('',(4.54025E0,2.4384E0,-3.556E0)); +#4413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4414=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4415=AXIS2_PLACEMENT_3D('',#4412,#4413,#4414); +#4417=CARTESIAN_POINT('',(4.54025E0,-2.4384E0,-3.556E0)); +#4418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4419=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4420=AXIS2_PLACEMENT_3D('',#4417,#4418,#4419); +#4422=CARTESIAN_POINT('',(5.61975E0,2.4384E0,-3.556E0)); +#4423=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4424=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4425=AXIS2_PLACEMENT_3D('',#4422,#4423,#4424); +#4427=CARTESIAN_POINT('',(5.61975E0,-2.4384E0,-3.556E0)); +#4428=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4429=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4430=AXIS2_PLACEMENT_3D('',#4427,#4428,#4429); +#4432=CARTESIAN_POINT('',(7.08025E0,2.4384E0,-3.556E0)); +#4433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4434=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4435=AXIS2_PLACEMENT_3D('',#4432,#4433,#4434); +#4437=CARTESIAN_POINT('',(7.08025E0,-2.4384E0,-3.556E0)); +#4438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4439=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4440=AXIS2_PLACEMENT_3D('',#4437,#4438,#4439); +#4442=CARTESIAN_POINT('',(8.15975E0,2.4384E0,-3.556E0)); +#4443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4444=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4445=AXIS2_PLACEMENT_3D('',#4442,#4443,#4444); +#4447=CARTESIAN_POINT('',(8.15975E0,-2.4384E0,-3.556E0)); +#4448=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4449=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4450=AXIS2_PLACEMENT_3D('',#4447,#4448,#4449); +#4452=CARTESIAN_POINT('',(9.62025E0,2.4384E0,-3.556E0)); +#4453=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4454=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4455=AXIS2_PLACEMENT_3D('',#4452,#4453,#4454); +#4457=CARTESIAN_POINT('',(9.62025E0,-2.4384E0,-3.556E0)); +#4458=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4459=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4460=AXIS2_PLACEMENT_3D('',#4457,#4458,#4459); +#4462=CARTESIAN_POINT('',(1.069975E1,2.4384E0,-3.556E0)); +#4463=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4464=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4465=AXIS2_PLACEMENT_3D('',#4462,#4463,#4464); +#4467=CARTESIAN_POINT('',(1.069975E1,-2.4384E0,-3.556E0)); +#4468=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4469=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4470=AXIS2_PLACEMENT_3D('',#4467,#4468,#4469); +#4472=CARTESIAN_POINT('',(1.216025E1,2.4384E0,-3.556E0)); +#4473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4474=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4475=AXIS2_PLACEMENT_3D('',#4472,#4473,#4474); +#4477=CARTESIAN_POINT('',(1.216025E1,-2.4384E0,-3.556E0)); +#4478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4479=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4480=AXIS2_PLACEMENT_3D('',#4477,#4478,#4479); +#4482=CARTESIAN_POINT('',(1.323975E1,2.4384E0,-3.556E0)); +#4483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4484=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4485=AXIS2_PLACEMENT_3D('',#4482,#4483,#4484); +#4487=CARTESIAN_POINT('',(1.323975E1,-2.4384E0,-3.556E0)); +#4488=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4489=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4490=AXIS2_PLACEMENT_3D('',#4487,#4488,#4489); +#4492=CARTESIAN_POINT('',(1.470025E1,2.4384E0,-3.556E0)); +#4493=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4494=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4495=AXIS2_PLACEMENT_3D('',#4492,#4493,#4494); +#4497=CARTESIAN_POINT('',(1.470025E1,-2.4384E0,-3.556E0)); +#4498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4499=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4500=AXIS2_PLACEMENT_3D('',#4497,#4498,#4499); +#4502=CARTESIAN_POINT('',(1.577975E1,2.4384E0,-3.556E0)); +#4503=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4504=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4505=AXIS2_PLACEMENT_3D('',#4502,#4503,#4504); +#4507=CARTESIAN_POINT('',(1.577975E1,-2.4384E0,-3.556E0)); +#4508=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4509=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4510=AXIS2_PLACEMENT_3D('',#4507,#4508,#4509); +#4512=CARTESIAN_POINT('',(1.724025E1,2.4384E0,-3.556E0)); +#4513=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4514=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4515=AXIS2_PLACEMENT_3D('',#4512,#4513,#4514); +#4517=CARTESIAN_POINT('',(1.724025E1,-2.4384E0,-3.556E0)); +#4518=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4519=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4520=AXIS2_PLACEMENT_3D('',#4517,#4518,#4519); +#4522=CARTESIAN_POINT('',(1.831975E1,2.4384E0,-3.556E0)); +#4523=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4524=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4525=AXIS2_PLACEMENT_3D('',#4522,#4523,#4524); +#4527=CARTESIAN_POINT('',(1.831975E1,-2.4384E0,-3.556E0)); +#4528=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4529=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4530=AXIS2_PLACEMENT_3D('',#4527,#4528,#4529); +#4532=CARTESIAN_POINT('',(1.978025E1,2.4384E0,-3.556E0)); +#4533=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4534=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4535=AXIS2_PLACEMENT_3D('',#4532,#4533,#4534); +#4537=CARTESIAN_POINT('',(1.978025E1,-2.4384E0,-3.556E0)); +#4538=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4539=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4540=AXIS2_PLACEMENT_3D('',#4537,#4538,#4539); +#4542=CARTESIAN_POINT('',(2.085975E1,2.4384E0,-3.556E0)); +#4543=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4544=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4545=AXIS2_PLACEMENT_3D('',#4542,#4543,#4544); +#4547=CARTESIAN_POINT('',(2.085975E1,-2.4384E0,-3.556E0)); +#4548=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4549=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4550=AXIS2_PLACEMENT_3D('',#4547,#4548,#4549); +#4552=CARTESIAN_POINT('',(2.232025E1,2.4384E0,-3.556E0)); +#4553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4554=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#4555=AXIS2_PLACEMENT_3D('',#4552,#4553,#4554); +#4557=CARTESIAN_POINT('',(2.232025E1,-2.4384E0,-3.556E0)); +#4558=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4559=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#4560=AXIS2_PLACEMENT_3D('',#4557,#4558,#4559); +#4562=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4563=VECTOR('',#4562,8.89E0); +#4564=CARTESIAN_POINT('',(-2.54E1,4.6736E0,0.E0)); +#4565=LINE('',#4564,#4563); +#4566=DIRECTION('',(1.E0,0.E0,0.E0)); +#4567=VECTOR('',#4566,5.08E1); +#4568=CARTESIAN_POINT('',(-2.54E1,4.6736E0,-8.89E0)); +#4569=LINE('',#4568,#4567); +#4570=DIRECTION('',(0.E0,0.E0,1.E0)); +#4571=VECTOR('',#4570,8.89E0); +#4572=CARTESIAN_POINT('',(2.54E1,4.6736E0,-8.89E0)); +#4573=LINE('',#4572,#4571); +#4574=CARTESIAN_POINT('',(-2.54E1,3.048E0,-8.89E0)); +#4575=DIRECTION('',(0.E0,0.E0,1.E0)); +#4576=DIRECTION('',(0.E0,1.E0,0.E0)); +#4577=AXIS2_PLACEMENT_3D('',#4574,#4575,#4576); +#4579=CARTESIAN_POINT('',(-2.4765E1,3.048E0,-1.0922E1)); +#4580=CARTESIAN_POINT('',(-2.4765E1,-3.048E0,-1.0922E1)); +#4581=VERTEX_POINT('',#4579); +#4582=VERTEX_POINT('',#4580); +#4583=CARTESIAN_POINT('',(2.4765E1,3.048E0,-1.0922E1)); +#4584=CARTESIAN_POINT('',(2.4765E1,-3.048E0,-1.0922E1)); +#4585=VERTEX_POINT('',#4583); +#4586=VERTEX_POINT('',#4584); +#4587=CARTESIAN_POINT('',(2.413E1,9.906E-1,-7.493E0)); +#4588=CARTESIAN_POINT('',(-2.413E1,9.906E-1,-7.493E0)); +#4589=VERTEX_POINT('',#4587); +#4590=VERTEX_POINT('',#4588); +#4591=CARTESIAN_POINT('',(2.413E1,-9.906E-1,-7.493E0)); +#4592=CARTESIAN_POINT('',(-2.413E1,-9.906E-1,-7.493E0)); +#4593=VERTEX_POINT('',#4591); +#4594=VERTEX_POINT('',#4592); +#4595=CARTESIAN_POINT('',(-2.413E1,9.906E-1,-1.524E0)); +#4596=CARTESIAN_POINT('',(2.413E1,9.906E-1,-1.524E0)); +#4597=VERTEX_POINT('',#4595); +#4598=VERTEX_POINT('',#4596); +#4599=CARTESIAN_POINT('',(-2.5654E1,2.5146E0,0.E0)); +#4600=CARTESIAN_POINT('',(2.5654E1,2.5146E0,0.E0)); +#4601=VERTEX_POINT('',#4599); +#4602=VERTEX_POINT('',#4600); +#4603=CARTESIAN_POINT('',(2.413E1,-9.906E-1,-1.524E0)); +#4604=CARTESIAN_POINT('',(-2.413E1,-9.906E-1,-1.524E0)); +#4605=VERTEX_POINT('',#4603); +#4606=VERTEX_POINT('',#4604); +#4607=CARTESIAN_POINT('',(2.5654E1,-2.5146E0,0.E0)); +#4608=CARTESIAN_POINT('',(-2.5654E1,-2.5146E0,0.E0)); +#4609=VERTEX_POINT('',#4607); +#4610=VERTEX_POINT('',#4608); +#4611=CARTESIAN_POINT('',(-2.14884E1,-1.0922E0,-1.4097E1)); +#4612=CARTESIAN_POINT('',(-2.14884E1,-2.1082E0,-1.4097E1)); +#4613=VERTEX_POINT('',#4611); +#4614=VERTEX_POINT('',#4612); +#4615=CARTESIAN_POINT('',(-2.16154E1,-2.1082E0,-1.4097E1)); +#4616=VERTEX_POINT('',#4615); +#4617=CARTESIAN_POINT('',(-2.17424E1,-1.956880869102E0,-1.4097E1)); +#4618=VERTEX_POINT('',#4617); +#4619=CARTESIAN_POINT('',(-2.17424E1,-1.783944658947E0,-1.4097E1)); +#4620=VERTEX_POINT('',#4619); +#4621=CARTESIAN_POINT('',(-2.16154E1,-1.935263850403E0,-1.4097E1)); +#4622=VERTEX_POINT('',#4621); +#4623=CARTESIAN_POINT('',(-2.16154E1,-1.0922E0,-1.4097E1)); +#4624=VERTEX_POINT('',#4623); +#4625=CARTESIAN_POINT('',(-2.19456E1,2.000114891362E0,-1.4097E1)); +#4626=CARTESIAN_POINT('',(-2.19456E1,2.1082E0,-1.4097E1)); +#4627=VERTEX_POINT('',#4625); +#4628=VERTEX_POINT('',#4626); +#4629=CARTESIAN_POINT('',(-2.11836E1,2.1082E0,-1.4097E1)); +#4630=VERTEX_POINT('',#4629); +#4631=CARTESIAN_POINT('',(-2.11836E1,2.000114891362E0,-1.4097E1)); +#4632=VERTEX_POINT('',#4631); +#4633=CARTESIAN_POINT('',(-2.177626666162E1,2.000114891362E0,-1.4097E1)); +#4634=VERTEX_POINT('',#4633); +#4635=CARTESIAN_POINT('',(-2.12471E1,1.524540434551E0,-1.4097E1)); +#4636=VERTEX_POINT('',#4635); +#4637=CARTESIAN_POINT('',(-2.120476664648E1,1.459689378452E0,-1.4097E1)); +#4638=VERTEX_POINT('',#4637); +#4639=CARTESIAN_POINT('',(-2.11836E1,1.373221303654E0,-1.4097E1)); +#4640=VERTEX_POINT('',#4639); +#4641=CARTESIAN_POINT('',(-2.11836E1,1.329987205696E0,-1.4097E1)); +#4642=VERTEX_POINT('',#4641); +#4643=CARTESIAN_POINT('',(-2.120476664648E1,1.243519130898E0,-1.4097E1)); +#4644=VERTEX_POINT('',#4643); +#4645=CARTESIAN_POINT('',(-2.128943335352E1,1.157051056099E0,-1.4097E1)); +#4646=VERTEX_POINT('',#4645); +#4647=CARTESIAN_POINT('',(-2.13741E1,1.113817018700E0,-1.4097E1)); +#4648=VERTEX_POINT('',#4647); +#4649=CARTESIAN_POINT('',(-2.15011E1,1.0922E0,-1.4097E1)); +#4650=VERTEX_POINT('',#4649); +#4651=CARTESIAN_POINT('',(-2.158576667676E1,1.0922E0,-1.4097E1)); +#4652=VERTEX_POINT('',#4651); +#4653=CARTESIAN_POINT('',(-2.173393333838E1,1.113817018700E0,-1.4097E1)); +#4654=VERTEX_POINT('',#4653); +#4655=CARTESIAN_POINT('',(-2.18186E1,1.157051056099E0,-1.4097E1)); +#4656=VERTEX_POINT('',#4655); +#4657=CARTESIAN_POINT('',(-2.190326666541E1,1.243519130898E0,-1.4097E1)); +#4658=VERTEX_POINT('',#4657); +#4659=CARTESIAN_POINT('',(-2.192443333270E1,1.329987205696E0,-1.4097E1)); +#4660=VERTEX_POINT('',#4659); +#4661=CARTESIAN_POINT('',(-2.18186E1,1.329987205696E0,-1.4097E1)); +#4662=VERTEX_POINT('',#4661); +#4663=CARTESIAN_POINT('',(-2.177626666162E1,1.265136149597E0,-1.4097E1)); +#4664=VERTEX_POINT('',#4663); +#4665=CARTESIAN_POINT('',(-2.171276666162E1,1.221902112198E0,-1.4097E1)); +#4666=VERTEX_POINT('',#4665); +#4667=CARTESIAN_POINT('',(-2.160693332324E1,1.200285093498E0,-1.4097E1)); +#4668=VERTEX_POINT('',#4667); +#4669=CARTESIAN_POINT('',(-2.152226667676E1,1.200285093498E0,-1.4097E1)); +#4670=VERTEX_POINT('',#4669); +#4671=CARTESIAN_POINT('',(-2.141643335352E1,1.221902112198E0,-1.4097E1)); +#4672=VERTEX_POINT('',#4671); +#4673=CARTESIAN_POINT('',(-2.135293335352E1,1.265136149597E0,-1.4097E1)); +#4674=VERTEX_POINT('',#4673); +#4675=CARTESIAN_POINT('',(-2.13106E1,1.329987205696E0,-1.4097E1)); +#4676=VERTEX_POINT('',#4675); +#4677=CARTESIAN_POINT('',(-2.13106E1,1.373221303654E0,-1.4097E1)); +#4678=VERTEX_POINT('',#4677); +#4679=CARTESIAN_POINT('',(-2.135293335352E1,1.459689378452E0,-1.4097E1)); +#4680=VERTEX_POINT('',#4679); +#4681=CARTESIAN_POINT('',(-2.14884E1,-1.0922E0,-1.397E1)); +#4682=CARTESIAN_POINT('',(-2.14884E1,-2.1082E0,-1.397E1)); +#4683=VERTEX_POINT('',#4681); +#4684=VERTEX_POINT('',#4682); +#4685=CARTESIAN_POINT('',(-2.16154E1,-2.1082E0,-1.397E1)); +#4686=VERTEX_POINT('',#4685); +#4687=CARTESIAN_POINT('',(-2.17424E1,-1.956880869102E0,-1.397E1)); +#4688=VERTEX_POINT('',#4687); +#4689=CARTESIAN_POINT('',(-2.17424E1,-1.783944658947E0,-1.397E1)); +#4690=VERTEX_POINT('',#4689); +#4691=CARTESIAN_POINT('',(-2.16154E1,-1.935263850403E0,-1.397E1)); +#4692=VERTEX_POINT('',#4691); +#4693=CARTESIAN_POINT('',(-2.16154E1,-1.0922E0,-1.397E1)); +#4694=VERTEX_POINT('',#4693); +#4695=CARTESIAN_POINT('',(-2.19456E1,2.000114891362E0,-1.397E1)); +#4696=CARTESIAN_POINT('',(-2.19456E1,2.1082E0,-1.397E1)); +#4697=VERTEX_POINT('',#4695); +#4698=VERTEX_POINT('',#4696); +#4699=CARTESIAN_POINT('',(-2.11836E1,2.1082E0,-1.397E1)); +#4700=VERTEX_POINT('',#4699); +#4701=CARTESIAN_POINT('',(-2.11836E1,2.000114891362E0,-1.397E1)); +#4702=VERTEX_POINT('',#4701); +#4703=CARTESIAN_POINT('',(-2.177626666162E1,2.000114891362E0,-1.397E1)); +#4704=VERTEX_POINT('',#4703); +#4705=CARTESIAN_POINT('',(-2.12471E1,1.524540434551E0,-1.397E1)); +#4706=VERTEX_POINT('',#4705); +#4707=CARTESIAN_POINT('',(-2.120476664648E1,1.459689378452E0,-1.397E1)); +#4708=VERTEX_POINT('',#4707); +#4709=CARTESIAN_POINT('',(-2.11836E1,1.373221303654E0,-1.397E1)); +#4710=VERTEX_POINT('',#4709); +#4711=CARTESIAN_POINT('',(-2.11836E1,1.329987205696E0,-1.397E1)); +#4712=VERTEX_POINT('',#4711); +#4713=CARTESIAN_POINT('',(-2.120476664648E1,1.243519130898E0,-1.397E1)); +#4714=VERTEX_POINT('',#4713); +#4715=CARTESIAN_POINT('',(-2.128943335352E1,1.157051056099E0,-1.397E1)); +#4716=VERTEX_POINT('',#4715); +#4717=CARTESIAN_POINT('',(-2.13741E1,1.113817018700E0,-1.397E1)); +#4718=VERTEX_POINT('',#4717); +#4719=CARTESIAN_POINT('',(-2.15011E1,1.0922E0,-1.397E1)); +#4720=VERTEX_POINT('',#4719); +#4721=CARTESIAN_POINT('',(-2.158576667676E1,1.0922E0,-1.397E1)); +#4722=VERTEX_POINT('',#4721); +#4723=CARTESIAN_POINT('',(-2.173393333838E1,1.113817018700E0,-1.397E1)); +#4724=VERTEX_POINT('',#4723); +#4725=CARTESIAN_POINT('',(-2.18186E1,1.157051056099E0,-1.397E1)); +#4726=VERTEX_POINT('',#4725); +#4727=CARTESIAN_POINT('',(-2.190326666541E1,1.243519130898E0,-1.397E1)); +#4728=VERTEX_POINT('',#4727); +#4729=CARTESIAN_POINT('',(-2.192443333270E1,1.329987205696E0,-1.397E1)); +#4730=VERTEX_POINT('',#4729); +#4731=CARTESIAN_POINT('',(-2.18186E1,1.329987205696E0,-1.397E1)); +#4732=VERTEX_POINT('',#4731); +#4733=CARTESIAN_POINT('',(-2.177626666162E1,1.265136149597E0,-1.397E1)); +#4734=VERTEX_POINT('',#4733); +#4735=CARTESIAN_POINT('',(-2.171276666162E1,1.221902112198E0,-1.397E1)); +#4736=VERTEX_POINT('',#4735); +#4737=CARTESIAN_POINT('',(-2.160693332324E1,1.200285093498E0,-1.397E1)); +#4738=VERTEX_POINT('',#4737); +#4739=CARTESIAN_POINT('',(-2.152226667676E1,1.200285093498E0,-1.397E1)); +#4740=VERTEX_POINT('',#4739); +#4741=CARTESIAN_POINT('',(-2.141643335352E1,1.221902112198E0,-1.397E1)); +#4742=VERTEX_POINT('',#4741); +#4743=CARTESIAN_POINT('',(-2.135293335352E1,1.265136149597E0,-1.397E1)); +#4744=VERTEX_POINT('',#4743); +#4745=CARTESIAN_POINT('',(-2.13106E1,1.329987205696E0,-1.397E1)); +#4746=VERTEX_POINT('',#4745); +#4747=CARTESIAN_POINT('',(-2.13106E1,1.373221303654E0,-1.397E1)); +#4748=VERTEX_POINT('',#4747); +#4749=CARTESIAN_POINT('',(-2.135293335352E1,1.459689378452E0,-1.397E1)); +#4750=VERTEX_POINT('',#4749); +#4751=CARTESIAN_POINT('',(-2.232025E1,-9.906E-1,-5.157610489476E0)); +#4752=CARTESIAN_POINT('',(-2.232025E1,-9.906E-1,-1.954389510524E0)); +#4753=VERTEX_POINT('',#4751); +#4754=VERTEX_POINT('',#4752); +#4755=CARTESIAN_POINT('',(-2.085975E1,-9.906E-1,-5.157610489476E0)); +#4756=CARTESIAN_POINT('',(-2.085975E1,-9.906E-1,-1.954389510524E0)); +#4757=VERTEX_POINT('',#4755); +#4758=VERTEX_POINT('',#4756); +#4759=CARTESIAN_POINT('',(-1.978025E1,-9.906E-1,-5.157610489476E0)); +#4760=CARTESIAN_POINT('',(-1.978025E1,-9.906E-1,-1.954389510524E0)); +#4761=VERTEX_POINT('',#4759); +#4762=VERTEX_POINT('',#4760); +#4763=CARTESIAN_POINT('',(-1.831975E1,-9.906E-1,-5.157610489476E0)); +#4764=CARTESIAN_POINT('',(-1.831975E1,-9.906E-1,-1.954389510524E0)); +#4765=VERTEX_POINT('',#4763); +#4766=VERTEX_POINT('',#4764); +#4767=CARTESIAN_POINT('',(-1.724025E1,-9.906E-1,-5.157610489476E0)); +#4768=CARTESIAN_POINT('',(-1.724025E1,-9.906E-1,-1.954389510524E0)); +#4769=VERTEX_POINT('',#4767); +#4770=VERTEX_POINT('',#4768); +#4771=CARTESIAN_POINT('',(-1.577975E1,-9.906E-1,-5.157610489476E0)); +#4772=CARTESIAN_POINT('',(-1.577975E1,-9.906E-1,-1.954389510524E0)); +#4773=VERTEX_POINT('',#4771); +#4774=VERTEX_POINT('',#4772); +#4775=CARTESIAN_POINT('',(-1.470025E1,-9.906E-1,-5.157610489476E0)); +#4776=CARTESIAN_POINT('',(-1.470025E1,-9.906E-1,-1.954389510524E0)); +#4777=VERTEX_POINT('',#4775); +#4778=VERTEX_POINT('',#4776); +#4779=CARTESIAN_POINT('',(-1.323975E1,-9.906E-1,-5.157610489476E0)); +#4780=CARTESIAN_POINT('',(-1.323975E1,-9.906E-1,-1.954389510524E0)); +#4781=VERTEX_POINT('',#4779); +#4782=VERTEX_POINT('',#4780); +#4783=CARTESIAN_POINT('',(-1.216025E1,-9.906E-1,-5.157610489476E0)); +#4784=CARTESIAN_POINT('',(-1.216025E1,-9.906E-1,-1.954389510524E0)); +#4785=VERTEX_POINT('',#4783); +#4786=VERTEX_POINT('',#4784); +#4787=CARTESIAN_POINT('',(-1.069975E1,-9.906E-1,-5.157610489476E0)); +#4788=CARTESIAN_POINT('',(-1.069975E1,-9.906E-1,-1.954389510524E0)); +#4789=VERTEX_POINT('',#4787); +#4790=VERTEX_POINT('',#4788); +#4791=CARTESIAN_POINT('',(-9.62025E0,-9.906E-1,-5.157610489476E0)); +#4792=CARTESIAN_POINT('',(-9.62025E0,-9.906E-1,-1.954389510524E0)); +#4793=VERTEX_POINT('',#4791); +#4794=VERTEX_POINT('',#4792); +#4795=CARTESIAN_POINT('',(-8.15975E0,-9.906E-1,-5.157610489476E0)); +#4796=CARTESIAN_POINT('',(-8.15975E0,-9.906E-1,-1.954389510524E0)); +#4797=VERTEX_POINT('',#4795); +#4798=VERTEX_POINT('',#4796); +#4799=CARTESIAN_POINT('',(-2.232025E1,9.906E-1,-5.157610489476E0)); +#4800=CARTESIAN_POINT('',(-2.232025E1,9.906E-1,-1.954389510524E0)); +#4801=VERTEX_POINT('',#4799); +#4802=VERTEX_POINT('',#4800); +#4803=CARTESIAN_POINT('',(-2.085975E1,9.906E-1,-5.157610489476E0)); +#4804=CARTESIAN_POINT('',(-2.085975E1,9.906E-1,-1.954389510524E0)); +#4805=VERTEX_POINT('',#4803); +#4806=VERTEX_POINT('',#4804); +#4807=CARTESIAN_POINT('',(-1.978025E1,9.906E-1,-5.157610489476E0)); +#4808=CARTESIAN_POINT('',(-1.978025E1,9.906E-1,-1.954389510524E0)); +#4809=VERTEX_POINT('',#4807); +#4810=VERTEX_POINT('',#4808); +#4811=CARTESIAN_POINT('',(-1.831975E1,9.906E-1,-5.157610489476E0)); +#4812=CARTESIAN_POINT('',(-1.831975E1,9.906E-1,-1.954389510524E0)); +#4813=VERTEX_POINT('',#4811); +#4814=VERTEX_POINT('',#4812); +#4815=CARTESIAN_POINT('',(-1.724025E1,9.906E-1,-5.157610489476E0)); +#4816=CARTESIAN_POINT('',(-1.724025E1,9.906E-1,-1.954389510524E0)); +#4817=VERTEX_POINT('',#4815); +#4818=VERTEX_POINT('',#4816); +#4819=CARTESIAN_POINT('',(-1.577975E1,9.906E-1,-5.157610489476E0)); +#4820=CARTESIAN_POINT('',(-1.577975E1,9.906E-1,-1.954389510524E0)); +#4821=VERTEX_POINT('',#4819); +#4822=VERTEX_POINT('',#4820); +#4823=CARTESIAN_POINT('',(-1.470025E1,9.906E-1,-5.157610489476E0)); +#4824=CARTESIAN_POINT('',(-1.470025E1,9.906E-1,-1.954389510524E0)); +#4825=VERTEX_POINT('',#4823); +#4826=VERTEX_POINT('',#4824); +#4827=CARTESIAN_POINT('',(-1.323975E1,9.906E-1,-5.157610489476E0)); +#4828=CARTESIAN_POINT('',(-1.323975E1,9.906E-1,-1.954389510524E0)); +#4829=VERTEX_POINT('',#4827); +#4830=VERTEX_POINT('',#4828); +#4831=CARTESIAN_POINT('',(-1.216025E1,9.906E-1,-5.157610489476E0)); +#4832=CARTESIAN_POINT('',(-1.216025E1,9.906E-1,-1.954389510524E0)); +#4833=VERTEX_POINT('',#4831); +#4834=VERTEX_POINT('',#4832); +#4835=CARTESIAN_POINT('',(-1.069975E1,9.906E-1,-5.157610489476E0)); +#4836=CARTESIAN_POINT('',(-1.069975E1,9.906E-1,-1.954389510524E0)); +#4837=VERTEX_POINT('',#4835); +#4838=VERTEX_POINT('',#4836); +#4839=CARTESIAN_POINT('',(-9.62025E0,9.906E-1,-5.157610489476E0)); +#4840=CARTESIAN_POINT('',(-9.62025E0,9.906E-1,-1.954389510524E0)); +#4841=VERTEX_POINT('',#4839); +#4842=VERTEX_POINT('',#4840); +#4843=CARTESIAN_POINT('',(-8.15975E0,9.906E-1,-5.157610489476E0)); +#4844=CARTESIAN_POINT('',(-8.15975E0,9.906E-1,-1.954389510524E0)); +#4845=VERTEX_POINT('',#4843); +#4846=VERTEX_POINT('',#4844); +#4847=CARTESIAN_POINT('',(-2.18948E1,-2.62509E0,-1.8669E1)); +#4848=CARTESIAN_POINT('',(-2.18948E1,-2.22631E0,-1.8669E1)); +#4849=VERTEX_POINT('',#4847); +#4850=VERTEX_POINT('',#4848); +#4851=CARTESIAN_POINT('',(-2.12852E1,-2.22631E0,-1.8669E1)); +#4852=VERTEX_POINT('',#4851); +#4853=CARTESIAN_POINT('',(-2.12852E1,-2.62509E0,-1.8669E1)); +#4854=VERTEX_POINT('',#4853); +#4855=CARTESIAN_POINT('',(-2.18948E1,2.62509E0,-1.8669E1)); +#4856=CARTESIAN_POINT('',(-2.12852E1,2.62509E0,-1.8669E1)); +#4857=VERTEX_POINT('',#4855); +#4858=VERTEX_POINT('',#4856); +#4859=CARTESIAN_POINT('',(-2.12852E1,2.22631E0,-1.8669E1)); +#4860=VERTEX_POINT('',#4859); +#4861=CARTESIAN_POINT('',(-2.18948E1,2.22631E0,-1.8669E1)); +#4862=VERTEX_POINT('',#4861); +#4863=CARTESIAN_POINT('',(-1.93548E1,-2.62509E0,-1.8669E1)); +#4864=CARTESIAN_POINT('',(-1.93548E1,-2.22631E0,-1.8669E1)); +#4865=VERTEX_POINT('',#4863); +#4866=VERTEX_POINT('',#4864); +#4867=CARTESIAN_POINT('',(-1.87452E1,-2.22631E0,-1.8669E1)); +#4868=VERTEX_POINT('',#4867); +#4869=CARTESIAN_POINT('',(-1.87452E1,-2.62509E0,-1.8669E1)); +#4870=VERTEX_POINT('',#4869); +#4871=CARTESIAN_POINT('',(-1.93548E1,2.62509E0,-1.8669E1)); +#4872=CARTESIAN_POINT('',(-1.87452E1,2.62509E0,-1.8669E1)); +#4873=VERTEX_POINT('',#4871); +#4874=VERTEX_POINT('',#4872); +#4875=CARTESIAN_POINT('',(-1.87452E1,2.22631E0,-1.8669E1)); +#4876=VERTEX_POINT('',#4875); +#4877=CARTESIAN_POINT('',(-1.93548E1,2.22631E0,-1.8669E1)); +#4878=VERTEX_POINT('',#4877); +#4879=CARTESIAN_POINT('',(-1.68148E1,-2.62509E0,-1.8669E1)); +#4880=CARTESIAN_POINT('',(-1.68148E1,-2.22631E0,-1.8669E1)); +#4881=VERTEX_POINT('',#4879); +#4882=VERTEX_POINT('',#4880); +#4883=CARTESIAN_POINT('',(-1.62052E1,-2.22631E0,-1.8669E1)); +#4884=VERTEX_POINT('',#4883); +#4885=CARTESIAN_POINT('',(-1.62052E1,-2.62509E0,-1.8669E1)); +#4886=VERTEX_POINT('',#4885); +#4887=CARTESIAN_POINT('',(-1.68148E1,2.62509E0,-1.8669E1)); +#4888=CARTESIAN_POINT('',(-1.62052E1,2.62509E0,-1.8669E1)); +#4889=VERTEX_POINT('',#4887); +#4890=VERTEX_POINT('',#4888); +#4891=CARTESIAN_POINT('',(-1.62052E1,2.22631E0,-1.8669E1)); +#4892=VERTEX_POINT('',#4891); +#4893=CARTESIAN_POINT('',(-1.68148E1,2.22631E0,-1.8669E1)); +#4894=VERTEX_POINT('',#4893); +#4895=CARTESIAN_POINT('',(-1.42748E1,-2.62509E0,-1.8669E1)); +#4896=CARTESIAN_POINT('',(-1.42748E1,-2.22631E0,-1.8669E1)); +#4897=VERTEX_POINT('',#4895); +#4898=VERTEX_POINT('',#4896); +#4899=CARTESIAN_POINT('',(-1.36652E1,-2.22631E0,-1.8669E1)); +#4900=VERTEX_POINT('',#4899); +#4901=CARTESIAN_POINT('',(-1.36652E1,-2.62509E0,-1.8669E1)); +#4902=VERTEX_POINT('',#4901); +#4903=CARTESIAN_POINT('',(-1.42748E1,2.62509E0,-1.8669E1)); +#4904=CARTESIAN_POINT('',(-1.36652E1,2.62509E0,-1.8669E1)); +#4905=VERTEX_POINT('',#4903); +#4906=VERTEX_POINT('',#4904); +#4907=CARTESIAN_POINT('',(-1.36652E1,2.22631E0,-1.8669E1)); +#4908=VERTEX_POINT('',#4907); +#4909=CARTESIAN_POINT('',(-1.42748E1,2.22631E0,-1.8669E1)); +#4910=VERTEX_POINT('',#4909); +#4911=CARTESIAN_POINT('',(-1.17348E1,-2.62509E0,-1.8669E1)); +#4912=CARTESIAN_POINT('',(-1.17348E1,-2.22631E0,-1.8669E1)); +#4913=VERTEX_POINT('',#4911); +#4914=VERTEX_POINT('',#4912); +#4915=CARTESIAN_POINT('',(-1.11252E1,-2.22631E0,-1.8669E1)); +#4916=VERTEX_POINT('',#4915); +#4917=CARTESIAN_POINT('',(-1.11252E1,-2.62509E0,-1.8669E1)); +#4918=VERTEX_POINT('',#4917); +#4919=CARTESIAN_POINT('',(-1.17348E1,2.62509E0,-1.8669E1)); +#4920=CARTESIAN_POINT('',(-1.11252E1,2.62509E0,-1.8669E1)); +#4921=VERTEX_POINT('',#4919); +#4922=VERTEX_POINT('',#4920); +#4923=CARTESIAN_POINT('',(-1.11252E1,2.22631E0,-1.8669E1)); +#4924=VERTEX_POINT('',#4923); +#4925=CARTESIAN_POINT('',(-1.17348E1,2.22631E0,-1.8669E1)); +#4926=VERTEX_POINT('',#4925); +#4927=CARTESIAN_POINT('',(-9.1948E0,-2.62509E0,-1.8669E1)); +#4928=CARTESIAN_POINT('',(-9.1948E0,-2.22631E0,-1.8669E1)); +#4929=VERTEX_POINT('',#4927); +#4930=VERTEX_POINT('',#4928); +#4931=CARTESIAN_POINT('',(-8.5852E0,-2.22631E0,-1.8669E1)); +#4932=VERTEX_POINT('',#4931); +#4933=CARTESIAN_POINT('',(-8.5852E0,-2.62509E0,-1.8669E1)); +#4934=VERTEX_POINT('',#4933); +#4935=CARTESIAN_POINT('',(-9.1948E0,2.62509E0,-1.8669E1)); +#4936=CARTESIAN_POINT('',(-8.5852E0,2.62509E0,-1.8669E1)); +#4937=VERTEX_POINT('',#4935); +#4938=VERTEX_POINT('',#4936); +#4939=CARTESIAN_POINT('',(-8.5852E0,2.22631E0,-1.8669E1)); +#4940=VERTEX_POINT('',#4939); +#4941=CARTESIAN_POINT('',(-9.1948E0,2.22631E0,-1.8669E1)); +#4942=VERTEX_POINT('',#4941); +#4943=CARTESIAN_POINT('',(-6.6548E0,-2.62509E0,-1.8669E1)); +#4944=CARTESIAN_POINT('',(-6.6548E0,-2.22631E0,-1.8669E1)); +#4945=VERTEX_POINT('',#4943); +#4946=VERTEX_POINT('',#4944); +#4947=CARTESIAN_POINT('',(-6.0452E0,-2.22631E0,-1.8669E1)); +#4948=VERTEX_POINT('',#4947); +#4949=CARTESIAN_POINT('',(-6.0452E0,-2.62509E0,-1.8669E1)); +#4950=VERTEX_POINT('',#4949); +#4951=CARTESIAN_POINT('',(-6.6548E0,2.62509E0,-1.8669E1)); +#4952=CARTESIAN_POINT('',(-6.0452E0,2.62509E0,-1.8669E1)); +#4953=VERTEX_POINT('',#4951); +#4954=VERTEX_POINT('',#4952); +#4955=CARTESIAN_POINT('',(-6.0452E0,2.22631E0,-1.8669E1)); +#4956=VERTEX_POINT('',#4955); +#4957=CARTESIAN_POINT('',(-6.6548E0,2.22631E0,-1.8669E1)); +#4958=VERTEX_POINT('',#4957); +#4959=CARTESIAN_POINT('',(-4.1148E0,-2.62509E0,-1.8669E1)); +#4960=CARTESIAN_POINT('',(-4.1148E0,-2.22631E0,-1.8669E1)); +#4961=VERTEX_POINT('',#4959); +#4962=VERTEX_POINT('',#4960); +#4963=CARTESIAN_POINT('',(-3.5052E0,-2.22631E0,-1.8669E1)); +#4964=VERTEX_POINT('',#4963); +#4965=CARTESIAN_POINT('',(-3.5052E0,-2.62509E0,-1.8669E1)); +#4966=VERTEX_POINT('',#4965); +#4967=CARTESIAN_POINT('',(-4.1148E0,2.62509E0,-1.8669E1)); +#4968=CARTESIAN_POINT('',(-3.5052E0,2.62509E0,-1.8669E1)); +#4969=VERTEX_POINT('',#4967); +#4970=VERTEX_POINT('',#4968); +#4971=CARTESIAN_POINT('',(-3.5052E0,2.22631E0,-1.8669E1)); +#4972=VERTEX_POINT('',#4971); +#4973=CARTESIAN_POINT('',(-4.1148E0,2.22631E0,-1.8669E1)); +#4974=VERTEX_POINT('',#4973); +#4975=CARTESIAN_POINT('',(-1.5748E0,-2.62509E0,-1.8669E1)); +#4976=CARTESIAN_POINT('',(-1.5748E0,-2.22631E0,-1.8669E1)); +#4977=VERTEX_POINT('',#4975); +#4978=VERTEX_POINT('',#4976); +#4979=CARTESIAN_POINT('',(-9.652E-1,-2.22631E0,-1.8669E1)); +#4980=VERTEX_POINT('',#4979); +#4981=CARTESIAN_POINT('',(-9.652E-1,-2.62509E0,-1.8669E1)); +#4982=VERTEX_POINT('',#4981); +#4983=CARTESIAN_POINT('',(-1.5748E0,2.62509E0,-1.8669E1)); +#4984=CARTESIAN_POINT('',(-9.652E-1,2.62509E0,-1.8669E1)); +#4985=VERTEX_POINT('',#4983); +#4986=VERTEX_POINT('',#4984); +#4987=CARTESIAN_POINT('',(-9.652E-1,2.22631E0,-1.8669E1)); +#4988=VERTEX_POINT('',#4987); +#4989=CARTESIAN_POINT('',(-1.5748E0,2.22631E0,-1.8669E1)); +#4990=VERTEX_POINT('',#4989); +#4991=CARTESIAN_POINT('',(9.652E-1,-2.62509E0,-1.8669E1)); +#4992=CARTESIAN_POINT('',(9.652E-1,-2.22631E0,-1.8669E1)); +#4993=VERTEX_POINT('',#4991); +#4994=VERTEX_POINT('',#4992); +#4995=CARTESIAN_POINT('',(1.5748E0,-2.22631E0,-1.8669E1)); +#4996=VERTEX_POINT('',#4995); +#4997=CARTESIAN_POINT('',(1.5748E0,-2.62509E0,-1.8669E1)); +#4998=VERTEX_POINT('',#4997); +#4999=CARTESIAN_POINT('',(9.652E-1,2.62509E0,-1.8669E1)); +#5000=CARTESIAN_POINT('',(1.5748E0,2.62509E0,-1.8669E1)); +#5001=VERTEX_POINT('',#4999); +#5002=VERTEX_POINT('',#5000); +#5003=CARTESIAN_POINT('',(1.5748E0,2.22631E0,-1.8669E1)); +#5004=VERTEX_POINT('',#5003); +#5005=CARTESIAN_POINT('',(9.652E-1,2.22631E0,-1.8669E1)); +#5006=VERTEX_POINT('',#5005); +#5007=CARTESIAN_POINT('',(3.5052E0,-2.62509E0,-1.8669E1)); +#5008=CARTESIAN_POINT('',(3.5052E0,-2.22631E0,-1.8669E1)); +#5009=VERTEX_POINT('',#5007); +#5010=VERTEX_POINT('',#5008); +#5011=CARTESIAN_POINT('',(4.1148E0,-2.22631E0,-1.8669E1)); +#5012=VERTEX_POINT('',#5011); +#5013=CARTESIAN_POINT('',(4.1148E0,-2.62509E0,-1.8669E1)); +#5014=VERTEX_POINT('',#5013); +#5015=CARTESIAN_POINT('',(3.5052E0,2.62509E0,-1.8669E1)); +#5016=CARTESIAN_POINT('',(4.1148E0,2.62509E0,-1.8669E1)); +#5017=VERTEX_POINT('',#5015); +#5018=VERTEX_POINT('',#5016); +#5019=CARTESIAN_POINT('',(4.1148E0,2.22631E0,-1.8669E1)); +#5020=VERTEX_POINT('',#5019); +#5021=CARTESIAN_POINT('',(3.5052E0,2.22631E0,-1.8669E1)); +#5022=VERTEX_POINT('',#5021); +#5023=CARTESIAN_POINT('',(6.0452E0,-2.62509E0,-1.8669E1)); +#5024=CARTESIAN_POINT('',(6.0452E0,-2.22631E0,-1.8669E1)); +#5025=VERTEX_POINT('',#5023); +#5026=VERTEX_POINT('',#5024); +#5027=CARTESIAN_POINT('',(6.6548E0,-2.22631E0,-1.8669E1)); +#5028=VERTEX_POINT('',#5027); +#5029=CARTESIAN_POINT('',(6.6548E0,-2.62509E0,-1.8669E1)); +#5030=VERTEX_POINT('',#5029); +#5031=CARTESIAN_POINT('',(6.0452E0,2.62509E0,-1.8669E1)); +#5032=CARTESIAN_POINT('',(6.6548E0,2.62509E0,-1.8669E1)); +#5033=VERTEX_POINT('',#5031); +#5034=VERTEX_POINT('',#5032); +#5035=CARTESIAN_POINT('',(6.6548E0,2.22631E0,-1.8669E1)); +#5036=VERTEX_POINT('',#5035); +#5037=CARTESIAN_POINT('',(6.0452E0,2.22631E0,-1.8669E1)); +#5038=VERTEX_POINT('',#5037); +#5039=CARTESIAN_POINT('',(8.5852E0,-2.62509E0,-1.8669E1)); +#5040=CARTESIAN_POINT('',(8.5852E0,-2.22631E0,-1.8669E1)); +#5041=VERTEX_POINT('',#5039); +#5042=VERTEX_POINT('',#5040); +#5043=CARTESIAN_POINT('',(9.1948E0,-2.22631E0,-1.8669E1)); +#5044=VERTEX_POINT('',#5043); +#5045=CARTESIAN_POINT('',(9.1948E0,-2.62509E0,-1.8669E1)); +#5046=VERTEX_POINT('',#5045); +#5047=CARTESIAN_POINT('',(8.5852E0,2.62509E0,-1.8669E1)); +#5048=CARTESIAN_POINT('',(9.1948E0,2.62509E0,-1.8669E1)); +#5049=VERTEX_POINT('',#5047); +#5050=VERTEX_POINT('',#5048); +#5051=CARTESIAN_POINT('',(9.1948E0,2.22631E0,-1.8669E1)); +#5052=VERTEX_POINT('',#5051); +#5053=CARTESIAN_POINT('',(8.5852E0,2.22631E0,-1.8669E1)); +#5054=VERTEX_POINT('',#5053); +#5055=CARTESIAN_POINT('',(1.11252E1,-2.62509E0,-1.8669E1)); +#5056=CARTESIAN_POINT('',(1.11252E1,-2.22631E0,-1.8669E1)); +#5057=VERTEX_POINT('',#5055); +#5058=VERTEX_POINT('',#5056); +#5059=CARTESIAN_POINT('',(1.17348E1,-2.22631E0,-1.8669E1)); +#5060=VERTEX_POINT('',#5059); +#5061=CARTESIAN_POINT('',(1.17348E1,-2.62509E0,-1.8669E1)); +#5062=VERTEX_POINT('',#5061); +#5063=CARTESIAN_POINT('',(1.11252E1,2.62509E0,-1.8669E1)); +#5064=CARTESIAN_POINT('',(1.17348E1,2.62509E0,-1.8669E1)); +#5065=VERTEX_POINT('',#5063); +#5066=VERTEX_POINT('',#5064); +#5067=CARTESIAN_POINT('',(1.17348E1,2.22631E0,-1.8669E1)); +#5068=VERTEX_POINT('',#5067); +#5069=CARTESIAN_POINT('',(1.11252E1,2.22631E0,-1.8669E1)); +#5070=VERTEX_POINT('',#5069); +#5071=CARTESIAN_POINT('',(1.36652E1,-2.62509E0,-1.8669E1)); +#5072=CARTESIAN_POINT('',(1.36652E1,-2.22631E0,-1.8669E1)); +#5073=VERTEX_POINT('',#5071); +#5074=VERTEX_POINT('',#5072); +#5075=CARTESIAN_POINT('',(1.42748E1,-2.22631E0,-1.8669E1)); +#5076=VERTEX_POINT('',#5075); +#5077=CARTESIAN_POINT('',(1.42748E1,-2.62509E0,-1.8669E1)); +#5078=VERTEX_POINT('',#5077); +#5079=CARTESIAN_POINT('',(1.36652E1,2.62509E0,-1.8669E1)); +#5080=CARTESIAN_POINT('',(1.42748E1,2.62509E0,-1.8669E1)); +#5081=VERTEX_POINT('',#5079); +#5082=VERTEX_POINT('',#5080); +#5083=CARTESIAN_POINT('',(1.42748E1,2.22631E0,-1.8669E1)); +#5084=VERTEX_POINT('',#5083); +#5085=CARTESIAN_POINT('',(1.36652E1,2.22631E0,-1.8669E1)); +#5086=VERTEX_POINT('',#5085); +#5087=CARTESIAN_POINT('',(1.62052E1,-2.62509E0,-1.8669E1)); +#5088=CARTESIAN_POINT('',(1.62052E1,-2.22631E0,-1.8669E1)); +#5089=VERTEX_POINT('',#5087); +#5090=VERTEX_POINT('',#5088); +#5091=CARTESIAN_POINT('',(1.68148E1,-2.22631E0,-1.8669E1)); +#5092=VERTEX_POINT('',#5091); +#5093=CARTESIAN_POINT('',(1.68148E1,-2.62509E0,-1.8669E1)); +#5094=VERTEX_POINT('',#5093); +#5095=CARTESIAN_POINT('',(1.62052E1,2.62509E0,-1.8669E1)); +#5096=CARTESIAN_POINT('',(1.68148E1,2.62509E0,-1.8669E1)); +#5097=VERTEX_POINT('',#5095); +#5098=VERTEX_POINT('',#5096); +#5099=CARTESIAN_POINT('',(1.68148E1,2.22631E0,-1.8669E1)); +#5100=VERTEX_POINT('',#5099); +#5101=CARTESIAN_POINT('',(1.62052E1,2.22631E0,-1.8669E1)); +#5102=VERTEX_POINT('',#5101); +#5103=CARTESIAN_POINT('',(1.87452E1,-2.62509E0,-1.8669E1)); +#5104=CARTESIAN_POINT('',(1.87452E1,-2.22631E0,-1.8669E1)); +#5105=VERTEX_POINT('',#5103); +#5106=VERTEX_POINT('',#5104); +#5107=CARTESIAN_POINT('',(1.93548E1,-2.22631E0,-1.8669E1)); +#5108=VERTEX_POINT('',#5107); +#5109=CARTESIAN_POINT('',(1.93548E1,-2.62509E0,-1.8669E1)); +#5110=VERTEX_POINT('',#5109); +#5111=CARTESIAN_POINT('',(1.87452E1,2.62509E0,-1.8669E1)); +#5112=CARTESIAN_POINT('',(1.93548E1,2.62509E0,-1.8669E1)); +#5113=VERTEX_POINT('',#5111); +#5114=VERTEX_POINT('',#5112); +#5115=CARTESIAN_POINT('',(1.93548E1,2.22631E0,-1.8669E1)); +#5116=VERTEX_POINT('',#5115); +#5117=CARTESIAN_POINT('',(1.87452E1,2.22631E0,-1.8669E1)); +#5118=VERTEX_POINT('',#5117); +#5119=CARTESIAN_POINT('',(2.12852E1,-2.62509E0,-1.8669E1)); +#5120=CARTESIAN_POINT('',(2.12852E1,-2.22631E0,-1.8669E1)); +#5121=VERTEX_POINT('',#5119); +#5122=VERTEX_POINT('',#5120); +#5123=CARTESIAN_POINT('',(2.18948E1,-2.22631E0,-1.8669E1)); +#5124=VERTEX_POINT('',#5123); +#5125=CARTESIAN_POINT('',(2.18948E1,-2.62509E0,-1.8669E1)); +#5126=VERTEX_POINT('',#5125); +#5127=CARTESIAN_POINT('',(2.12852E1,2.62509E0,-1.8669E1)); +#5128=CARTESIAN_POINT('',(2.18948E1,2.62509E0,-1.8669E1)); +#5129=VERTEX_POINT('',#5127); +#5130=VERTEX_POINT('',#5128); +#5131=CARTESIAN_POINT('',(2.18948E1,2.22631E0,-1.8669E1)); +#5132=VERTEX_POINT('',#5131); +#5133=CARTESIAN_POINT('',(2.12852E1,2.22631E0,-1.8669E1)); +#5134=VERTEX_POINT('',#5133); +#5135=CARTESIAN_POINT('',(2.4765E1,2.137382390414E0,-1.438781720899E1)); +#5136=CARTESIAN_POINT('',(2.4765E1,2.54E0,-1.5494E1)); +#5137=VERTEX_POINT('',#5135); +#5138=VERTEX_POINT('',#5136); +#5139=CARTESIAN_POINT('',(2.286E1,2.54E0,-1.5494E1)); +#5140=CARTESIAN_POINT('',(2.286E1,2.137382390414E0,-1.438781720899E1)); +#5141=VERTEX_POINT('',#5139); +#5142=VERTEX_POINT('',#5140); +#5143=CARTESIAN_POINT('',(2.286E1,3.81E0,-1.5494E1)); +#5144=VERTEX_POINT('',#5143); +#5145=CARTESIAN_POINT('',(2.4765E1,1.540677576215E0,-1.397E1)); +#5146=CARTESIAN_POINT('',(2.4765E1,-1.540677576215E0,-1.397E1)); +#5147=VERTEX_POINT('',#5145); +#5148=VERTEX_POINT('',#5146); +#5149=CARTESIAN_POINT('',(2.4765E1,-2.54E0,-1.5494E1)); +#5150=CARTESIAN_POINT('',(2.4765E1,-2.137382390414E0,-1.438781720899E1)); +#5151=VERTEX_POINT('',#5149); +#5152=VERTEX_POINT('',#5150); +#5153=CARTESIAN_POINT('',(2.286E1,-3.81E0,-1.5494E1)); +#5154=CARTESIAN_POINT('',(2.286E1,-2.54E0,-1.5494E1)); +#5155=VERTEX_POINT('',#5153); +#5156=VERTEX_POINT('',#5154); +#5157=CARTESIAN_POINT('',(2.286E1,-2.137382390414E0,-1.438781720899E1)); +#5158=VERTEX_POINT('',#5157); +#5159=CARTESIAN_POINT('',(2.286E1,3.81E0,-1.397E1)); +#5160=CARTESIAN_POINT('',(2.286E1,1.540677576215E0,-1.397E1)); +#5161=VERTEX_POINT('',#5159); +#5162=VERTEX_POINT('',#5160); +#5163=CARTESIAN_POINT('',(2.286E1,-1.540677576215E0,-1.397E1)); +#5164=CARTESIAN_POINT('',(2.286E1,-3.81E0,-1.397E1)); +#5165=VERTEX_POINT('',#5163); +#5166=VERTEX_POINT('',#5164); +#5167=CARTESIAN_POINT('',(-2.4765E1,2.54E0,-1.5494E1)); +#5168=CARTESIAN_POINT('',(-2.4765E1,2.137382390414E0,-1.438781720899E1)); +#5169=VERTEX_POINT('',#5167); +#5170=VERTEX_POINT('',#5168); +#5171=CARTESIAN_POINT('',(-2.4765E1,-2.137382390414E0,-1.438781720899E1)); +#5172=CARTESIAN_POINT('',(-2.4765E1,-2.54E0,-1.5494E1)); +#5173=VERTEX_POINT('',#5171); +#5174=VERTEX_POINT('',#5172); +#5175=CARTESIAN_POINT('',(-2.286E1,3.81E0,-1.5494E1)); +#5176=CARTESIAN_POINT('',(-2.286E1,2.54E0,-1.5494E1)); +#5177=VERTEX_POINT('',#5175); +#5178=VERTEX_POINT('',#5176); +#5179=CARTESIAN_POINT('',(-2.286E1,2.137382390414E0,-1.438781720899E1)); +#5180=VERTEX_POINT('',#5179); +#5181=CARTESIAN_POINT('',(-2.286E1,-2.54E0,-1.5494E1)); +#5182=CARTESIAN_POINT('',(-2.286E1,-3.81E0,-1.5494E1)); +#5183=VERTEX_POINT('',#5181); +#5184=VERTEX_POINT('',#5182); +#5185=CARTESIAN_POINT('',(-2.286E1,-2.137382390414E0,-1.438781720899E1)); +#5186=VERTEX_POINT('',#5185); +#5187=CARTESIAN_POINT('',(-2.286E1,3.81E0,-1.397E1)); +#5188=CARTESIAN_POINT('',(-2.286E1,1.540677576215E0,-1.397E1)); +#5189=VERTEX_POINT('',#5187); +#5190=VERTEX_POINT('',#5188); +#5191=CARTESIAN_POINT('',(-2.286E1,-1.540677576215E0,-1.397E1)); +#5192=CARTESIAN_POINT('',(-2.286E1,-3.81E0,-1.397E1)); +#5193=VERTEX_POINT('',#5191); +#5194=VERTEX_POINT('',#5192); +#5195=CARTESIAN_POINT('',(-2.4765E1,-1.540677576215E0,-1.397E1)); +#5196=CARTESIAN_POINT('',(-2.4765E1,1.540677576215E0,-1.397E1)); +#5197=VERTEX_POINT('',#5195); +#5198=VERTEX_POINT('',#5196); +#5199=CARTESIAN_POINT('',(-2.4003E1,-3.81E0,-1.0922E1)); +#5200=VERTEX_POINT('',#5199); +#5201=CARTESIAN_POINT('',(-2.4003E1,3.81E0,-1.0922E1)); +#5202=VERTEX_POINT('',#5201); +#5203=CARTESIAN_POINT('',(2.4003E1,3.81E0,-1.0922E1)); +#5204=VERTEX_POINT('',#5203); +#5205=CARTESIAN_POINT('',(2.4003E1,-3.81E0,-1.0922E1)); +#5206=VERTEX_POINT('',#5205); +#5207=CARTESIAN_POINT('',(-2.6162E1,-3.9116E0,0.E0)); +#5208=CARTESIAN_POINT('',(-2.54E1,-4.6736E0,0.E0)); +#5209=VERTEX_POINT('',#5207); +#5210=VERTEX_POINT('',#5208); +#5211=CARTESIAN_POINT('',(-2.54E1,-4.6736E0,-8.89E0)); +#5212=VERTEX_POINT('',#5211); +#5213=CARTESIAN_POINT('',(-2.6162E1,-3.9116E0,-8.89E0)); +#5214=VERTEX_POINT('',#5213); +#5215=CARTESIAN_POINT('',(-2.6162E1,3.9116E0,-8.89E0)); +#5216=CARTESIAN_POINT('',(-2.54E1,4.6736E0,-8.89E0)); +#5217=VERTEX_POINT('',#5215); +#5218=VERTEX_POINT('',#5216); +#5219=CARTESIAN_POINT('',(-2.54E1,4.6736E0,0.E0)); +#5220=VERTEX_POINT('',#5219); +#5221=CARTESIAN_POINT('',(-2.6162E1,3.9116E0,0.E0)); +#5222=VERTEX_POINT('',#5221); +#5223=CARTESIAN_POINT('',(2.6162E1,-3.9116E0,-8.89E0)); +#5224=CARTESIAN_POINT('',(2.54E1,-4.6736E0,-8.89E0)); +#5225=VERTEX_POINT('',#5223); +#5226=VERTEX_POINT('',#5224); +#5227=CARTESIAN_POINT('',(2.54E1,-4.6736E0,0.E0)); +#5228=VERTEX_POINT('',#5227); +#5229=CARTESIAN_POINT('',(2.6162E1,-3.9116E0,0.E0)); +#5230=VERTEX_POINT('',#5229); +#5231=CARTESIAN_POINT('',(2.6162E1,3.9116E0,0.E0)); +#5232=CARTESIAN_POINT('',(2.54E1,4.6736E0,0.E0)); +#5233=VERTEX_POINT('',#5231); +#5234=VERTEX_POINT('',#5232); +#5235=CARTESIAN_POINT('',(2.54E1,4.6736E0,-8.89E0)); +#5236=VERTEX_POINT('',#5235); +#5237=CARTESIAN_POINT('',(2.6162E1,3.9116E0,-8.89E0)); +#5238=VERTEX_POINT('',#5237); +#5239=CARTESIAN_POINT('',(2.6162E1,3.048E0,-1.0922E1)); +#5240=CARTESIAN_POINT('',(2.6162E1,-3.048E0,-1.0922E1)); +#5241=VERTEX_POINT('',#5239); +#5242=VERTEX_POINT('',#5240); +#5243=CARTESIAN_POINT('',(-2.6162E1,-3.048E0,-1.0922E1)); +#5244=CARTESIAN_POINT('',(-2.6162E1,3.048E0,-1.0922E1)); +#5245=VERTEX_POINT('',#5243); +#5246=VERTEX_POINT('',#5244); +#5247=CARTESIAN_POINT('',(2.54E1,-3.81E0,-1.0922E1)); +#5248=VERTEX_POINT('',#5247); +#5249=CARTESIAN_POINT('',(2.54E1,3.81E0,-1.0922E1)); +#5250=VERTEX_POINT('',#5249); +#5251=CARTESIAN_POINT('',(-2.54E1,3.81E0,-1.0922E1)); +#5252=VERTEX_POINT('',#5251); +#5253=CARTESIAN_POINT('',(-2.54E1,-3.81E0,-1.0922E1)); +#5254=VERTEX_POINT('',#5253); +#5255=CARTESIAN_POINT('',(-2.54E1,3.81E0,-8.89E0)); +#5256=CARTESIAN_POINT('',(-2.6162E1,3.048E0,-8.89E0)); +#5257=VERTEX_POINT('',#5255); +#5258=VERTEX_POINT('',#5256); +#5259=CARTESIAN_POINT('',(-2.6162E1,-3.048E0,-8.89E0)); +#5260=CARTESIAN_POINT('',(-2.54E1,-3.81E0,-8.89E0)); +#5261=VERTEX_POINT('',#5259); +#5262=VERTEX_POINT('',#5260); +#5263=CARTESIAN_POINT('',(2.6162E1,3.048E0,-8.89E0)); +#5264=CARTESIAN_POINT('',(2.54E1,3.81E0,-8.89E0)); +#5265=VERTEX_POINT('',#5263); +#5266=VERTEX_POINT('',#5264); +#5267=CARTESIAN_POINT('',(2.54E1,-3.81E0,-8.89E0)); +#5268=CARTESIAN_POINT('',(2.6162E1,-3.048E0,-8.89E0)); +#5269=VERTEX_POINT('',#5267); +#5270=VERTEX_POINT('',#5268); +#5271=CARTESIAN_POINT('',(-7.08025E0,9.906E-1,-1.954389510524E0)); +#5272=CARTESIAN_POINT('',(-7.08025E0,9.906E-1,-5.157610489476E0)); +#5273=VERTEX_POINT('',#5271); +#5274=VERTEX_POINT('',#5272); +#5275=CARTESIAN_POINT('',(-5.61975E0,9.906E-1,-5.157610489476E0)); +#5276=CARTESIAN_POINT('',(-5.61975E0,9.906E-1,-1.954389510524E0)); +#5277=VERTEX_POINT('',#5275); +#5278=VERTEX_POINT('',#5276); +#5279=CARTESIAN_POINT('',(-7.08025E0,-9.906E-1,-5.157610489476E0)); +#5280=CARTESIAN_POINT('',(-7.08025E0,-9.906E-1,-1.954389510524E0)); +#5281=VERTEX_POINT('',#5279); +#5282=VERTEX_POINT('',#5280); +#5283=CARTESIAN_POINT('',(-5.61975E0,-9.906E-1,-1.954389510524E0)); +#5284=CARTESIAN_POINT('',(-5.61975E0,-9.906E-1,-5.157610489476E0)); +#5285=VERTEX_POINT('',#5283); +#5286=VERTEX_POINT('',#5284); +#5287=CARTESIAN_POINT('',(-4.54025E0,9.906E-1,-1.954389510524E0)); +#5288=CARTESIAN_POINT('',(-4.54025E0,9.906E-1,-5.157610489476E0)); +#5289=VERTEX_POINT('',#5287); +#5290=VERTEX_POINT('',#5288); +#5291=CARTESIAN_POINT('',(-3.07975E0,9.906E-1,-5.157610489476E0)); +#5292=CARTESIAN_POINT('',(-3.07975E0,9.906E-1,-1.954389510524E0)); +#5293=VERTEX_POINT('',#5291); +#5294=VERTEX_POINT('',#5292); +#5295=CARTESIAN_POINT('',(-4.54025E0,-9.906E-1,-5.157610489476E0)); +#5296=CARTESIAN_POINT('',(-4.54025E0,-9.906E-1,-1.954389510524E0)); +#5297=VERTEX_POINT('',#5295); +#5298=VERTEX_POINT('',#5296); +#5299=CARTESIAN_POINT('',(-3.07975E0,-9.906E-1,-1.954389510524E0)); +#5300=CARTESIAN_POINT('',(-3.07975E0,-9.906E-1,-5.157610489476E0)); +#5301=VERTEX_POINT('',#5299); +#5302=VERTEX_POINT('',#5300); +#5303=CARTESIAN_POINT('',(-2.00025E0,9.906E-1,-1.954389510524E0)); +#5304=CARTESIAN_POINT('',(-2.00025E0,9.906E-1,-5.157610489476E0)); +#5305=VERTEX_POINT('',#5303); +#5306=VERTEX_POINT('',#5304); +#5307=CARTESIAN_POINT('',(-5.3975E-1,9.906E-1,-5.157610489476E0)); +#5308=CARTESIAN_POINT('',(-5.3975E-1,9.906E-1,-1.954389510524E0)); +#5309=VERTEX_POINT('',#5307); +#5310=VERTEX_POINT('',#5308); +#5311=CARTESIAN_POINT('',(-2.00025E0,-9.906E-1,-5.157610489476E0)); +#5312=CARTESIAN_POINT('',(-2.00025E0,-9.906E-1,-1.954389510524E0)); +#5313=VERTEX_POINT('',#5311); +#5314=VERTEX_POINT('',#5312); +#5315=CARTESIAN_POINT('',(-5.3975E-1,-9.906E-1,-1.954389510524E0)); +#5316=CARTESIAN_POINT('',(-5.3975E-1,-9.906E-1,-5.157610489476E0)); +#5317=VERTEX_POINT('',#5315); +#5318=VERTEX_POINT('',#5316); +#5319=CARTESIAN_POINT('',(5.3975E-1,9.906E-1,-1.954389510524E0)); +#5320=CARTESIAN_POINT('',(5.3975E-1,9.906E-1,-5.157610489476E0)); +#5321=VERTEX_POINT('',#5319); +#5322=VERTEX_POINT('',#5320); +#5323=CARTESIAN_POINT('',(2.00025E0,9.906E-1,-5.157610489476E0)); +#5324=CARTESIAN_POINT('',(2.00025E0,9.906E-1,-1.954389510524E0)); +#5325=VERTEX_POINT('',#5323); +#5326=VERTEX_POINT('',#5324); +#5327=CARTESIAN_POINT('',(5.3975E-1,-9.906E-1,-5.157610489476E0)); +#5328=CARTESIAN_POINT('',(5.3975E-1,-9.906E-1,-1.954389510524E0)); +#5329=VERTEX_POINT('',#5327); +#5330=VERTEX_POINT('',#5328); +#5331=CARTESIAN_POINT('',(2.00025E0,-9.906E-1,-1.954389510524E0)); +#5332=CARTESIAN_POINT('',(2.00025E0,-9.906E-1,-5.157610489476E0)); +#5333=VERTEX_POINT('',#5331); +#5334=VERTEX_POINT('',#5332); +#5335=CARTESIAN_POINT('',(3.07975E0,9.906E-1,-1.954389510524E0)); +#5336=CARTESIAN_POINT('',(3.07975E0,9.906E-1,-5.157610489476E0)); +#5337=VERTEX_POINT('',#5335); +#5338=VERTEX_POINT('',#5336); +#5339=CARTESIAN_POINT('',(4.54025E0,9.906E-1,-5.157610489476E0)); +#5340=CARTESIAN_POINT('',(4.54025E0,9.906E-1,-1.954389510524E0)); +#5341=VERTEX_POINT('',#5339); +#5342=VERTEX_POINT('',#5340); +#5343=CARTESIAN_POINT('',(3.07975E0,-9.906E-1,-5.157610489476E0)); +#5344=CARTESIAN_POINT('',(3.07975E0,-9.906E-1,-1.954389510524E0)); +#5345=VERTEX_POINT('',#5343); +#5346=VERTEX_POINT('',#5344); +#5347=CARTESIAN_POINT('',(4.54025E0,-9.906E-1,-1.954389510524E0)); +#5348=CARTESIAN_POINT('',(4.54025E0,-9.906E-1,-5.157610489476E0)); +#5349=VERTEX_POINT('',#5347); +#5350=VERTEX_POINT('',#5348); +#5351=CARTESIAN_POINT('',(5.61975E0,9.906E-1,-1.954389510524E0)); +#5352=CARTESIAN_POINT('',(5.61975E0,9.906E-1,-5.157610489476E0)); +#5353=VERTEX_POINT('',#5351); +#5354=VERTEX_POINT('',#5352); +#5355=CARTESIAN_POINT('',(7.08025E0,9.906E-1,-5.157610489476E0)); +#5356=CARTESIAN_POINT('',(7.08025E0,9.906E-1,-1.954389510524E0)); +#5357=VERTEX_POINT('',#5355); +#5358=VERTEX_POINT('',#5356); +#5359=CARTESIAN_POINT('',(5.61975E0,-9.906E-1,-5.157610489476E0)); +#5360=CARTESIAN_POINT('',(5.61975E0,-9.906E-1,-1.954389510524E0)); +#5361=VERTEX_POINT('',#5359); +#5362=VERTEX_POINT('',#5360); +#5363=CARTESIAN_POINT('',(7.08025E0,-9.906E-1,-1.954389510524E0)); +#5364=CARTESIAN_POINT('',(7.08025E0,-9.906E-1,-5.157610489476E0)); +#5365=VERTEX_POINT('',#5363); +#5366=VERTEX_POINT('',#5364); +#5367=CARTESIAN_POINT('',(8.15975E0,9.906E-1,-1.954389510524E0)); +#5368=CARTESIAN_POINT('',(8.15975E0,9.906E-1,-5.157610489476E0)); +#5369=VERTEX_POINT('',#5367); +#5370=VERTEX_POINT('',#5368); +#5371=CARTESIAN_POINT('',(9.62025E0,9.906E-1,-5.157610489476E0)); +#5372=CARTESIAN_POINT('',(9.62025E0,9.906E-1,-1.954389510524E0)); +#5373=VERTEX_POINT('',#5371); +#5374=VERTEX_POINT('',#5372); +#5375=CARTESIAN_POINT('',(8.15975E0,-9.906E-1,-5.157610489476E0)); +#5376=CARTESIAN_POINT('',(8.15975E0,-9.906E-1,-1.954389510524E0)); +#5377=VERTEX_POINT('',#5375); +#5378=VERTEX_POINT('',#5376); +#5379=CARTESIAN_POINT('',(9.62025E0,-9.906E-1,-1.954389510524E0)); +#5380=CARTESIAN_POINT('',(9.62025E0,-9.906E-1,-5.157610489476E0)); +#5381=VERTEX_POINT('',#5379); +#5382=VERTEX_POINT('',#5380); +#5383=CARTESIAN_POINT('',(1.069975E1,9.906E-1,-1.954389510524E0)); +#5384=CARTESIAN_POINT('',(1.069975E1,9.906E-1,-5.157610489476E0)); +#5385=VERTEX_POINT('',#5383); +#5386=VERTEX_POINT('',#5384); +#5387=CARTESIAN_POINT('',(1.216025E1,9.906E-1,-5.157610489476E0)); +#5388=CARTESIAN_POINT('',(1.216025E1,9.906E-1,-1.954389510524E0)); +#5389=VERTEX_POINT('',#5387); +#5390=VERTEX_POINT('',#5388); +#5391=CARTESIAN_POINT('',(1.069975E1,-9.906E-1,-5.157610489476E0)); +#5392=CARTESIAN_POINT('',(1.069975E1,-9.906E-1,-1.954389510524E0)); +#5393=VERTEX_POINT('',#5391); +#5394=VERTEX_POINT('',#5392); +#5395=CARTESIAN_POINT('',(1.216025E1,-9.906E-1,-1.954389510524E0)); +#5396=CARTESIAN_POINT('',(1.216025E1,-9.906E-1,-5.157610489476E0)); +#5397=VERTEX_POINT('',#5395); +#5398=VERTEX_POINT('',#5396); +#5399=CARTESIAN_POINT('',(1.323975E1,9.906E-1,-1.954389510524E0)); +#5400=CARTESIAN_POINT('',(1.323975E1,9.906E-1,-5.157610489476E0)); +#5401=VERTEX_POINT('',#5399); +#5402=VERTEX_POINT('',#5400); +#5403=CARTESIAN_POINT('',(1.470025E1,9.906E-1,-5.157610489476E0)); +#5404=CARTESIAN_POINT('',(1.470025E1,9.906E-1,-1.954389510524E0)); +#5405=VERTEX_POINT('',#5403); +#5406=VERTEX_POINT('',#5404); +#5407=CARTESIAN_POINT('',(1.323975E1,-9.906E-1,-5.157610489476E0)); +#5408=CARTESIAN_POINT('',(1.323975E1,-9.906E-1,-1.954389510524E0)); +#5409=VERTEX_POINT('',#5407); +#5410=VERTEX_POINT('',#5408); +#5411=CARTESIAN_POINT('',(1.470025E1,-9.906E-1,-1.954389510524E0)); +#5412=CARTESIAN_POINT('',(1.470025E1,-9.906E-1,-5.157610489476E0)); +#5413=VERTEX_POINT('',#5411); +#5414=VERTEX_POINT('',#5412); +#5415=CARTESIAN_POINT('',(1.577975E1,9.906E-1,-1.954389510524E0)); +#5416=CARTESIAN_POINT('',(1.577975E1,9.906E-1,-5.157610489476E0)); +#5417=VERTEX_POINT('',#5415); +#5418=VERTEX_POINT('',#5416); +#5419=CARTESIAN_POINT('',(1.724025E1,9.906E-1,-5.157610489476E0)); +#5420=CARTESIAN_POINT('',(1.724025E1,9.906E-1,-1.954389510524E0)); +#5421=VERTEX_POINT('',#5419); +#5422=VERTEX_POINT('',#5420); +#5423=CARTESIAN_POINT('',(1.577975E1,-9.906E-1,-5.157610489476E0)); +#5424=CARTESIAN_POINT('',(1.577975E1,-9.906E-1,-1.954389510524E0)); +#5425=VERTEX_POINT('',#5423); +#5426=VERTEX_POINT('',#5424); +#5427=CARTESIAN_POINT('',(1.724025E1,-9.906E-1,-1.954389510524E0)); +#5428=CARTESIAN_POINT('',(1.724025E1,-9.906E-1,-5.157610489476E0)); +#5429=VERTEX_POINT('',#5427); +#5430=VERTEX_POINT('',#5428); +#5431=CARTESIAN_POINT('',(1.831975E1,9.906E-1,-1.954389510524E0)); +#5432=CARTESIAN_POINT('',(1.831975E1,9.906E-1,-5.157610489476E0)); +#5433=VERTEX_POINT('',#5431); +#5434=VERTEX_POINT('',#5432); +#5435=CARTESIAN_POINT('',(1.978025E1,9.906E-1,-5.157610489476E0)); +#5436=CARTESIAN_POINT('',(1.978025E1,9.906E-1,-1.954389510524E0)); +#5437=VERTEX_POINT('',#5435); +#5438=VERTEX_POINT('',#5436); +#5439=CARTESIAN_POINT('',(1.831975E1,-9.906E-1,-5.157610489476E0)); +#5440=CARTESIAN_POINT('',(1.831975E1,-9.906E-1,-1.954389510524E0)); +#5441=VERTEX_POINT('',#5439); +#5442=VERTEX_POINT('',#5440); +#5443=CARTESIAN_POINT('',(1.978025E1,-9.906E-1,-1.954389510524E0)); +#5444=CARTESIAN_POINT('',(1.978025E1,-9.906E-1,-5.157610489476E0)); +#5445=VERTEX_POINT('',#5443); +#5446=VERTEX_POINT('',#5444); +#5447=CARTESIAN_POINT('',(2.085975E1,9.906E-1,-1.954389510524E0)); +#5448=CARTESIAN_POINT('',(2.085975E1,9.906E-1,-5.157610489476E0)); +#5449=VERTEX_POINT('',#5447); +#5450=VERTEX_POINT('',#5448); +#5451=CARTESIAN_POINT('',(2.232025E1,9.906E-1,-5.157610489476E0)); +#5452=CARTESIAN_POINT('',(2.232025E1,9.906E-1,-1.954389510524E0)); +#5453=VERTEX_POINT('',#5451); +#5454=VERTEX_POINT('',#5452); +#5455=CARTESIAN_POINT('',(2.085975E1,-9.906E-1,-5.157610489476E0)); +#5456=CARTESIAN_POINT('',(2.085975E1,-9.906E-1,-1.954389510524E0)); +#5457=VERTEX_POINT('',#5455); +#5458=VERTEX_POINT('',#5456); +#5459=CARTESIAN_POINT('',(2.232025E1,-9.906E-1,-1.954389510524E0)); +#5460=CARTESIAN_POINT('',(2.232025E1,-9.906E-1,-5.157610489476E0)); +#5461=VERTEX_POINT('',#5459); +#5462=VERTEX_POINT('',#5460); +#5463=CARTESIAN_POINT('',(2.4003E1,3.81E0,-1.5494E1)); +#5464=CARTESIAN_POINT('',(2.4765E1,3.048E0,-1.5494E1)); +#5465=VERTEX_POINT('',#5463); +#5466=VERTEX_POINT('',#5464); +#5467=CARTESIAN_POINT('',(2.4765E1,-3.048E0,-1.5494E1)); +#5468=CARTESIAN_POINT('',(2.4003E1,-3.81E0,-1.5494E1)); +#5469=VERTEX_POINT('',#5467); +#5470=VERTEX_POINT('',#5468); +#5471=CARTESIAN_POINT('',(-2.4003E1,-3.81E0,-1.5494E1)); +#5472=CARTESIAN_POINT('',(-2.4765E1,-3.048E0,-1.5494E1)); +#5473=VERTEX_POINT('',#5471); +#5474=VERTEX_POINT('',#5472); +#5475=CARTESIAN_POINT('',(-2.4765E1,3.048E0,-1.5494E1)); +#5476=CARTESIAN_POINT('',(-2.4003E1,3.81E0,-1.5494E1)); +#5477=VERTEX_POINT('',#5475); +#5478=VERTEX_POINT('',#5476); +#5479=CARTESIAN_POINT('',(-2.22996125E1,2.22631E0,-1.397E1)); +#5480=CARTESIAN_POINT('',(-2.22996125E1,2.62509E0,-1.397E1)); +#5481=VERTEX_POINT('',#5479); +#5482=VERTEX_POINT('',#5480); +#5483=CARTESIAN_POINT('',(-2.08803875E1,2.22631E0,-1.397E1)); +#5484=CARTESIAN_POINT('',(-2.08803875E1,2.62509E0,-1.397E1)); +#5485=VERTEX_POINT('',#5483); +#5486=VERTEX_POINT('',#5484); +#5487=CARTESIAN_POINT('',(-2.12852E1,2.62509E0,-1.5494E1)); +#5488=CARTESIAN_POINT('',(-2.12852E1,2.22631E0,-1.5494E1)); +#5489=VERTEX_POINT('',#5487); +#5490=VERTEX_POINT('',#5488); +#5491=CARTESIAN_POINT('',(-2.18948E1,2.22631E0,-1.5494E1)); +#5492=CARTESIAN_POINT('',(-2.18948E1,2.62509E0,-1.5494E1)); +#5493=VERTEX_POINT('',#5491); +#5494=VERTEX_POINT('',#5492); +#5495=CARTESIAN_POINT('',(-2.22996125E1,-2.22631E0,-1.397E1)); +#5496=CARTESIAN_POINT('',(-2.22996125E1,-2.62509E0,-1.397E1)); +#5497=VERTEX_POINT('',#5495); +#5498=VERTEX_POINT('',#5496); +#5499=CARTESIAN_POINT('',(-2.08803875E1,-2.22631E0,-1.397E1)); +#5500=CARTESIAN_POINT('',(-2.08803875E1,-2.62509E0,-1.397E1)); +#5501=VERTEX_POINT('',#5499); +#5502=VERTEX_POINT('',#5500); +#5503=CARTESIAN_POINT('',(-2.18948E1,-2.62509E0,-1.5494E1)); +#5504=CARTESIAN_POINT('',(-2.18948E1,-2.22631E0,-1.5494E1)); +#5505=VERTEX_POINT('',#5503); +#5506=VERTEX_POINT('',#5504); +#5507=CARTESIAN_POINT('',(-2.12852E1,-2.22631E0,-1.5494E1)); +#5508=CARTESIAN_POINT('',(-2.12852E1,-2.62509E0,-1.5494E1)); +#5509=VERTEX_POINT('',#5507); +#5510=VERTEX_POINT('',#5508); +#5511=CARTESIAN_POINT('',(-1.93548E1,2.62509E0,-1.5494E1)); +#5512=CARTESIAN_POINT('',(-1.97596125E1,2.62509E0,-1.397E1)); +#5513=VERTEX_POINT('',#5511); +#5514=VERTEX_POINT('',#5512); +#5515=CARTESIAN_POINT('',(-1.83403875E1,2.62509E0,-1.397E1)); +#5516=CARTESIAN_POINT('',(-1.87452E1,2.62509E0,-1.5494E1)); +#5517=VERTEX_POINT('',#5515); +#5518=VERTEX_POINT('',#5516); +#5519=CARTESIAN_POINT('',(-1.93548E1,2.22631E0,-1.5494E1)); +#5520=CARTESIAN_POINT('',(-1.97596125E1,2.22631E0,-1.397E1)); +#5521=VERTEX_POINT('',#5519); +#5522=VERTEX_POINT('',#5520); +#5523=CARTESIAN_POINT('',(-1.83403875E1,2.22631E0,-1.397E1)); +#5524=CARTESIAN_POINT('',(-1.87452E1,2.22631E0,-1.5494E1)); +#5525=VERTEX_POINT('',#5523); +#5526=VERTEX_POINT('',#5524); +#5527=CARTESIAN_POINT('',(-1.97596125E1,-2.62509E0,-1.397E1)); +#5528=CARTESIAN_POINT('',(-1.93548E1,-2.62509E0,-1.5494E1)); +#5529=VERTEX_POINT('',#5527); +#5530=VERTEX_POINT('',#5528); +#5531=CARTESIAN_POINT('',(-1.87452E1,-2.62509E0,-1.5494E1)); +#5532=CARTESIAN_POINT('',(-1.83403875E1,-2.62509E0,-1.397E1)); +#5533=VERTEX_POINT('',#5531); +#5534=VERTEX_POINT('',#5532); +#5535=CARTESIAN_POINT('',(-1.97596125E1,-2.22631E0,-1.397E1)); +#5536=CARTESIAN_POINT('',(-1.93548E1,-2.22631E0,-1.5494E1)); +#5537=VERTEX_POINT('',#5535); +#5538=VERTEX_POINT('',#5536); +#5539=CARTESIAN_POINT('',(-1.87452E1,-2.22631E0,-1.5494E1)); +#5540=CARTESIAN_POINT('',(-1.83403875E1,-2.22631E0,-1.397E1)); +#5541=VERTEX_POINT('',#5539); +#5542=VERTEX_POINT('',#5540); +#5543=CARTESIAN_POINT('',(-1.68148E1,2.62509E0,-1.5494E1)); +#5544=CARTESIAN_POINT('',(-1.72196125E1,2.62509E0,-1.397E1)); +#5545=VERTEX_POINT('',#5543); +#5546=VERTEX_POINT('',#5544); +#5547=CARTESIAN_POINT('',(-1.58003875E1,2.62509E0,-1.397E1)); +#5548=CARTESIAN_POINT('',(-1.62052E1,2.62509E0,-1.5494E1)); +#5549=VERTEX_POINT('',#5547); +#5550=VERTEX_POINT('',#5548); +#5551=CARTESIAN_POINT('',(-1.68148E1,2.22631E0,-1.5494E1)); +#5552=CARTESIAN_POINT('',(-1.72196125E1,2.22631E0,-1.397E1)); +#5553=VERTEX_POINT('',#5551); +#5554=VERTEX_POINT('',#5552); +#5555=CARTESIAN_POINT('',(-1.58003875E1,2.22631E0,-1.397E1)); +#5556=CARTESIAN_POINT('',(-1.62052E1,2.22631E0,-1.5494E1)); +#5557=VERTEX_POINT('',#5555); +#5558=VERTEX_POINT('',#5556); +#5559=CARTESIAN_POINT('',(-1.72196125E1,-2.62509E0,-1.397E1)); +#5560=CARTESIAN_POINT('',(-1.68148E1,-2.62509E0,-1.5494E1)); +#5561=VERTEX_POINT('',#5559); +#5562=VERTEX_POINT('',#5560); +#5563=CARTESIAN_POINT('',(-1.62052E1,-2.62509E0,-1.5494E1)); +#5564=CARTESIAN_POINT('',(-1.58003875E1,-2.62509E0,-1.397E1)); +#5565=VERTEX_POINT('',#5563); +#5566=VERTEX_POINT('',#5564); +#5567=CARTESIAN_POINT('',(-1.72196125E1,-2.22631E0,-1.397E1)); +#5568=CARTESIAN_POINT('',(-1.68148E1,-2.22631E0,-1.5494E1)); +#5569=VERTEX_POINT('',#5567); +#5570=VERTEX_POINT('',#5568); +#5571=CARTESIAN_POINT('',(-1.62052E1,-2.22631E0,-1.5494E1)); +#5572=CARTESIAN_POINT('',(-1.58003875E1,-2.22631E0,-1.397E1)); +#5573=VERTEX_POINT('',#5571); +#5574=VERTEX_POINT('',#5572); +#5575=CARTESIAN_POINT('',(-1.42748E1,2.62509E0,-1.5494E1)); +#5576=CARTESIAN_POINT('',(-1.46796125E1,2.62509E0,-1.397E1)); +#5577=VERTEX_POINT('',#5575); +#5578=VERTEX_POINT('',#5576); +#5579=CARTESIAN_POINT('',(-1.32603875E1,2.62509E0,-1.397E1)); +#5580=CARTESIAN_POINT('',(-1.36652E1,2.62509E0,-1.5494E1)); +#5581=VERTEX_POINT('',#5579); +#5582=VERTEX_POINT('',#5580); +#5583=CARTESIAN_POINT('',(-1.42748E1,2.22631E0,-1.5494E1)); +#5584=CARTESIAN_POINT('',(-1.46796125E1,2.22631E0,-1.397E1)); +#5585=VERTEX_POINT('',#5583); +#5586=VERTEX_POINT('',#5584); +#5587=CARTESIAN_POINT('',(-1.32603875E1,2.22631E0,-1.397E1)); +#5588=CARTESIAN_POINT('',(-1.36652E1,2.22631E0,-1.5494E1)); +#5589=VERTEX_POINT('',#5587); +#5590=VERTEX_POINT('',#5588); +#5591=CARTESIAN_POINT('',(-1.46796125E1,-2.62509E0,-1.397E1)); +#5592=CARTESIAN_POINT('',(-1.42748E1,-2.62509E0,-1.5494E1)); +#5593=VERTEX_POINT('',#5591); +#5594=VERTEX_POINT('',#5592); +#5595=CARTESIAN_POINT('',(-1.36652E1,-2.62509E0,-1.5494E1)); +#5596=CARTESIAN_POINT('',(-1.32603875E1,-2.62509E0,-1.397E1)); +#5597=VERTEX_POINT('',#5595); +#5598=VERTEX_POINT('',#5596); +#5599=CARTESIAN_POINT('',(-1.46796125E1,-2.22631E0,-1.397E1)); +#5600=CARTESIAN_POINT('',(-1.42748E1,-2.22631E0,-1.5494E1)); +#5601=VERTEX_POINT('',#5599); +#5602=VERTEX_POINT('',#5600); +#5603=CARTESIAN_POINT('',(-1.36652E1,-2.22631E0,-1.5494E1)); +#5604=CARTESIAN_POINT('',(-1.32603875E1,-2.22631E0,-1.397E1)); +#5605=VERTEX_POINT('',#5603); +#5606=VERTEX_POINT('',#5604); +#5607=CARTESIAN_POINT('',(-1.17348E1,2.62509E0,-1.5494E1)); +#5608=CARTESIAN_POINT('',(-1.21396125E1,2.62509E0,-1.397E1)); +#5609=VERTEX_POINT('',#5607); +#5610=VERTEX_POINT('',#5608); +#5611=CARTESIAN_POINT('',(-1.07203875E1,2.62509E0,-1.397E1)); +#5612=CARTESIAN_POINT('',(-1.11252E1,2.62509E0,-1.5494E1)); +#5613=VERTEX_POINT('',#5611); +#5614=VERTEX_POINT('',#5612); +#5615=CARTESIAN_POINT('',(-1.17348E1,2.22631E0,-1.5494E1)); +#5616=CARTESIAN_POINT('',(-1.21396125E1,2.22631E0,-1.397E1)); +#5617=VERTEX_POINT('',#5615); +#5618=VERTEX_POINT('',#5616); +#5619=CARTESIAN_POINT('',(-1.07203875E1,2.22631E0,-1.397E1)); +#5620=CARTESIAN_POINT('',(-1.11252E1,2.22631E0,-1.5494E1)); +#5621=VERTEX_POINT('',#5619); +#5622=VERTEX_POINT('',#5620); +#5623=CARTESIAN_POINT('',(-1.21396125E1,-2.62509E0,-1.397E1)); +#5624=CARTESIAN_POINT('',(-1.17348E1,-2.62509E0,-1.5494E1)); +#5625=VERTEX_POINT('',#5623); +#5626=VERTEX_POINT('',#5624); +#5627=CARTESIAN_POINT('',(-1.11252E1,-2.62509E0,-1.5494E1)); +#5628=CARTESIAN_POINT('',(-1.07203875E1,-2.62509E0,-1.397E1)); +#5629=VERTEX_POINT('',#5627); +#5630=VERTEX_POINT('',#5628); +#5631=CARTESIAN_POINT('',(-1.21396125E1,-2.22631E0,-1.397E1)); +#5632=CARTESIAN_POINT('',(-1.17348E1,-2.22631E0,-1.5494E1)); +#5633=VERTEX_POINT('',#5631); +#5634=VERTEX_POINT('',#5632); +#5635=CARTESIAN_POINT('',(-1.11252E1,-2.22631E0,-1.5494E1)); +#5636=CARTESIAN_POINT('',(-1.07203875E1,-2.22631E0,-1.397E1)); +#5637=VERTEX_POINT('',#5635); +#5638=VERTEX_POINT('',#5636); +#5639=CARTESIAN_POINT('',(-9.1948E0,2.62509E0,-1.5494E1)); +#5640=CARTESIAN_POINT('',(-9.5996125E0,2.62509E0,-1.397E1)); +#5641=VERTEX_POINT('',#5639); +#5642=VERTEX_POINT('',#5640); +#5643=CARTESIAN_POINT('',(-8.1803875E0,2.62509E0,-1.397E1)); +#5644=CARTESIAN_POINT('',(-8.5852E0,2.62509E0,-1.5494E1)); +#5645=VERTEX_POINT('',#5643); +#5646=VERTEX_POINT('',#5644); +#5647=CARTESIAN_POINT('',(-9.1948E0,2.22631E0,-1.5494E1)); +#5648=CARTESIAN_POINT('',(-9.5996125E0,2.22631E0,-1.397E1)); +#5649=VERTEX_POINT('',#5647); +#5650=VERTEX_POINT('',#5648); +#5651=CARTESIAN_POINT('',(-8.1803875E0,2.22631E0,-1.397E1)); +#5652=CARTESIAN_POINT('',(-8.5852E0,2.22631E0,-1.5494E1)); +#5653=VERTEX_POINT('',#5651); +#5654=VERTEX_POINT('',#5652); +#5655=CARTESIAN_POINT('',(-9.5996125E0,-2.62509E0,-1.397E1)); +#5656=CARTESIAN_POINT('',(-9.1948E0,-2.62509E0,-1.5494E1)); +#5657=VERTEX_POINT('',#5655); +#5658=VERTEX_POINT('',#5656); +#5659=CARTESIAN_POINT('',(-8.5852E0,-2.62509E0,-1.5494E1)); +#5660=CARTESIAN_POINT('',(-8.1803875E0,-2.62509E0,-1.397E1)); +#5661=VERTEX_POINT('',#5659); +#5662=VERTEX_POINT('',#5660); +#5663=CARTESIAN_POINT('',(-9.5996125E0,-2.22631E0,-1.397E1)); +#5664=CARTESIAN_POINT('',(-9.1948E0,-2.22631E0,-1.5494E1)); +#5665=VERTEX_POINT('',#5663); +#5666=VERTEX_POINT('',#5664); +#5667=CARTESIAN_POINT('',(-8.5852E0,-2.22631E0,-1.5494E1)); +#5668=CARTESIAN_POINT('',(-8.1803875E0,-2.22631E0,-1.397E1)); +#5669=VERTEX_POINT('',#5667); +#5670=VERTEX_POINT('',#5668); +#5671=CARTESIAN_POINT('',(-6.6548E0,2.62509E0,-1.5494E1)); +#5672=CARTESIAN_POINT('',(-7.0596125E0,2.62509E0,-1.397E1)); +#5673=VERTEX_POINT('',#5671); +#5674=VERTEX_POINT('',#5672); +#5675=CARTESIAN_POINT('',(-5.6403875E0,2.62509E0,-1.397E1)); +#5676=CARTESIAN_POINT('',(-6.0452E0,2.62509E0,-1.5494E1)); +#5677=VERTEX_POINT('',#5675); +#5678=VERTEX_POINT('',#5676); +#5679=CARTESIAN_POINT('',(-6.6548E0,2.22631E0,-1.5494E1)); +#5680=CARTESIAN_POINT('',(-7.0596125E0,2.22631E0,-1.397E1)); +#5681=VERTEX_POINT('',#5679); +#5682=VERTEX_POINT('',#5680); +#5683=CARTESIAN_POINT('',(-5.6403875E0,2.22631E0,-1.397E1)); +#5684=CARTESIAN_POINT('',(-6.0452E0,2.22631E0,-1.5494E1)); +#5685=VERTEX_POINT('',#5683); +#5686=VERTEX_POINT('',#5684); +#5687=CARTESIAN_POINT('',(-7.0596125E0,-2.62509E0,-1.397E1)); +#5688=CARTESIAN_POINT('',(-6.6548E0,-2.62509E0,-1.5494E1)); +#5689=VERTEX_POINT('',#5687); +#5690=VERTEX_POINT('',#5688); +#5691=CARTESIAN_POINT('',(-6.0452E0,-2.62509E0,-1.5494E1)); +#5692=CARTESIAN_POINT('',(-5.6403875E0,-2.62509E0,-1.397E1)); +#5693=VERTEX_POINT('',#5691); +#5694=VERTEX_POINT('',#5692); +#5695=CARTESIAN_POINT('',(-7.0596125E0,-2.22631E0,-1.397E1)); +#5696=CARTESIAN_POINT('',(-6.6548E0,-2.22631E0,-1.5494E1)); +#5697=VERTEX_POINT('',#5695); +#5698=VERTEX_POINT('',#5696); +#5699=CARTESIAN_POINT('',(-6.0452E0,-2.22631E0,-1.5494E1)); +#5700=CARTESIAN_POINT('',(-5.6403875E0,-2.22631E0,-1.397E1)); +#5701=VERTEX_POINT('',#5699); +#5702=VERTEX_POINT('',#5700); +#5703=CARTESIAN_POINT('',(-4.1148E0,2.62509E0,-1.5494E1)); +#5704=CARTESIAN_POINT('',(-4.5196125E0,2.62509E0,-1.397E1)); +#5705=VERTEX_POINT('',#5703); +#5706=VERTEX_POINT('',#5704); +#5707=CARTESIAN_POINT('',(-3.1003875E0,2.62509E0,-1.397E1)); +#5708=CARTESIAN_POINT('',(-3.5052E0,2.62509E0,-1.5494E1)); +#5709=VERTEX_POINT('',#5707); +#5710=VERTEX_POINT('',#5708); +#5711=CARTESIAN_POINT('',(-4.1148E0,2.22631E0,-1.5494E1)); +#5712=CARTESIAN_POINT('',(-4.5196125E0,2.22631E0,-1.397E1)); +#5713=VERTEX_POINT('',#5711); +#5714=VERTEX_POINT('',#5712); +#5715=CARTESIAN_POINT('',(-3.1003875E0,2.22631E0,-1.397E1)); +#5716=CARTESIAN_POINT('',(-3.5052E0,2.22631E0,-1.5494E1)); +#5717=VERTEX_POINT('',#5715); +#5718=VERTEX_POINT('',#5716); +#5719=CARTESIAN_POINT('',(-4.5196125E0,-2.62509E0,-1.397E1)); +#5720=CARTESIAN_POINT('',(-4.1148E0,-2.62509E0,-1.5494E1)); +#5721=VERTEX_POINT('',#5719); +#5722=VERTEX_POINT('',#5720); +#5723=CARTESIAN_POINT('',(-3.5052E0,-2.62509E0,-1.5494E1)); +#5724=CARTESIAN_POINT('',(-3.1003875E0,-2.62509E0,-1.397E1)); +#5725=VERTEX_POINT('',#5723); +#5726=VERTEX_POINT('',#5724); +#5727=CARTESIAN_POINT('',(-4.5196125E0,-2.22631E0,-1.397E1)); +#5728=CARTESIAN_POINT('',(-4.1148E0,-2.22631E0,-1.5494E1)); +#5729=VERTEX_POINT('',#5727); +#5730=VERTEX_POINT('',#5728); +#5731=CARTESIAN_POINT('',(-3.5052E0,-2.22631E0,-1.5494E1)); +#5732=CARTESIAN_POINT('',(-3.1003875E0,-2.22631E0,-1.397E1)); +#5733=VERTEX_POINT('',#5731); +#5734=VERTEX_POINT('',#5732); +#5735=CARTESIAN_POINT('',(-1.5748E0,2.62509E0,-1.5494E1)); +#5736=CARTESIAN_POINT('',(-1.9796125E0,2.62509E0,-1.397E1)); +#5737=VERTEX_POINT('',#5735); +#5738=VERTEX_POINT('',#5736); +#5739=CARTESIAN_POINT('',(-5.603875E-1,2.62509E0,-1.397E1)); +#5740=CARTESIAN_POINT('',(-9.652E-1,2.62509E0,-1.5494E1)); +#5741=VERTEX_POINT('',#5739); +#5742=VERTEX_POINT('',#5740); +#5743=CARTESIAN_POINT('',(-1.5748E0,2.22631E0,-1.5494E1)); +#5744=CARTESIAN_POINT('',(-1.9796125E0,2.22631E0,-1.397E1)); +#5745=VERTEX_POINT('',#5743); +#5746=VERTEX_POINT('',#5744); +#5747=CARTESIAN_POINT('',(-5.603875E-1,2.22631E0,-1.397E1)); +#5748=CARTESIAN_POINT('',(-9.652E-1,2.22631E0,-1.5494E1)); +#5749=VERTEX_POINT('',#5747); +#5750=VERTEX_POINT('',#5748); +#5751=CARTESIAN_POINT('',(-1.9796125E0,-2.62509E0,-1.397E1)); +#5752=CARTESIAN_POINT('',(-1.5748E0,-2.62509E0,-1.5494E1)); +#5753=VERTEX_POINT('',#5751); +#5754=VERTEX_POINT('',#5752); +#5755=CARTESIAN_POINT('',(-9.652E-1,-2.62509E0,-1.5494E1)); +#5756=CARTESIAN_POINT('',(-5.603875E-1,-2.62509E0,-1.397E1)); +#5757=VERTEX_POINT('',#5755); +#5758=VERTEX_POINT('',#5756); +#5759=CARTESIAN_POINT('',(-1.9796125E0,-2.22631E0,-1.397E1)); +#5760=CARTESIAN_POINT('',(-1.5748E0,-2.22631E0,-1.5494E1)); +#5761=VERTEX_POINT('',#5759); +#5762=VERTEX_POINT('',#5760); +#5763=CARTESIAN_POINT('',(-9.652E-1,-2.22631E0,-1.5494E1)); +#5764=CARTESIAN_POINT('',(-5.603875E-1,-2.22631E0,-1.397E1)); +#5765=VERTEX_POINT('',#5763); +#5766=VERTEX_POINT('',#5764); +#5767=CARTESIAN_POINT('',(9.652E-1,2.62509E0,-1.5494E1)); +#5768=CARTESIAN_POINT('',(5.603875E-1,2.62509E0,-1.397E1)); +#5769=VERTEX_POINT('',#5767); +#5770=VERTEX_POINT('',#5768); +#5771=CARTESIAN_POINT('',(1.9796125E0,2.62509E0,-1.397E1)); +#5772=CARTESIAN_POINT('',(1.5748E0,2.62509E0,-1.5494E1)); +#5773=VERTEX_POINT('',#5771); +#5774=VERTEX_POINT('',#5772); +#5775=CARTESIAN_POINT('',(9.652E-1,2.22631E0,-1.5494E1)); +#5776=CARTESIAN_POINT('',(5.603875E-1,2.22631E0,-1.397E1)); +#5777=VERTEX_POINT('',#5775); +#5778=VERTEX_POINT('',#5776); +#5779=CARTESIAN_POINT('',(1.9796125E0,2.22631E0,-1.397E1)); +#5780=CARTESIAN_POINT('',(1.5748E0,2.22631E0,-1.5494E1)); +#5781=VERTEX_POINT('',#5779); +#5782=VERTEX_POINT('',#5780); +#5783=CARTESIAN_POINT('',(5.603875E-1,-2.62509E0,-1.397E1)); +#5784=CARTESIAN_POINT('',(9.652E-1,-2.62509E0,-1.5494E1)); +#5785=VERTEX_POINT('',#5783); +#5786=VERTEX_POINT('',#5784); +#5787=CARTESIAN_POINT('',(1.5748E0,-2.62509E0,-1.5494E1)); +#5788=CARTESIAN_POINT('',(1.9796125E0,-2.62509E0,-1.397E1)); +#5789=VERTEX_POINT('',#5787); +#5790=VERTEX_POINT('',#5788); +#5791=CARTESIAN_POINT('',(5.603875E-1,-2.22631E0,-1.397E1)); +#5792=CARTESIAN_POINT('',(9.652E-1,-2.22631E0,-1.5494E1)); +#5793=VERTEX_POINT('',#5791); +#5794=VERTEX_POINT('',#5792); +#5795=CARTESIAN_POINT('',(1.5748E0,-2.22631E0,-1.5494E1)); +#5796=CARTESIAN_POINT('',(1.9796125E0,-2.22631E0,-1.397E1)); +#5797=VERTEX_POINT('',#5795); +#5798=VERTEX_POINT('',#5796); +#5799=CARTESIAN_POINT('',(3.5052E0,2.62509E0,-1.5494E1)); +#5800=CARTESIAN_POINT('',(3.1003875E0,2.62509E0,-1.397E1)); +#5801=VERTEX_POINT('',#5799); +#5802=VERTEX_POINT('',#5800); +#5803=CARTESIAN_POINT('',(4.5196125E0,2.62509E0,-1.397E1)); +#5804=CARTESIAN_POINT('',(4.1148E0,2.62509E0,-1.5494E1)); +#5805=VERTEX_POINT('',#5803); +#5806=VERTEX_POINT('',#5804); +#5807=CARTESIAN_POINT('',(3.5052E0,2.22631E0,-1.5494E1)); +#5808=CARTESIAN_POINT('',(3.1003875E0,2.22631E0,-1.397E1)); +#5809=VERTEX_POINT('',#5807); +#5810=VERTEX_POINT('',#5808); +#5811=CARTESIAN_POINT('',(4.5196125E0,2.22631E0,-1.397E1)); +#5812=CARTESIAN_POINT('',(4.1148E0,2.22631E0,-1.5494E1)); +#5813=VERTEX_POINT('',#5811); +#5814=VERTEX_POINT('',#5812); +#5815=CARTESIAN_POINT('',(3.1003875E0,-2.62509E0,-1.397E1)); +#5816=CARTESIAN_POINT('',(3.5052E0,-2.62509E0,-1.5494E1)); +#5817=VERTEX_POINT('',#5815); +#5818=VERTEX_POINT('',#5816); +#5819=CARTESIAN_POINT('',(4.1148E0,-2.62509E0,-1.5494E1)); +#5820=CARTESIAN_POINT('',(4.5196125E0,-2.62509E0,-1.397E1)); +#5821=VERTEX_POINT('',#5819); +#5822=VERTEX_POINT('',#5820); +#5823=CARTESIAN_POINT('',(3.1003875E0,-2.22631E0,-1.397E1)); +#5824=CARTESIAN_POINT('',(3.5052E0,-2.22631E0,-1.5494E1)); +#5825=VERTEX_POINT('',#5823); +#5826=VERTEX_POINT('',#5824); +#5827=CARTESIAN_POINT('',(4.1148E0,-2.22631E0,-1.5494E1)); +#5828=CARTESIAN_POINT('',(4.5196125E0,-2.22631E0,-1.397E1)); +#5829=VERTEX_POINT('',#5827); +#5830=VERTEX_POINT('',#5828); +#5831=CARTESIAN_POINT('',(6.0452E0,2.62509E0,-1.5494E1)); +#5832=CARTESIAN_POINT('',(5.6403875E0,2.62509E0,-1.397E1)); +#5833=VERTEX_POINT('',#5831); +#5834=VERTEX_POINT('',#5832); +#5835=CARTESIAN_POINT('',(7.0596125E0,2.62509E0,-1.397E1)); +#5836=CARTESIAN_POINT('',(6.6548E0,2.62509E0,-1.5494E1)); +#5837=VERTEX_POINT('',#5835); +#5838=VERTEX_POINT('',#5836); +#5839=CARTESIAN_POINT('',(6.0452E0,2.22631E0,-1.5494E1)); +#5840=CARTESIAN_POINT('',(5.6403875E0,2.22631E0,-1.397E1)); +#5841=VERTEX_POINT('',#5839); +#5842=VERTEX_POINT('',#5840); +#5843=CARTESIAN_POINT('',(7.0596125E0,2.22631E0,-1.397E1)); +#5844=CARTESIAN_POINT('',(6.6548E0,2.22631E0,-1.5494E1)); +#5845=VERTEX_POINT('',#5843); +#5846=VERTEX_POINT('',#5844); +#5847=CARTESIAN_POINT('',(5.6403875E0,-2.62509E0,-1.397E1)); +#5848=CARTESIAN_POINT('',(6.0452E0,-2.62509E0,-1.5494E1)); +#5849=VERTEX_POINT('',#5847); +#5850=VERTEX_POINT('',#5848); +#5851=CARTESIAN_POINT('',(6.6548E0,-2.62509E0,-1.5494E1)); +#5852=CARTESIAN_POINT('',(7.0596125E0,-2.62509E0,-1.397E1)); +#5853=VERTEX_POINT('',#5851); +#5854=VERTEX_POINT('',#5852); +#5855=CARTESIAN_POINT('',(5.6403875E0,-2.22631E0,-1.397E1)); +#5856=CARTESIAN_POINT('',(6.0452E0,-2.22631E0,-1.5494E1)); +#5857=VERTEX_POINT('',#5855); +#5858=VERTEX_POINT('',#5856); +#5859=CARTESIAN_POINT('',(6.6548E0,-2.22631E0,-1.5494E1)); +#5860=CARTESIAN_POINT('',(7.0596125E0,-2.22631E0,-1.397E1)); +#5861=VERTEX_POINT('',#5859); +#5862=VERTEX_POINT('',#5860); +#5863=CARTESIAN_POINT('',(8.5852E0,2.62509E0,-1.5494E1)); +#5864=CARTESIAN_POINT('',(8.1803875E0,2.62509E0,-1.397E1)); +#5865=VERTEX_POINT('',#5863); +#5866=VERTEX_POINT('',#5864); +#5867=CARTESIAN_POINT('',(9.5996125E0,2.62509E0,-1.397E1)); +#5868=CARTESIAN_POINT('',(9.1948E0,2.62509E0,-1.5494E1)); +#5869=VERTEX_POINT('',#5867); +#5870=VERTEX_POINT('',#5868); +#5871=CARTESIAN_POINT('',(8.5852E0,2.22631E0,-1.5494E1)); +#5872=CARTESIAN_POINT('',(8.1803875E0,2.22631E0,-1.397E1)); +#5873=VERTEX_POINT('',#5871); +#5874=VERTEX_POINT('',#5872); +#5875=CARTESIAN_POINT('',(9.5996125E0,2.22631E0,-1.397E1)); +#5876=CARTESIAN_POINT('',(9.1948E0,2.22631E0,-1.5494E1)); +#5877=VERTEX_POINT('',#5875); +#5878=VERTEX_POINT('',#5876); +#5879=CARTESIAN_POINT('',(8.1803875E0,-2.62509E0,-1.397E1)); +#5880=CARTESIAN_POINT('',(8.5852E0,-2.62509E0,-1.5494E1)); +#5881=VERTEX_POINT('',#5879); +#5882=VERTEX_POINT('',#5880); +#5883=CARTESIAN_POINT('',(9.1948E0,-2.62509E0,-1.5494E1)); +#5884=CARTESIAN_POINT('',(9.5996125E0,-2.62509E0,-1.397E1)); +#5885=VERTEX_POINT('',#5883); +#5886=VERTEX_POINT('',#5884); +#5887=CARTESIAN_POINT('',(8.1803875E0,-2.22631E0,-1.397E1)); +#5888=CARTESIAN_POINT('',(8.5852E0,-2.22631E0,-1.5494E1)); +#5889=VERTEX_POINT('',#5887); +#5890=VERTEX_POINT('',#5888); +#5891=CARTESIAN_POINT('',(9.1948E0,-2.22631E0,-1.5494E1)); +#5892=CARTESIAN_POINT('',(9.5996125E0,-2.22631E0,-1.397E1)); +#5893=VERTEX_POINT('',#5891); +#5894=VERTEX_POINT('',#5892); +#5895=CARTESIAN_POINT('',(1.11252E1,2.62509E0,-1.5494E1)); +#5896=CARTESIAN_POINT('',(1.07203875E1,2.62509E0,-1.397E1)); +#5897=VERTEX_POINT('',#5895); +#5898=VERTEX_POINT('',#5896); +#5899=CARTESIAN_POINT('',(1.21396125E1,2.62509E0,-1.397E1)); +#5900=CARTESIAN_POINT('',(1.17348E1,2.62509E0,-1.5494E1)); +#5901=VERTEX_POINT('',#5899); +#5902=VERTEX_POINT('',#5900); +#5903=CARTESIAN_POINT('',(1.11252E1,2.22631E0,-1.5494E1)); +#5904=CARTESIAN_POINT('',(1.07203875E1,2.22631E0,-1.397E1)); +#5905=VERTEX_POINT('',#5903); +#5906=VERTEX_POINT('',#5904); +#5907=CARTESIAN_POINT('',(1.21396125E1,2.22631E0,-1.397E1)); +#5908=CARTESIAN_POINT('',(1.17348E1,2.22631E0,-1.5494E1)); +#5909=VERTEX_POINT('',#5907); +#5910=VERTEX_POINT('',#5908); +#5911=CARTESIAN_POINT('',(1.07203875E1,-2.62509E0,-1.397E1)); +#5912=CARTESIAN_POINT('',(1.11252E1,-2.62509E0,-1.5494E1)); +#5913=VERTEX_POINT('',#5911); +#5914=VERTEX_POINT('',#5912); +#5915=CARTESIAN_POINT('',(1.17348E1,-2.62509E0,-1.5494E1)); +#5916=CARTESIAN_POINT('',(1.21396125E1,-2.62509E0,-1.397E1)); +#5917=VERTEX_POINT('',#5915); +#5918=VERTEX_POINT('',#5916); +#5919=CARTESIAN_POINT('',(1.07203875E1,-2.22631E0,-1.397E1)); +#5920=CARTESIAN_POINT('',(1.11252E1,-2.22631E0,-1.5494E1)); +#5921=VERTEX_POINT('',#5919); +#5922=VERTEX_POINT('',#5920); +#5923=CARTESIAN_POINT('',(1.17348E1,-2.22631E0,-1.5494E1)); +#5924=CARTESIAN_POINT('',(1.21396125E1,-2.22631E0,-1.397E1)); +#5925=VERTEX_POINT('',#5923); +#5926=VERTEX_POINT('',#5924); +#5927=CARTESIAN_POINT('',(1.36652E1,2.62509E0,-1.5494E1)); +#5928=CARTESIAN_POINT('',(1.32603875E1,2.62509E0,-1.397E1)); +#5929=VERTEX_POINT('',#5927); +#5930=VERTEX_POINT('',#5928); +#5931=CARTESIAN_POINT('',(1.46796125E1,2.62509E0,-1.397E1)); +#5932=CARTESIAN_POINT('',(1.42748E1,2.62509E0,-1.5494E1)); +#5933=VERTEX_POINT('',#5931); +#5934=VERTEX_POINT('',#5932); +#5935=CARTESIAN_POINT('',(1.36652E1,2.22631E0,-1.5494E1)); +#5936=CARTESIAN_POINT('',(1.32603875E1,2.22631E0,-1.397E1)); +#5937=VERTEX_POINT('',#5935); +#5938=VERTEX_POINT('',#5936); +#5939=CARTESIAN_POINT('',(1.46796125E1,2.22631E0,-1.397E1)); +#5940=CARTESIAN_POINT('',(1.42748E1,2.22631E0,-1.5494E1)); +#5941=VERTEX_POINT('',#5939); +#5942=VERTEX_POINT('',#5940); +#5943=CARTESIAN_POINT('',(1.32603875E1,-2.62509E0,-1.397E1)); +#5944=CARTESIAN_POINT('',(1.36652E1,-2.62509E0,-1.5494E1)); +#5945=VERTEX_POINT('',#5943); +#5946=VERTEX_POINT('',#5944); +#5947=CARTESIAN_POINT('',(1.42748E1,-2.62509E0,-1.5494E1)); +#5948=CARTESIAN_POINT('',(1.46796125E1,-2.62509E0,-1.397E1)); +#5949=VERTEX_POINT('',#5947); +#5950=VERTEX_POINT('',#5948); +#5951=CARTESIAN_POINT('',(1.32603875E1,-2.22631E0,-1.397E1)); +#5952=CARTESIAN_POINT('',(1.36652E1,-2.22631E0,-1.5494E1)); +#5953=VERTEX_POINT('',#5951); +#5954=VERTEX_POINT('',#5952); +#5955=CARTESIAN_POINT('',(1.42748E1,-2.22631E0,-1.5494E1)); +#5956=CARTESIAN_POINT('',(1.46796125E1,-2.22631E0,-1.397E1)); +#5957=VERTEX_POINT('',#5955); +#5958=VERTEX_POINT('',#5956); +#5959=CARTESIAN_POINT('',(1.62052E1,2.62509E0,-1.5494E1)); +#5960=CARTESIAN_POINT('',(1.58003875E1,2.62509E0,-1.397E1)); +#5961=VERTEX_POINT('',#5959); +#5962=VERTEX_POINT('',#5960); +#5963=CARTESIAN_POINT('',(1.72196125E1,2.62509E0,-1.397E1)); +#5964=CARTESIAN_POINT('',(1.68148E1,2.62509E0,-1.5494E1)); +#5965=VERTEX_POINT('',#5963); +#5966=VERTEX_POINT('',#5964); +#5967=CARTESIAN_POINT('',(1.62052E1,2.22631E0,-1.5494E1)); +#5968=CARTESIAN_POINT('',(1.58003875E1,2.22631E0,-1.397E1)); +#5969=VERTEX_POINT('',#5967); +#5970=VERTEX_POINT('',#5968); +#5971=CARTESIAN_POINT('',(1.72196125E1,2.22631E0,-1.397E1)); +#5972=CARTESIAN_POINT('',(1.68148E1,2.22631E0,-1.5494E1)); +#5973=VERTEX_POINT('',#5971); +#5974=VERTEX_POINT('',#5972); +#5975=CARTESIAN_POINT('',(1.58003875E1,-2.62509E0,-1.397E1)); +#5976=CARTESIAN_POINT('',(1.62052E1,-2.62509E0,-1.5494E1)); +#5977=VERTEX_POINT('',#5975); +#5978=VERTEX_POINT('',#5976); +#5979=CARTESIAN_POINT('',(1.68148E1,-2.62509E0,-1.5494E1)); +#5980=CARTESIAN_POINT('',(1.72196125E1,-2.62509E0,-1.397E1)); +#5981=VERTEX_POINT('',#5979); +#5982=VERTEX_POINT('',#5980); +#5983=CARTESIAN_POINT('',(1.58003875E1,-2.22631E0,-1.397E1)); +#5984=CARTESIAN_POINT('',(1.62052E1,-2.22631E0,-1.5494E1)); +#5985=VERTEX_POINT('',#5983); +#5986=VERTEX_POINT('',#5984); +#5987=CARTESIAN_POINT('',(1.68148E1,-2.22631E0,-1.5494E1)); +#5988=CARTESIAN_POINT('',(1.72196125E1,-2.22631E0,-1.397E1)); +#5989=VERTEX_POINT('',#5987); +#5990=VERTEX_POINT('',#5988); +#5991=CARTESIAN_POINT('',(1.87452E1,2.62509E0,-1.5494E1)); +#5992=CARTESIAN_POINT('',(1.83403875E1,2.62509E0,-1.397E1)); +#5993=VERTEX_POINT('',#5991); +#5994=VERTEX_POINT('',#5992); +#5995=CARTESIAN_POINT('',(1.97596125E1,2.62509E0,-1.397E1)); +#5996=CARTESIAN_POINT('',(1.93548E1,2.62509E0,-1.5494E1)); +#5997=VERTEX_POINT('',#5995); +#5998=VERTEX_POINT('',#5996); +#5999=CARTESIAN_POINT('',(1.87452E1,2.22631E0,-1.5494E1)); +#6000=CARTESIAN_POINT('',(1.83403875E1,2.22631E0,-1.397E1)); +#6001=VERTEX_POINT('',#5999); +#6002=VERTEX_POINT('',#6000); +#6003=CARTESIAN_POINT('',(1.97596125E1,2.22631E0,-1.397E1)); +#6004=CARTESIAN_POINT('',(1.93548E1,2.22631E0,-1.5494E1)); +#6005=VERTEX_POINT('',#6003); +#6006=VERTEX_POINT('',#6004); +#6007=CARTESIAN_POINT('',(1.83403875E1,-2.62509E0,-1.397E1)); +#6008=CARTESIAN_POINT('',(1.87452E1,-2.62509E0,-1.5494E1)); +#6009=VERTEX_POINT('',#6007); +#6010=VERTEX_POINT('',#6008); +#6011=CARTESIAN_POINT('',(1.93548E1,-2.62509E0,-1.5494E1)); +#6012=CARTESIAN_POINT('',(1.97596125E1,-2.62509E0,-1.397E1)); +#6013=VERTEX_POINT('',#6011); +#6014=VERTEX_POINT('',#6012); +#6015=CARTESIAN_POINT('',(1.83403875E1,-2.22631E0,-1.397E1)); +#6016=CARTESIAN_POINT('',(1.87452E1,-2.22631E0,-1.5494E1)); +#6017=VERTEX_POINT('',#6015); +#6018=VERTEX_POINT('',#6016); +#6019=CARTESIAN_POINT('',(1.93548E1,-2.22631E0,-1.5494E1)); +#6020=CARTESIAN_POINT('',(1.97596125E1,-2.22631E0,-1.397E1)); +#6021=VERTEX_POINT('',#6019); +#6022=VERTEX_POINT('',#6020); +#6023=CARTESIAN_POINT('',(2.12852E1,2.62509E0,-1.5494E1)); +#6024=CARTESIAN_POINT('',(2.08803875E1,2.62509E0,-1.397E1)); +#6025=VERTEX_POINT('',#6023); +#6026=VERTEX_POINT('',#6024); +#6027=CARTESIAN_POINT('',(2.22996125E1,2.62509E0,-1.397E1)); +#6028=CARTESIAN_POINT('',(2.18948E1,2.62509E0,-1.5494E1)); +#6029=VERTEX_POINT('',#6027); +#6030=VERTEX_POINT('',#6028); +#6031=CARTESIAN_POINT('',(2.12852E1,2.22631E0,-1.5494E1)); +#6032=CARTESIAN_POINT('',(2.08803875E1,2.22631E0,-1.397E1)); +#6033=VERTEX_POINT('',#6031); +#6034=VERTEX_POINT('',#6032); +#6035=CARTESIAN_POINT('',(2.22996125E1,2.22631E0,-1.397E1)); +#6036=CARTESIAN_POINT('',(2.18948E1,2.22631E0,-1.5494E1)); +#6037=VERTEX_POINT('',#6035); +#6038=VERTEX_POINT('',#6036); +#6039=CARTESIAN_POINT('',(2.08803875E1,-2.62509E0,-1.397E1)); +#6040=CARTESIAN_POINT('',(2.12852E1,-2.62509E0,-1.5494E1)); +#6041=VERTEX_POINT('',#6039); +#6042=VERTEX_POINT('',#6040); +#6043=CARTESIAN_POINT('',(2.18948E1,-2.62509E0,-1.5494E1)); +#6044=CARTESIAN_POINT('',(2.22996125E1,-2.62509E0,-1.397E1)); +#6045=VERTEX_POINT('',#6043); +#6046=VERTEX_POINT('',#6044); +#6047=CARTESIAN_POINT('',(2.08803875E1,-2.22631E0,-1.397E1)); +#6048=CARTESIAN_POINT('',(2.12852E1,-2.22631E0,-1.5494E1)); +#6049=VERTEX_POINT('',#6047); +#6050=VERTEX_POINT('',#6048); +#6051=CARTESIAN_POINT('',(2.18948E1,-2.22631E0,-1.5494E1)); +#6052=CARTESIAN_POINT('',(2.22996125E1,-2.22631E0,-1.397E1)); +#6053=VERTEX_POINT('',#6051); +#6054=VERTEX_POINT('',#6052); +#6055=CARTESIAN_POINT('',(-2.6162E1,0.E0,0.E0)); +#6056=DIRECTION('',(1.E0,0.E0,0.E0)); +#6057=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6058=AXIS2_PLACEMENT_3D('',#6055,#6056,#6057); +#6059=PLANE('',#6058); +#6061=ORIENTED_EDGE('',*,*,#6060,.F.); +#6063=ORIENTED_EDGE('',*,*,#6062,.T.); +#6065=ORIENTED_EDGE('',*,*,#6064,.T.); +#6067=ORIENTED_EDGE('',*,*,#6066,.F.); +#6069=ORIENTED_EDGE('',*,*,#6068,.F.); +#6071=ORIENTED_EDGE('',*,*,#6070,.F.); +#6073=ORIENTED_EDGE('',*,*,#6072,.T.); +#6075=ORIENTED_EDGE('',*,*,#6074,.F.); +#6076=EDGE_LOOP('',(#6061,#6063,#6065,#6067,#6069,#6071,#6073,#6075)); +#6077=FACE_OUTER_BOUND('',#6076,.F.); +#6079=CARTESIAN_POINT('',(-2.6162E1,-4.6736E0,-8.89E0)); +#6080=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6081=DIRECTION('',(0.E0,1.E0,0.E0)); +#6082=AXIS2_PLACEMENT_3D('',#6079,#6080,#6081); +#6083=PLANE('',#6082); +#6085=ORIENTED_EDGE('',*,*,#6084,.F.); +#6087=ORIENTED_EDGE('',*,*,#6086,.F.); +#6089=ORIENTED_EDGE('',*,*,#6088,.T.); +#6091=ORIENTED_EDGE('',*,*,#6090,.F.); +#6093=ORIENTED_EDGE('',*,*,#6092,.F.); +#6095=ORIENTED_EDGE('',*,*,#6094,.F.); +#6096=ORIENTED_EDGE('',*,*,#6068,.T.); +#6098=ORIENTED_EDGE('',*,*,#6097,.F.); +#6099=EDGE_LOOP('',(#6085,#6087,#6089,#6091,#6093,#6095,#6096,#6098)); +#6100=FACE_OUTER_BOUND('',#6099,.F.); +#6102=CARTESIAN_POINT('',(-2.6162E1,-4.6736E0,-8.89E0)); +#6103=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6104=DIRECTION('',(0.E0,1.E0,0.E0)); +#6105=AXIS2_PLACEMENT_3D('',#6102,#6103,#6104); +#6106=PLANE('',#6105); +#6108=ORIENTED_EDGE('',*,*,#6107,.F.); +#6110=ORIENTED_EDGE('',*,*,#6109,.F.); +#6111=ORIENTED_EDGE('',*,*,#6060,.T.); +#6113=ORIENTED_EDGE('',*,*,#6112,.F.); +#6115=ORIENTED_EDGE('',*,*,#6114,.T.); +#6117=ORIENTED_EDGE('',*,*,#6116,.F.); +#6119=ORIENTED_EDGE('',*,*,#6118,.T.); +#6121=ORIENTED_EDGE('',*,*,#6120,.F.); +#6122=EDGE_LOOP('',(#6108,#6110,#6111,#6113,#6115,#6117,#6119,#6121)); +#6123=FACE_OUTER_BOUND('',#6122,.F.); +#6125=CARTESIAN_POINT('',(-2.4003E1,3.81E0,-8.89E0)); +#6126=DIRECTION('',(0.E0,1.E0,0.E0)); +#6127=DIRECTION('',(1.E0,0.E0,0.E0)); +#6128=AXIS2_PLACEMENT_3D('',#6125,#6126,#6127); +#6129=PLANE('',#6128); +#6131=ORIENTED_EDGE('',*,*,#6130,.F.); +#6133=ORIENTED_EDGE('',*,*,#6132,.F.); +#6134=ORIENTED_EDGE('',*,*,#6084,.T.); +#6136=ORIENTED_EDGE('',*,*,#6135,.T.); +#6138=ORIENTED_EDGE('',*,*,#6137,.F.); +#6140=ORIENTED_EDGE('',*,*,#6139,.F.); +#6142=ORIENTED_EDGE('',*,*,#6141,.T.); +#6144=ORIENTED_EDGE('',*,*,#6143,.F.); +#6146=ORIENTED_EDGE('',*,*,#6145,.T.); +#6148=ORIENTED_EDGE('',*,*,#6147,.F.); +#6150=ORIENTED_EDGE('',*,*,#6149,.F.); +#6152=ORIENTED_EDGE('',*,*,#6151,.F.); +#6153=EDGE_LOOP('',(#6131,#6133,#6134,#6136,#6138,#6140,#6142,#6144,#6146,#6148, +#6150,#6152)); +#6154=FACE_OUTER_BOUND('',#6153,.F.); +#6156=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.0922E1)); +#6157=DIRECTION('',(0.E0,0.E0,1.E0)); +#6158=DIRECTION('',(1.E0,0.E0,0.E0)); +#6159=AXIS2_PLACEMENT_3D('',#6156,#6157,#6158); +#6160=PLANE('',#6159); +#6162=ORIENTED_EDGE('',*,*,#6161,.F.); +#6164=ORIENTED_EDGE('',*,*,#6163,.F.); +#6165=ORIENTED_EDGE('',*,*,#6130,.T.); +#6167=ORIENTED_EDGE('',*,*,#6166,.T.); +#6169=ORIENTED_EDGE('',*,*,#6168,.T.); +#6171=ORIENTED_EDGE('',*,*,#6170,.T.); +#6173=ORIENTED_EDGE('',*,*,#6172,.T.); +#6175=ORIENTED_EDGE('',*,*,#6174,.F.); +#6176=EDGE_LOOP('',(#6162,#6164,#6165,#6167,#6169,#6171,#6173,#6175)); +#6177=FACE_OUTER_BOUND('',#6176,.F.); +#6179=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.0922E1)); +#6180=DIRECTION('',(0.E0,0.E0,1.E0)); +#6181=DIRECTION('',(1.E0,0.E0,0.E0)); +#6182=AXIS2_PLACEMENT_3D('',#6179,#6180,#6181); +#6183=PLANE('',#6182); +#6184=ORIENTED_EDGE('',*,*,#6064,.F.); +#6186=ORIENTED_EDGE('',*,*,#6185,.F.); +#6188=ORIENTED_EDGE('',*,*,#6187,.T.); +#6190=ORIENTED_EDGE('',*,*,#6189,.T.); +#6192=ORIENTED_EDGE('',*,*,#6191,.F.); +#6194=ORIENTED_EDGE('',*,*,#6193,.T.); +#6195=ORIENTED_EDGE('',*,*,#6137,.T.); +#6197=ORIENTED_EDGE('',*,*,#6196,.F.); +#6198=EDGE_LOOP('',(#6184,#6186,#6188,#6190,#6192,#6194,#6195,#6197)); +#6199=FACE_OUTER_BOUND('',#6198,.F.); +#6201=CARTESIAN_POINT('',(2.6162E1,0.E0,0.E0)); +#6202=DIRECTION('',(1.E0,0.E0,0.E0)); +#6203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6204=AXIS2_PLACEMENT_3D('',#6201,#6202,#6203); +#6205=PLANE('',#6204); +#6206=ORIENTED_EDGE('',*,*,#6088,.F.); +#6208=ORIENTED_EDGE('',*,*,#6207,.T.); +#6209=ORIENTED_EDGE('',*,*,#6161,.T.); +#6211=ORIENTED_EDGE('',*,*,#6210,.F.); +#6212=ORIENTED_EDGE('',*,*,#6118,.F.); +#6214=ORIENTED_EDGE('',*,*,#6213,.F.); +#6216=ORIENTED_EDGE('',*,*,#6215,.F.); +#6218=ORIENTED_EDGE('',*,*,#6217,.F.); +#6219=EDGE_LOOP('',(#6206,#6208,#6209,#6211,#6212,#6214,#6216,#6218)); +#6220=FACE_OUTER_BOUND('',#6219,.F.); +#6222=CARTESIAN_POINT('',(2.54E1,3.048E0,2.237107039386E-2)); +#6223=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6224=DIRECTION('',(0.E0,1.E0,0.E0)); +#6225=AXIS2_PLACEMENT_3D('',#6222,#6223,#6224); +#6226=CYLINDRICAL_SURFACE('',#6225,7.62E-1); +#6227=ORIENTED_EDGE('',*,*,#6086,.T.); +#6228=ORIENTED_EDGE('',*,*,#6132,.T.); +#6229=ORIENTED_EDGE('',*,*,#6163,.T.); +#6230=ORIENTED_EDGE('',*,*,#6207,.F.); +#6231=EDGE_LOOP('',(#6227,#6228,#6229,#6230)); +#6232=FACE_OUTER_BOUND('',#6231,.F.); +#6234=CARTESIAN_POINT('',(2.54E1,-3.048E0,2.041459422867E-2)); +#6235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6236=DIRECTION('',(1.E0,0.E0,0.E0)); +#6237=AXIS2_PLACEMENT_3D('',#6234,#6235,#6236); +#6238=CYLINDRICAL_SURFACE('',#6237,7.62E-1); +#6239=ORIENTED_EDGE('',*,*,#6120,.T.); +#6240=ORIENTED_EDGE('',*,*,#6210,.T.); +#6241=ORIENTED_EDGE('',*,*,#6174,.T.); +#6243=ORIENTED_EDGE('',*,*,#6242,.F.); +#6244=EDGE_LOOP('',(#6239,#6240,#6241,#6243)); +#6245=FACE_OUTER_BOUND('',#6244,.F.); +#6247=CARTESIAN_POINT('',(2.4003E1,-3.81E0,-8.89E0)); +#6248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6250=AXIS2_PLACEMENT_3D('',#6247,#6248,#6249); +#6251=PLANE('',#6250); +#6252=ORIENTED_EDGE('',*,*,#6172,.F.); +#6254=ORIENTED_EDGE('',*,*,#6253,.F.); +#6256=ORIENTED_EDGE('',*,*,#6255,.T.); +#6258=ORIENTED_EDGE('',*,*,#6257,.F.); +#6260=ORIENTED_EDGE('',*,*,#6259,.T.); +#6262=ORIENTED_EDGE('',*,*,#6261,.F.); +#6264=ORIENTED_EDGE('',*,*,#6263,.F.); +#6266=ORIENTED_EDGE('',*,*,#6265,.F.); +#6267=ORIENTED_EDGE('',*,*,#6187,.F.); +#6269=ORIENTED_EDGE('',*,*,#6268,.F.); +#6270=ORIENTED_EDGE('',*,*,#6107,.T.); +#6271=ORIENTED_EDGE('',*,*,#6242,.T.); +#6272=EDGE_LOOP('',(#6252,#6254,#6256,#6258,#6260,#6262,#6264,#6266,#6267,#6269, +#6270,#6271)); +#6273=FACE_OUTER_BOUND('',#6272,.F.); +#6275=CARTESIAN_POINT('',(2.4003E1,-3.048E0,-8.89E0)); +#6276=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6277=DIRECTION('',(1.E0,0.E0,0.E0)); +#6278=AXIS2_PLACEMENT_3D('',#6275,#6276,#6277); +#6279=CYLINDRICAL_SURFACE('',#6278,7.62E-1); +#6281=ORIENTED_EDGE('',*,*,#6280,.T.); +#6283=ORIENTED_EDGE('',*,*,#6282,.T.); +#6284=ORIENTED_EDGE('',*,*,#6253,.T.); +#6285=ORIENTED_EDGE('',*,*,#6170,.F.); +#6286=EDGE_LOOP('',(#6281,#6283,#6284,#6285)); +#6287=FACE_OUTER_BOUND('',#6286,.F.); +#6289=CARTESIAN_POINT('',(2.4765E1,3.048E0,-8.89E0)); +#6290=DIRECTION('',(1.E0,0.E0,0.E0)); +#6291=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6292=AXIS2_PLACEMENT_3D('',#6289,#6290,#6291); +#6293=PLANE('',#6292); +#6295=ORIENTED_EDGE('',*,*,#6294,.F.); +#6297=ORIENTED_EDGE('',*,*,#6296,.F.); +#6299=ORIENTED_EDGE('',*,*,#6298,.F.); +#6301=ORIENTED_EDGE('',*,*,#6300,.F.); +#6303=ORIENTED_EDGE('',*,*,#6302,.T.); +#6305=ORIENTED_EDGE('',*,*,#6304,.F.); +#6307=ORIENTED_EDGE('',*,*,#6306,.F.); +#6309=ORIENTED_EDGE('',*,*,#6308,.F.); +#6310=ORIENTED_EDGE('',*,*,#6280,.F.); +#6311=ORIENTED_EDGE('',*,*,#6168,.F.); +#6312=EDGE_LOOP('',(#6295,#6297,#6299,#6301,#6303,#6305,#6307,#6309,#6310, +#6311)); +#6313=FACE_OUTER_BOUND('',#6312,.F.); +#6315=CARTESIAN_POINT('',(2.4003E1,3.048E0,-8.89E0)); +#6316=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6317=DIRECTION('',(1.E0,0.E0,0.E0)); +#6318=AXIS2_PLACEMENT_3D('',#6315,#6316,#6317); +#6319=CYLINDRICAL_SURFACE('',#6318,7.62E-1); +#6320=ORIENTED_EDGE('',*,*,#6151,.T.); +#6322=ORIENTED_EDGE('',*,*,#6321,.T.); +#6323=ORIENTED_EDGE('',*,*,#6294,.T.); +#6324=ORIENTED_EDGE('',*,*,#6166,.F.); +#6325=EDGE_LOOP('',(#6320,#6322,#6323,#6324)); +#6326=FACE_OUTER_BOUND('',#6325,.F.); +#6328=CARTESIAN_POINT('',(2.4765E1,2.54E0,-1.5494E1)); +#6329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6330=DIRECTION('',(0.E0,1.E0,0.E0)); +#6331=AXIS2_PLACEMENT_3D('',#6328,#6329,#6330); +#6332=PLANE('',#6331); +#6333=ORIENTED_EDGE('',*,*,#6321,.F.); +#6334=ORIENTED_EDGE('',*,*,#6149,.T.); +#6336=ORIENTED_EDGE('',*,*,#6335,.T.); +#6338=ORIENTED_EDGE('',*,*,#6337,.T.); +#6339=ORIENTED_EDGE('',*,*,#6296,.T.); +#6340=EDGE_LOOP('',(#6333,#6334,#6336,#6338,#6339)); +#6341=FACE_OUTER_BOUND('',#6340,.F.); +#6343=CARTESIAN_POINT('',(2.286E1,0.E0,-1.397E1)); +#6344=DIRECTION('',(1.E0,0.E0,0.E0)); +#6345=DIRECTION('',(0.E0,1.E0,0.E0)); +#6346=AXIS2_PLACEMENT_3D('',#6343,#6344,#6345); +#6347=PLANE('',#6346); +#6349=ORIENTED_EDGE('',*,*,#6348,.T.); +#6351=ORIENTED_EDGE('',*,*,#6350,.T.); +#6353=ORIENTED_EDGE('',*,*,#6352,.F.); +#6354=ORIENTED_EDGE('',*,*,#6335,.F.); +#6355=ORIENTED_EDGE('',*,*,#6147,.T.); +#6356=EDGE_LOOP('',(#6349,#6351,#6353,#6354,#6355)); +#6357=FACE_OUTER_BOUND('',#6356,.F.); +#6359=CARTESIAN_POINT('',(2.286E1,0.E0,-1.397E1)); +#6360=DIRECTION('',(1.E0,0.E0,0.E0)); +#6361=DIRECTION('',(0.E0,1.E0,0.E0)); +#6362=AXIS2_PLACEMENT_3D('',#6359,#6360,#6361); +#6363=PLANE('',#6362); +#6365=ORIENTED_EDGE('',*,*,#6364,.T.); +#6366=ORIENTED_EDGE('',*,*,#6257,.T.); +#6368=ORIENTED_EDGE('',*,*,#6367,.T.); +#6370=ORIENTED_EDGE('',*,*,#6369,.T.); +#6372=ORIENTED_EDGE('',*,*,#6371,.T.); +#6373=EDGE_LOOP('',(#6365,#6366,#6368,#6370,#6372)); +#6374=FACE_OUTER_BOUND('',#6373,.F.); +#6376=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.397E1)); +#6377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6378=DIRECTION('',(1.E0,0.E0,0.E0)); +#6379=AXIS2_PLACEMENT_3D('',#6376,#6377,#6378); +#6380=PLANE('',#6379); +#6382=ORIENTED_EDGE('',*,*,#6381,.T.); +#6384=ORIENTED_EDGE('',*,*,#6383,.T.); +#6386=ORIENTED_EDGE('',*,*,#6385,.F.); +#6388=ORIENTED_EDGE('',*,*,#6387,.F.); +#6390=ORIENTED_EDGE('',*,*,#6389,.T.); +#6391=ORIENTED_EDGE('',*,*,#6259,.F.); +#6392=ORIENTED_EDGE('',*,*,#6364,.F.); +#6394=ORIENTED_EDGE('',*,*,#6393,.T.); +#6395=ORIENTED_EDGE('',*,*,#6302,.F.); +#6397=ORIENTED_EDGE('',*,*,#6396,.F.); +#6398=ORIENTED_EDGE('',*,*,#6348,.F.); +#6399=ORIENTED_EDGE('',*,*,#6145,.F.); +#6400=EDGE_LOOP('',(#6382,#6384,#6386,#6388,#6390,#6391,#6392,#6394,#6395,#6397, +#6398,#6399)); +#6401=FACE_OUTER_BOUND('',#6400,.F.); +#6403=ORIENTED_EDGE('',*,*,#6402,.T.); +#6405=ORIENTED_EDGE('',*,*,#6404,.T.); +#6407=ORIENTED_EDGE('',*,*,#6406,.T.); +#6409=ORIENTED_EDGE('',*,*,#6408,.T.); +#6411=ORIENTED_EDGE('',*,*,#6410,.T.); +#6413=ORIENTED_EDGE('',*,*,#6412,.T.); +#6415=ORIENTED_EDGE('',*,*,#6414,.T.); +#6416=EDGE_LOOP('',(#6403,#6405,#6407,#6409,#6411,#6413,#6415)); +#6417=FACE_BOUND('',#6416,.F.); +#6419=ORIENTED_EDGE('',*,*,#6418,.T.); +#6421=ORIENTED_EDGE('',*,*,#6420,.T.); +#6423=ORIENTED_EDGE('',*,*,#6422,.T.); +#6425=ORIENTED_EDGE('',*,*,#6424,.T.); +#6427=ORIENTED_EDGE('',*,*,#6426,.T.); +#6429=ORIENTED_EDGE('',*,*,#6428,.T.); +#6431=ORIENTED_EDGE('',*,*,#6430,.T.); +#6433=ORIENTED_EDGE('',*,*,#6432,.T.); +#6435=ORIENTED_EDGE('',*,*,#6434,.T.); +#6437=ORIENTED_EDGE('',*,*,#6436,.T.); +#6439=ORIENTED_EDGE('',*,*,#6438,.T.); +#6441=ORIENTED_EDGE('',*,*,#6440,.T.); +#6443=ORIENTED_EDGE('',*,*,#6442,.T.); +#6445=ORIENTED_EDGE('',*,*,#6444,.T.); +#6447=ORIENTED_EDGE('',*,*,#6446,.T.); +#6449=ORIENTED_EDGE('',*,*,#6448,.T.); +#6451=ORIENTED_EDGE('',*,*,#6450,.T.); +#6453=ORIENTED_EDGE('',*,*,#6452,.T.); +#6455=ORIENTED_EDGE('',*,*,#6454,.T.); +#6457=ORIENTED_EDGE('',*,*,#6456,.T.); +#6459=ORIENTED_EDGE('',*,*,#6458,.T.); +#6461=ORIENTED_EDGE('',*,*,#6460,.T.); +#6463=ORIENTED_EDGE('',*,*,#6462,.T.); +#6465=ORIENTED_EDGE('',*,*,#6464,.T.); +#6467=ORIENTED_EDGE('',*,*,#6466,.T.); +#6469=ORIENTED_EDGE('',*,*,#6468,.T.); +#6471=ORIENTED_EDGE('',*,*,#6470,.T.); +#6473=ORIENTED_EDGE('',*,*,#6472,.T.); +#6474=EDGE_LOOP('',(#6419,#6421,#6423,#6425,#6427,#6429,#6431,#6433,#6435,#6437, +#6439,#6441,#6443,#6445,#6447,#6449,#6451,#6453,#6455,#6457,#6459,#6461,#6463, +#6465,#6467,#6469,#6471,#6473)); +#6475=FACE_BOUND('',#6474,.F.); +#6477=ORIENTED_EDGE('',*,*,#6476,.F.); +#6479=ORIENTED_EDGE('',*,*,#6478,.F.); +#6481=ORIENTED_EDGE('',*,*,#6480,.F.); +#6483=ORIENTED_EDGE('',*,*,#6482,.T.); +#6484=EDGE_LOOP('',(#6477,#6479,#6481,#6483)); +#6485=FACE_BOUND('',#6484,.F.); +#6487=ORIENTED_EDGE('',*,*,#6486,.F.); +#6489=ORIENTED_EDGE('',*,*,#6488,.F.); +#6491=ORIENTED_EDGE('',*,*,#6490,.F.); +#6493=ORIENTED_EDGE('',*,*,#6492,.T.); +#6494=EDGE_LOOP('',(#6487,#6489,#6491,#6493)); +#6495=FACE_BOUND('',#6494,.F.); +#6497=ORIENTED_EDGE('',*,*,#6496,.F.); +#6499=ORIENTED_EDGE('',*,*,#6498,.F.); +#6501=ORIENTED_EDGE('',*,*,#6500,.F.); +#6503=ORIENTED_EDGE('',*,*,#6502,.T.); +#6504=EDGE_LOOP('',(#6497,#6499,#6501,#6503)); +#6505=FACE_BOUND('',#6504,.F.); +#6507=ORIENTED_EDGE('',*,*,#6506,.F.); +#6509=ORIENTED_EDGE('',*,*,#6508,.F.); +#6511=ORIENTED_EDGE('',*,*,#6510,.F.); +#6513=ORIENTED_EDGE('',*,*,#6512,.T.); +#6514=EDGE_LOOP('',(#6507,#6509,#6511,#6513)); +#6515=FACE_BOUND('',#6514,.F.); +#6517=ORIENTED_EDGE('',*,*,#6516,.F.); +#6519=ORIENTED_EDGE('',*,*,#6518,.F.); +#6521=ORIENTED_EDGE('',*,*,#6520,.F.); +#6523=ORIENTED_EDGE('',*,*,#6522,.T.); +#6524=EDGE_LOOP('',(#6517,#6519,#6521,#6523)); +#6525=FACE_BOUND('',#6524,.F.); +#6527=ORIENTED_EDGE('',*,*,#6526,.F.); +#6529=ORIENTED_EDGE('',*,*,#6528,.F.); +#6531=ORIENTED_EDGE('',*,*,#6530,.F.); +#6533=ORIENTED_EDGE('',*,*,#6532,.T.); +#6534=EDGE_LOOP('',(#6527,#6529,#6531,#6533)); +#6535=FACE_BOUND('',#6534,.F.); +#6537=ORIENTED_EDGE('',*,*,#6536,.F.); +#6539=ORIENTED_EDGE('',*,*,#6538,.F.); +#6541=ORIENTED_EDGE('',*,*,#6540,.F.); +#6543=ORIENTED_EDGE('',*,*,#6542,.T.); +#6544=EDGE_LOOP('',(#6537,#6539,#6541,#6543)); +#6545=FACE_BOUND('',#6544,.F.); +#6547=ORIENTED_EDGE('',*,*,#6546,.F.); +#6549=ORIENTED_EDGE('',*,*,#6548,.F.); +#6551=ORIENTED_EDGE('',*,*,#6550,.F.); +#6553=ORIENTED_EDGE('',*,*,#6552,.T.); +#6554=EDGE_LOOP('',(#6547,#6549,#6551,#6553)); +#6555=FACE_BOUND('',#6554,.F.); +#6557=ORIENTED_EDGE('',*,*,#6556,.F.); +#6559=ORIENTED_EDGE('',*,*,#6558,.F.); +#6561=ORIENTED_EDGE('',*,*,#6560,.F.); +#6563=ORIENTED_EDGE('',*,*,#6562,.T.); +#6564=EDGE_LOOP('',(#6557,#6559,#6561,#6563)); +#6565=FACE_BOUND('',#6564,.F.); +#6567=ORIENTED_EDGE('',*,*,#6566,.F.); +#6569=ORIENTED_EDGE('',*,*,#6568,.F.); +#6571=ORIENTED_EDGE('',*,*,#6570,.F.); +#6573=ORIENTED_EDGE('',*,*,#6572,.T.); +#6574=EDGE_LOOP('',(#6567,#6569,#6571,#6573)); +#6575=FACE_BOUND('',#6574,.F.); +#6577=ORIENTED_EDGE('',*,*,#6576,.F.); +#6579=ORIENTED_EDGE('',*,*,#6578,.F.); +#6581=ORIENTED_EDGE('',*,*,#6580,.F.); +#6583=ORIENTED_EDGE('',*,*,#6582,.T.); +#6584=EDGE_LOOP('',(#6577,#6579,#6581,#6583)); +#6585=FACE_BOUND('',#6584,.F.); +#6587=ORIENTED_EDGE('',*,*,#6586,.F.); +#6589=ORIENTED_EDGE('',*,*,#6588,.F.); +#6591=ORIENTED_EDGE('',*,*,#6590,.F.); +#6593=ORIENTED_EDGE('',*,*,#6592,.T.); +#6594=EDGE_LOOP('',(#6587,#6589,#6591,#6593)); +#6595=FACE_BOUND('',#6594,.F.); +#6597=ORIENTED_EDGE('',*,*,#6596,.F.); +#6599=ORIENTED_EDGE('',*,*,#6598,.F.); +#6601=ORIENTED_EDGE('',*,*,#6600,.F.); +#6603=ORIENTED_EDGE('',*,*,#6602,.T.); +#6604=EDGE_LOOP('',(#6597,#6599,#6601,#6603)); +#6605=FACE_BOUND('',#6604,.F.); +#6607=ORIENTED_EDGE('',*,*,#6606,.F.); +#6609=ORIENTED_EDGE('',*,*,#6608,.F.); +#6611=ORIENTED_EDGE('',*,*,#6610,.F.); +#6613=ORIENTED_EDGE('',*,*,#6612,.T.); +#6614=EDGE_LOOP('',(#6607,#6609,#6611,#6613)); +#6615=FACE_BOUND('',#6614,.F.); +#6617=ORIENTED_EDGE('',*,*,#6616,.F.); +#6619=ORIENTED_EDGE('',*,*,#6618,.F.); +#6621=ORIENTED_EDGE('',*,*,#6620,.F.); +#6623=ORIENTED_EDGE('',*,*,#6622,.T.); +#6624=EDGE_LOOP('',(#6617,#6619,#6621,#6623)); +#6625=FACE_BOUND('',#6624,.F.); +#6627=ORIENTED_EDGE('',*,*,#6626,.F.); +#6629=ORIENTED_EDGE('',*,*,#6628,.F.); +#6631=ORIENTED_EDGE('',*,*,#6630,.F.); +#6633=ORIENTED_EDGE('',*,*,#6632,.T.); +#6634=EDGE_LOOP('',(#6627,#6629,#6631,#6633)); +#6635=FACE_BOUND('',#6634,.F.); +#6637=ORIENTED_EDGE('',*,*,#6636,.F.); +#6639=ORIENTED_EDGE('',*,*,#6638,.F.); +#6641=ORIENTED_EDGE('',*,*,#6640,.F.); +#6643=ORIENTED_EDGE('',*,*,#6642,.T.); +#6644=EDGE_LOOP('',(#6637,#6639,#6641,#6643)); +#6645=FACE_BOUND('',#6644,.F.); +#6647=ORIENTED_EDGE('',*,*,#6646,.F.); +#6649=ORIENTED_EDGE('',*,*,#6648,.F.); +#6651=ORIENTED_EDGE('',*,*,#6650,.F.); +#6653=ORIENTED_EDGE('',*,*,#6652,.T.); +#6654=EDGE_LOOP('',(#6647,#6649,#6651,#6653)); +#6655=FACE_BOUND('',#6654,.F.); +#6657=ORIENTED_EDGE('',*,*,#6656,.F.); +#6659=ORIENTED_EDGE('',*,*,#6658,.F.); +#6661=ORIENTED_EDGE('',*,*,#6660,.F.); +#6663=ORIENTED_EDGE('',*,*,#6662,.T.); +#6664=EDGE_LOOP('',(#6657,#6659,#6661,#6663)); +#6665=FACE_BOUND('',#6664,.F.); +#6667=ORIENTED_EDGE('',*,*,#6666,.F.); +#6669=ORIENTED_EDGE('',*,*,#6668,.F.); +#6671=ORIENTED_EDGE('',*,*,#6670,.F.); +#6673=ORIENTED_EDGE('',*,*,#6672,.T.); +#6674=EDGE_LOOP('',(#6667,#6669,#6671,#6673)); +#6675=FACE_BOUND('',#6674,.F.); +#6677=ORIENTED_EDGE('',*,*,#6676,.F.); +#6679=ORIENTED_EDGE('',*,*,#6678,.F.); +#6681=ORIENTED_EDGE('',*,*,#6680,.F.); +#6683=ORIENTED_EDGE('',*,*,#6682,.T.); +#6684=EDGE_LOOP('',(#6677,#6679,#6681,#6683)); +#6685=FACE_BOUND('',#6684,.F.); +#6687=ORIENTED_EDGE('',*,*,#6686,.F.); +#6689=ORIENTED_EDGE('',*,*,#6688,.F.); +#6691=ORIENTED_EDGE('',*,*,#6690,.F.); +#6693=ORIENTED_EDGE('',*,*,#6692,.T.); +#6694=EDGE_LOOP('',(#6687,#6689,#6691,#6693)); +#6695=FACE_BOUND('',#6694,.F.); +#6697=ORIENTED_EDGE('',*,*,#6696,.F.); +#6699=ORIENTED_EDGE('',*,*,#6698,.F.); +#6701=ORIENTED_EDGE('',*,*,#6700,.F.); +#6703=ORIENTED_EDGE('',*,*,#6702,.T.); +#6704=EDGE_LOOP('',(#6697,#6699,#6701,#6703)); +#6705=FACE_BOUND('',#6704,.F.); +#6707=ORIENTED_EDGE('',*,*,#6706,.F.); +#6709=ORIENTED_EDGE('',*,*,#6708,.F.); +#6711=ORIENTED_EDGE('',*,*,#6710,.F.); +#6713=ORIENTED_EDGE('',*,*,#6712,.T.); +#6714=EDGE_LOOP('',(#6707,#6709,#6711,#6713)); +#6715=FACE_BOUND('',#6714,.F.); +#6717=ORIENTED_EDGE('',*,*,#6716,.F.); +#6719=ORIENTED_EDGE('',*,*,#6718,.F.); +#6721=ORIENTED_EDGE('',*,*,#6720,.F.); +#6723=ORIENTED_EDGE('',*,*,#6722,.T.); +#6724=EDGE_LOOP('',(#6717,#6719,#6721,#6723)); +#6725=FACE_BOUND('',#6724,.F.); +#6727=ORIENTED_EDGE('',*,*,#6726,.F.); +#6729=ORIENTED_EDGE('',*,*,#6728,.F.); +#6731=ORIENTED_EDGE('',*,*,#6730,.F.); +#6733=ORIENTED_EDGE('',*,*,#6732,.T.); +#6734=EDGE_LOOP('',(#6727,#6729,#6731,#6733)); +#6735=FACE_BOUND('',#6734,.F.); +#6737=ORIENTED_EDGE('',*,*,#6736,.F.); +#6739=ORIENTED_EDGE('',*,*,#6738,.F.); +#6741=ORIENTED_EDGE('',*,*,#6740,.F.); +#6743=ORIENTED_EDGE('',*,*,#6742,.T.); +#6744=EDGE_LOOP('',(#6737,#6739,#6741,#6743)); +#6745=FACE_BOUND('',#6744,.F.); +#6747=ORIENTED_EDGE('',*,*,#6746,.F.); +#6749=ORIENTED_EDGE('',*,*,#6748,.F.); +#6751=ORIENTED_EDGE('',*,*,#6750,.F.); +#6753=ORIENTED_EDGE('',*,*,#6752,.T.); +#6754=EDGE_LOOP('',(#6747,#6749,#6751,#6753)); +#6755=FACE_BOUND('',#6754,.F.); +#6757=ORIENTED_EDGE('',*,*,#6756,.F.); +#6759=ORIENTED_EDGE('',*,*,#6758,.F.); +#6761=ORIENTED_EDGE('',*,*,#6760,.F.); +#6763=ORIENTED_EDGE('',*,*,#6762,.T.); +#6764=EDGE_LOOP('',(#6757,#6759,#6761,#6763)); +#6765=FACE_BOUND('',#6764,.F.); +#6767=ORIENTED_EDGE('',*,*,#6766,.F.); +#6769=ORIENTED_EDGE('',*,*,#6768,.F.); +#6771=ORIENTED_EDGE('',*,*,#6770,.F.); +#6773=ORIENTED_EDGE('',*,*,#6772,.T.); +#6774=EDGE_LOOP('',(#6767,#6769,#6771,#6773)); +#6775=FACE_BOUND('',#6774,.F.); +#6777=ORIENTED_EDGE('',*,*,#6776,.F.); +#6779=ORIENTED_EDGE('',*,*,#6778,.F.); +#6781=ORIENTED_EDGE('',*,*,#6780,.F.); +#6783=ORIENTED_EDGE('',*,*,#6782,.T.); +#6784=EDGE_LOOP('',(#6777,#6779,#6781,#6783)); +#6785=FACE_BOUND('',#6784,.F.); +#6787=ORIENTED_EDGE('',*,*,#6786,.F.); +#6789=ORIENTED_EDGE('',*,*,#6788,.F.); +#6791=ORIENTED_EDGE('',*,*,#6790,.F.); +#6793=ORIENTED_EDGE('',*,*,#6792,.T.); +#6794=EDGE_LOOP('',(#6787,#6789,#6791,#6793)); +#6795=FACE_BOUND('',#6794,.F.); +#6797=ORIENTED_EDGE('',*,*,#6796,.F.); +#6799=ORIENTED_EDGE('',*,*,#6798,.F.); +#6801=ORIENTED_EDGE('',*,*,#6800,.F.); +#6803=ORIENTED_EDGE('',*,*,#6802,.T.); +#6804=EDGE_LOOP('',(#6797,#6799,#6801,#6803)); +#6805=FACE_BOUND('',#6804,.F.); +#6807=ORIENTED_EDGE('',*,*,#6806,.F.); +#6809=ORIENTED_EDGE('',*,*,#6808,.F.); +#6811=ORIENTED_EDGE('',*,*,#6810,.F.); +#6813=ORIENTED_EDGE('',*,*,#6812,.T.); +#6814=EDGE_LOOP('',(#6807,#6809,#6811,#6813)); +#6815=FACE_BOUND('',#6814,.F.); +#6817=ORIENTED_EDGE('',*,*,#6816,.F.); +#6819=ORIENTED_EDGE('',*,*,#6818,.F.); +#6821=ORIENTED_EDGE('',*,*,#6820,.F.); +#6823=ORIENTED_EDGE('',*,*,#6822,.T.); +#6824=EDGE_LOOP('',(#6817,#6819,#6821,#6823)); +#6825=FACE_BOUND('',#6824,.F.); +#6827=ORIENTED_EDGE('',*,*,#6826,.F.); +#6829=ORIENTED_EDGE('',*,*,#6828,.F.); +#6831=ORIENTED_EDGE('',*,*,#6830,.F.); +#6833=ORIENTED_EDGE('',*,*,#6832,.T.); +#6834=EDGE_LOOP('',(#6827,#6829,#6831,#6833)); +#6835=FACE_BOUND('',#6834,.F.); +#6837=CARTESIAN_POINT('',(-2.286E1,0.E0,-1.397E1)); +#6838=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6839=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6840=AXIS2_PLACEMENT_3D('',#6837,#6838,#6839); +#6841=PLANE('',#6840); +#6842=ORIENTED_EDGE('',*,*,#6381,.F.); +#6843=ORIENTED_EDGE('',*,*,#6143,.T.); +#6845=ORIENTED_EDGE('',*,*,#6844,.T.); +#6847=ORIENTED_EDGE('',*,*,#6846,.T.); +#6849=ORIENTED_EDGE('',*,*,#6848,.T.); +#6850=EDGE_LOOP('',(#6842,#6843,#6845,#6847,#6849)); +#6851=FACE_OUTER_BOUND('',#6850,.F.); +#6853=CARTESIAN_POINT('',(-2.286E1,0.E0,-1.397E1)); +#6854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6855=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6856=AXIS2_PLACEMENT_3D('',#6853,#6854,#6855); +#6857=PLANE('',#6856); +#6858=ORIENTED_EDGE('',*,*,#6389,.F.); +#6860=ORIENTED_EDGE('',*,*,#6859,.T.); +#6862=ORIENTED_EDGE('',*,*,#6861,.T.); +#6864=ORIENTED_EDGE('',*,*,#6863,.T.); +#6865=ORIENTED_EDGE('',*,*,#6261,.T.); +#6866=EDGE_LOOP('',(#6858,#6860,#6862,#6864,#6865)); +#6867=FACE_OUTER_BOUND('',#6866,.F.); +#6869=CARTESIAN_POINT('',(-2.4765E1,3.81E0,-1.5494E1)); +#6870=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6872=AXIS2_PLACEMENT_3D('',#6869,#6870,#6871); +#6873=PLANE('',#6872); +#6875=ORIENTED_EDGE('',*,*,#6874,.F.); +#6877=ORIENTED_EDGE('',*,*,#6876,.T.); +#6879=ORIENTED_EDGE('',*,*,#6878,.T.); +#6880=ORIENTED_EDGE('',*,*,#6844,.F.); +#6881=ORIENTED_EDGE('',*,*,#6141,.F.); +#6882=EDGE_LOOP('',(#6875,#6877,#6879,#6880,#6881)); +#6883=FACE_OUTER_BOUND('',#6882,.F.); +#6885=CARTESIAN_POINT('',(-2.4003E1,3.048E0,-8.89E0)); +#6886=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6887=DIRECTION('',(1.E0,0.E0,0.E0)); +#6888=AXIS2_PLACEMENT_3D('',#6885,#6886,#6887); +#6889=CYLINDRICAL_SURFACE('',#6888,7.62E-1); +#6891=ORIENTED_EDGE('',*,*,#6890,.T.); +#6892=ORIENTED_EDGE('',*,*,#6874,.T.); +#6893=ORIENTED_EDGE('',*,*,#6139,.T.); +#6894=ORIENTED_EDGE('',*,*,#6193,.F.); +#6895=EDGE_LOOP('',(#6891,#6892,#6893,#6894)); +#6896=FACE_OUTER_BOUND('',#6895,.F.); +#6898=CARTESIAN_POINT('',(-2.4765E1,-3.048E0,-8.89E0)); +#6899=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6900=DIRECTION('',(0.E0,1.E0,0.E0)); +#6901=AXIS2_PLACEMENT_3D('',#6898,#6899,#6900); +#6902=PLANE('',#6901); +#6904=ORIENTED_EDGE('',*,*,#6903,.F.); +#6906=ORIENTED_EDGE('',*,*,#6905,.F.); +#6908=ORIENTED_EDGE('',*,*,#6907,.F.); +#6910=ORIENTED_EDGE('',*,*,#6909,.F.); +#6911=ORIENTED_EDGE('',*,*,#6385,.T.); +#6913=ORIENTED_EDGE('',*,*,#6912,.F.); +#6915=ORIENTED_EDGE('',*,*,#6914,.F.); +#6916=ORIENTED_EDGE('',*,*,#6876,.F.); +#6917=ORIENTED_EDGE('',*,*,#6890,.F.); +#6918=ORIENTED_EDGE('',*,*,#6191,.T.); +#6919=EDGE_LOOP('',(#6904,#6906,#6908,#6910,#6911,#6913,#6915,#6916,#6917, +#6918)); +#6920=FACE_OUTER_BOUND('',#6919,.F.); +#6922=CARTESIAN_POINT('',(-2.4003E1,-3.048E0,-8.89E0)); +#6923=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6924=DIRECTION('',(1.E0,0.E0,0.E0)); +#6925=AXIS2_PLACEMENT_3D('',#6922,#6923,#6924); +#6926=CYLINDRICAL_SURFACE('',#6925,7.62E-1); +#6927=ORIENTED_EDGE('',*,*,#6265,.T.); +#6929=ORIENTED_EDGE('',*,*,#6928,.T.); +#6930=ORIENTED_EDGE('',*,*,#6903,.T.); +#6931=ORIENTED_EDGE('',*,*,#6189,.F.); +#6932=EDGE_LOOP('',(#6927,#6929,#6930,#6931)); +#6933=FACE_OUTER_BOUND('',#6932,.F.); +#6935=CARTESIAN_POINT('',(-2.4765E1,-2.54E0,-1.5494E1)); +#6936=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6937=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6938=AXIS2_PLACEMENT_3D('',#6935,#6936,#6937); +#6939=PLANE('',#6938); +#6940=ORIENTED_EDGE('',*,*,#6928,.F.); +#6941=ORIENTED_EDGE('',*,*,#6263,.T.); +#6942=ORIENTED_EDGE('',*,*,#6863,.F.); +#6944=ORIENTED_EDGE('',*,*,#6943,.F.); +#6945=ORIENTED_EDGE('',*,*,#6905,.T.); +#6946=EDGE_LOOP('',(#6940,#6941,#6942,#6944,#6945)); +#6947=FACE_OUTER_BOUND('',#6946,.F.); +#6949=CARTESIAN_POINT('',(-2.4765E1,-2.137382390414E0,-1.438781720899E1)); +#6950=DIRECTION('',(0.E0,9.396926207859E-1,-3.420201433257E-1)); +#6951=DIRECTION('',(0.E0,-3.420201433257E-1,-9.396926207859E-1)); +#6952=AXIS2_PLACEMENT_3D('',#6949,#6950,#6951); +#6953=PLANE('',#6952); +#6954=ORIENTED_EDGE('',*,*,#6907,.T.); +#6955=ORIENTED_EDGE('',*,*,#6943,.T.); +#6956=ORIENTED_EDGE('',*,*,#6861,.F.); +#6958=ORIENTED_EDGE('',*,*,#6957,.F.); +#6959=EDGE_LOOP('',(#6954,#6955,#6956,#6958)); +#6960=FACE_OUTER_BOUND('',#6959,.F.); +#6962=CARTESIAN_POINT('',(-2.4765E1,-1.540677576215E0,-1.4605E1)); +#6963=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6965=AXIS2_PLACEMENT_3D('',#6962,#6963,#6964); +#6966=CYLINDRICAL_SURFACE('',#6965,6.35E-1); +#6967=ORIENTED_EDGE('',*,*,#6387,.T.); +#6968=ORIENTED_EDGE('',*,*,#6909,.T.); +#6969=ORIENTED_EDGE('',*,*,#6957,.T.); +#6970=ORIENTED_EDGE('',*,*,#6859,.F.); +#6971=EDGE_LOOP('',(#6967,#6968,#6969,#6970)); +#6972=FACE_OUTER_BOUND('',#6971,.F.); +#6974=CARTESIAN_POINT('',(-2.4765E1,1.540677576215E0,-1.4605E1)); +#6975=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6976=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6977=AXIS2_PLACEMENT_3D('',#6974,#6975,#6976); +#6978=CYLINDRICAL_SURFACE('',#6977,6.35E-1); +#6979=ORIENTED_EDGE('',*,*,#6383,.F.); +#6980=ORIENTED_EDGE('',*,*,#6848,.F.); +#6982=ORIENTED_EDGE('',*,*,#6981,.F.); +#6983=ORIENTED_EDGE('',*,*,#6912,.T.); +#6984=EDGE_LOOP('',(#6979,#6980,#6982,#6983)); +#6985=FACE_OUTER_BOUND('',#6984,.F.); +#6987=CARTESIAN_POINT('',(-2.4765E1,2.54E0,-1.5494E1)); +#6988=DIRECTION('',(0.E0,-9.396926207859E-1,-3.420201433257E-1)); +#6989=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#6990=AXIS2_PLACEMENT_3D('',#6987,#6988,#6989); +#6991=PLANE('',#6990); +#6992=ORIENTED_EDGE('',*,*,#6914,.T.); +#6993=ORIENTED_EDGE('',*,*,#6981,.T.); +#6994=ORIENTED_EDGE('',*,*,#6846,.F.); +#6995=ORIENTED_EDGE('',*,*,#6878,.F.); +#6996=EDGE_LOOP('',(#6992,#6993,#6994,#6995)); +#6997=FACE_OUTER_BOUND('',#6996,.F.); +#6999=CARTESIAN_POINT('',(2.4765E1,-1.540677576215E0,-1.4605E1)); +#7000=DIRECTION('',(1.E0,0.E0,0.E0)); +#7001=DIRECTION('',(0.E0,1.E0,0.E0)); +#7002=AXIS2_PLACEMENT_3D('',#6999,#7000,#7001); +#7003=CYLINDRICAL_SURFACE('',#7002,6.35E-1); +#7004=ORIENTED_EDGE('',*,*,#6393,.F.); +#7005=ORIENTED_EDGE('',*,*,#6371,.F.); +#7007=ORIENTED_EDGE('',*,*,#7006,.F.); +#7008=ORIENTED_EDGE('',*,*,#6304,.T.); +#7009=EDGE_LOOP('',(#7004,#7005,#7007,#7008)); +#7010=FACE_OUTER_BOUND('',#7009,.F.); +#7012=CARTESIAN_POINT('',(2.4765E1,-2.54E0,-1.5494E1)); +#7013=DIRECTION('',(0.E0,9.396926207859E-1,-3.420201433257E-1)); +#7014=DIRECTION('',(0.E0,3.420201433257E-1,9.396926207859E-1)); +#7015=AXIS2_PLACEMENT_3D('',#7012,#7013,#7014); +#7016=PLANE('',#7015); +#7017=ORIENTED_EDGE('',*,*,#6306,.T.); +#7018=ORIENTED_EDGE('',*,*,#7006,.T.); +#7019=ORIENTED_EDGE('',*,*,#6369,.F.); +#7021=ORIENTED_EDGE('',*,*,#7020,.F.); +#7022=EDGE_LOOP('',(#7017,#7018,#7019,#7021)); +#7023=FACE_OUTER_BOUND('',#7022,.F.); +#7025=CARTESIAN_POINT('',(2.4765E1,-3.81E0,-1.5494E1)); +#7026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7027=DIRECTION('',(0.E0,1.E0,0.E0)); +#7028=AXIS2_PLACEMENT_3D('',#7025,#7026,#7027); +#7029=PLANE('',#7028); +#7030=ORIENTED_EDGE('',*,*,#6282,.F.); +#7031=ORIENTED_EDGE('',*,*,#6308,.T.); +#7032=ORIENTED_EDGE('',*,*,#7020,.T.); +#7033=ORIENTED_EDGE('',*,*,#6367,.F.); +#7034=ORIENTED_EDGE('',*,*,#6255,.F.); +#7035=EDGE_LOOP('',(#7030,#7031,#7032,#7033,#7034)); +#7036=FACE_OUTER_BOUND('',#7035,.F.); +#7038=CARTESIAN_POINT('',(2.4765E1,1.540677576215E0,-1.4605E1)); +#7039=DIRECTION('',(1.E0,0.E0,0.E0)); +#7040=DIRECTION('',(0.E0,1.E0,0.E0)); +#7041=AXIS2_PLACEMENT_3D('',#7038,#7039,#7040); +#7042=CYLINDRICAL_SURFACE('',#7041,6.35E-1); +#7043=ORIENTED_EDGE('',*,*,#6396,.T.); +#7044=ORIENTED_EDGE('',*,*,#6300,.T.); +#7046=ORIENTED_EDGE('',*,*,#7045,.T.); +#7047=ORIENTED_EDGE('',*,*,#6350,.F.); +#7048=EDGE_LOOP('',(#7043,#7044,#7046,#7047)); +#7049=FACE_OUTER_BOUND('',#7048,.F.); +#7051=CARTESIAN_POINT('',(2.4765E1,2.137382390414E0,-1.438781720899E1)); +#7052=DIRECTION('',(0.E0,-9.396926207859E-1,-3.420201433257E-1)); +#7053=DIRECTION('',(0.E0,3.420201433257E-1,-9.396926207859E-1)); +#7054=AXIS2_PLACEMENT_3D('',#7051,#7052,#7053); +#7055=PLANE('',#7054); +#7056=ORIENTED_EDGE('',*,*,#6298,.T.); +#7057=ORIENTED_EDGE('',*,*,#6337,.F.); +#7058=ORIENTED_EDGE('',*,*,#6352,.T.); +#7059=ORIENTED_EDGE('',*,*,#7045,.F.); +#7060=EDGE_LOOP('',(#7056,#7057,#7058,#7059)); +#7061=FACE_OUTER_BOUND('',#7060,.F.); +#7063=CARTESIAN_POINT('',(-2.14884E1,-1.0922E0,-1.397E1)); +#7064=DIRECTION('',(1.E0,0.E0,0.E0)); +#7065=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7066=AXIS2_PLACEMENT_3D('',#7063,#7064,#7065); +#7067=PLANE('',#7066); +#7068=ORIENTED_EDGE('',*,*,#6402,.F.); +#7070=ORIENTED_EDGE('',*,*,#7069,.T.); +#7072=ORIENTED_EDGE('',*,*,#7071,.T.); +#7074=ORIENTED_EDGE('',*,*,#7073,.F.); +#7075=EDGE_LOOP('',(#7068,#7070,#7072,#7074)); +#7076=FACE_OUTER_BOUND('',#7075,.F.); +#7078=CARTESIAN_POINT('',(-2.16154E1,-1.0922E0,-1.397E1)); +#7079=DIRECTION('',(0.E0,1.E0,0.E0)); +#7080=DIRECTION('',(1.E0,0.E0,0.E0)); +#7081=AXIS2_PLACEMENT_3D('',#7078,#7079,#7080); +#7082=PLANE('',#7081); +#7083=ORIENTED_EDGE('',*,*,#6414,.F.); +#7085=ORIENTED_EDGE('',*,*,#7084,.T.); +#7087=ORIENTED_EDGE('',*,*,#7086,.T.); +#7088=ORIENTED_EDGE('',*,*,#7069,.F.); +#7089=EDGE_LOOP('',(#7083,#7085,#7087,#7088)); +#7090=FACE_OUTER_BOUND('',#7089,.F.); +#7092=CARTESIAN_POINT('',(-2.16154E1,-1.935263850403E0,-1.397E1)); +#7093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7094=DIRECTION('',(0.E0,1.E0,0.E0)); +#7095=AXIS2_PLACEMENT_3D('',#7092,#7093,#7094); +#7096=PLANE('',#7095); +#7097=ORIENTED_EDGE('',*,*,#6412,.F.); +#7099=ORIENTED_EDGE('',*,*,#7098,.T.); +#7101=ORIENTED_EDGE('',*,*,#7100,.T.); +#7102=ORIENTED_EDGE('',*,*,#7084,.F.); +#7103=EDGE_LOOP('',(#7097,#7099,#7101,#7102)); +#7104=FACE_OUTER_BOUND('',#7103,.F.); +#7106=CARTESIAN_POINT('',(-2.17424E1,-1.783944658947E0,-1.397E1)); +#7107=DIRECTION('',(7.659743427825E-1,6.428711427642E-1,0.E0)); +#7108=DIRECTION('',(6.428711427642E-1,-7.659743427825E-1,0.E0)); +#7109=AXIS2_PLACEMENT_3D('',#7106,#7107,#7108); +#7110=PLANE('',#7109); +#7111=ORIENTED_EDGE('',*,*,#6410,.F.); +#7113=ORIENTED_EDGE('',*,*,#7112,.T.); +#7115=ORIENTED_EDGE('',*,*,#7114,.T.); +#7116=ORIENTED_EDGE('',*,*,#7098,.F.); +#7117=EDGE_LOOP('',(#7111,#7113,#7115,#7116)); +#7118=FACE_OUTER_BOUND('',#7117,.F.); +#7120=CARTESIAN_POINT('',(-2.17424E1,-1.956880869102E0,-1.397E1)); +#7121=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7122=DIRECTION('',(0.E0,1.E0,0.E0)); +#7123=AXIS2_PLACEMENT_3D('',#7120,#7121,#7122); +#7124=PLANE('',#7123); +#7125=ORIENTED_EDGE('',*,*,#6408,.F.); +#7127=ORIENTED_EDGE('',*,*,#7126,.T.); +#7129=ORIENTED_EDGE('',*,*,#7128,.T.); +#7130=ORIENTED_EDGE('',*,*,#7112,.F.); +#7131=EDGE_LOOP('',(#7125,#7127,#7129,#7130)); +#7132=FACE_OUTER_BOUND('',#7131,.F.); +#7134=CARTESIAN_POINT('',(-2.16154E1,-2.1082E0,-1.397E1)); +#7135=DIRECTION('',(-7.659742160926E-1,-6.428712937139E-1,0.E0)); +#7136=DIRECTION('',(-6.428712937139E-1,7.659742160926E-1,0.E0)); +#7137=AXIS2_PLACEMENT_3D('',#7134,#7135,#7136); +#7138=PLANE('',#7137); +#7139=ORIENTED_EDGE('',*,*,#6406,.F.); +#7141=ORIENTED_EDGE('',*,*,#7140,.T.); +#7143=ORIENTED_EDGE('',*,*,#7142,.T.); +#7144=ORIENTED_EDGE('',*,*,#7126,.F.); +#7145=EDGE_LOOP('',(#7139,#7141,#7143,#7144)); +#7146=FACE_OUTER_BOUND('',#7145,.F.); +#7148=CARTESIAN_POINT('',(-2.14884E1,-2.1082E0,-1.397E1)); +#7149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7151=AXIS2_PLACEMENT_3D('',#7148,#7149,#7150); +#7152=PLANE('',#7151); +#7153=ORIENTED_EDGE('',*,*,#6404,.F.); +#7154=ORIENTED_EDGE('',*,*,#7073,.T.); +#7156=ORIENTED_EDGE('',*,*,#7155,.T.); +#7157=ORIENTED_EDGE('',*,*,#7140,.F.); +#7158=EDGE_LOOP('',(#7153,#7154,#7156,#7157)); +#7159=FACE_OUTER_BOUND('',#7158,.F.); +#7161=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.4097E1)); +#7162=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7163=DIRECTION('',(1.E0,0.E0,0.E0)); +#7164=AXIS2_PLACEMENT_3D('',#7161,#7162,#7163); +#7165=PLANE('',#7164); +#7166=ORIENTED_EDGE('',*,*,#7071,.F.); +#7167=ORIENTED_EDGE('',*,*,#7086,.F.); +#7168=ORIENTED_EDGE('',*,*,#7100,.F.); +#7169=ORIENTED_EDGE('',*,*,#7114,.F.); +#7170=ORIENTED_EDGE('',*,*,#7128,.F.); +#7171=ORIENTED_EDGE('',*,*,#7142,.F.); +#7172=ORIENTED_EDGE('',*,*,#7155,.F.); +#7173=EDGE_LOOP('',(#7166,#7167,#7168,#7169,#7170,#7171,#7172)); +#7174=FACE_OUTER_BOUND('',#7173,.F.); +#7176=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.4097E1)); +#7177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7178=DIRECTION('',(1.E0,0.E0,0.E0)); +#7179=AXIS2_PLACEMENT_3D('',#7176,#7177,#7178); +#7180=PLANE('',#7179); +#7182=ORIENTED_EDGE('',*,*,#7181,.F.); +#7184=ORIENTED_EDGE('',*,*,#7183,.F.); +#7186=ORIENTED_EDGE('',*,*,#7185,.F.); +#7188=ORIENTED_EDGE('',*,*,#7187,.F.); +#7190=ORIENTED_EDGE('',*,*,#7189,.F.); +#7192=ORIENTED_EDGE('',*,*,#7191,.F.); +#7194=ORIENTED_EDGE('',*,*,#7193,.F.); +#7196=ORIENTED_EDGE('',*,*,#7195,.F.); +#7198=ORIENTED_EDGE('',*,*,#7197,.F.); +#7200=ORIENTED_EDGE('',*,*,#7199,.F.); +#7202=ORIENTED_EDGE('',*,*,#7201,.F.); +#7204=ORIENTED_EDGE('',*,*,#7203,.F.); +#7206=ORIENTED_EDGE('',*,*,#7205,.F.); +#7208=ORIENTED_EDGE('',*,*,#7207,.F.); +#7210=ORIENTED_EDGE('',*,*,#7209,.F.); +#7212=ORIENTED_EDGE('',*,*,#7211,.F.); +#7214=ORIENTED_EDGE('',*,*,#7213,.F.); +#7216=ORIENTED_EDGE('',*,*,#7215,.F.); +#7218=ORIENTED_EDGE('',*,*,#7217,.F.); +#7220=ORIENTED_EDGE('',*,*,#7219,.F.); +#7222=ORIENTED_EDGE('',*,*,#7221,.F.); +#7224=ORIENTED_EDGE('',*,*,#7223,.F.); +#7226=ORIENTED_EDGE('',*,*,#7225,.F.); +#7228=ORIENTED_EDGE('',*,*,#7227,.F.); +#7230=ORIENTED_EDGE('',*,*,#7229,.F.); +#7232=ORIENTED_EDGE('',*,*,#7231,.F.); +#7234=ORIENTED_EDGE('',*,*,#7233,.F.); +#7236=ORIENTED_EDGE('',*,*,#7235,.F.); +#7237=EDGE_LOOP('',(#7182,#7184,#7186,#7188,#7190,#7192,#7194,#7196,#7198,#7200, +#7202,#7204,#7206,#7208,#7210,#7212,#7214,#7216,#7218,#7220,#7222,#7224,#7226, +#7228,#7230,#7232,#7234,#7236)); +#7238=FACE_OUTER_BOUND('',#7237,.F.); +#7240=CARTESIAN_POINT('',(-2.19456E1,2.000114891362E0,-1.397E1)); +#7241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7242=DIRECTION('',(0.E0,1.E0,0.E0)); +#7243=AXIS2_PLACEMENT_3D('',#7240,#7241,#7242); +#7244=PLANE('',#7243); +#7245=ORIENTED_EDGE('',*,*,#6418,.F.); +#7247=ORIENTED_EDGE('',*,*,#7246,.T.); +#7248=ORIENTED_EDGE('',*,*,#7181,.T.); +#7250=ORIENTED_EDGE('',*,*,#7249,.F.); +#7251=EDGE_LOOP('',(#7245,#7247,#7248,#7250)); +#7252=FACE_OUTER_BOUND('',#7251,.F.); +#7254=CARTESIAN_POINT('',(-2.135293335352E1,1.459689378452E0,-1.397E1)); +#7255=DIRECTION('',(-6.737899277363E-1,-7.389229549020E-1,0.E0)); +#7256=DIRECTION('',(-7.389229549020E-1,6.737899277363E-1,0.E0)); +#7257=AXIS2_PLACEMENT_3D('',#7254,#7255,#7256); +#7258=PLANE('',#7257); +#7259=ORIENTED_EDGE('',*,*,#6472,.F.); +#7261=ORIENTED_EDGE('',*,*,#7260,.T.); +#7262=ORIENTED_EDGE('',*,*,#7183,.T.); +#7263=ORIENTED_EDGE('',*,*,#7246,.F.); +#7264=EDGE_LOOP('',(#7259,#7261,#7262,#7263)); +#7265=FACE_OUTER_BOUND('',#7264,.F.); +#7267=CARTESIAN_POINT('',(-2.13106E1,1.373221303654E0,-1.397E1)); +#7268=DIRECTION('',(-8.981380143666E-1,-4.397136649566E-1,0.E0)); +#7269=DIRECTION('',(-4.397136649566E-1,8.981380143666E-1,0.E0)); +#7270=AXIS2_PLACEMENT_3D('',#7267,#7268,#7269); +#7271=PLANE('',#7270); +#7272=ORIENTED_EDGE('',*,*,#6470,.F.); +#7274=ORIENTED_EDGE('',*,*,#7273,.T.); +#7275=ORIENTED_EDGE('',*,*,#7185,.T.); +#7276=ORIENTED_EDGE('',*,*,#7260,.F.); +#7277=EDGE_LOOP('',(#7272,#7274,#7275,#7276)); +#7278=FACE_OUTER_BOUND('',#7277,.F.); +#7280=CARTESIAN_POINT('',(-2.13106E1,1.329987205696E0,-1.397E1)); +#7281=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7282=DIRECTION('',(0.E0,1.E0,0.E0)); +#7283=AXIS2_PLACEMENT_3D('',#7280,#7281,#7282); +#7284=PLANE('',#7283); +#7285=ORIENTED_EDGE('',*,*,#6468,.F.); +#7287=ORIENTED_EDGE('',*,*,#7286,.T.); +#7288=ORIENTED_EDGE('',*,*,#7187,.T.); +#7289=ORIENTED_EDGE('',*,*,#7273,.F.); +#7290=EDGE_LOOP('',(#7285,#7287,#7288,#7289)); +#7291=FACE_OUTER_BOUND('',#7290,.F.); +#7293=CARTESIAN_POINT('',(-2.135293335352E1,1.265136149597E0,-1.397E1)); +#7294=DIRECTION('',(-8.373790012342E-1,5.466227293958E-1,0.E0)); +#7295=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#7296=AXIS2_PLACEMENT_3D('',#7293,#7294,#7295); +#7297=PLANE('',#7296); +#7298=ORIENTED_EDGE('',*,*,#6466,.F.); +#7300=ORIENTED_EDGE('',*,*,#7299,.T.); +#7301=ORIENTED_EDGE('',*,*,#7189,.T.); +#7302=ORIENTED_EDGE('',*,*,#7286,.F.); +#7303=EDGE_LOOP('',(#7298,#7300,#7301,#7302)); +#7304=FACE_OUTER_BOUND('',#7303,.F.); +#7306=CARTESIAN_POINT('',(-2.141643335352E1,1.221902112198E0,-1.397E1)); +#7307=DIRECTION('',(-5.627909312417E-1,8.265992787996E-1,0.E0)); +#7308=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#7309=AXIS2_PLACEMENT_3D('',#7306,#7307,#7308); +#7310=PLANE('',#7309); +#7311=ORIENTED_EDGE('',*,*,#6464,.F.); +#7313=ORIENTED_EDGE('',*,*,#7312,.T.); +#7314=ORIENTED_EDGE('',*,*,#7191,.T.); +#7315=ORIENTED_EDGE('',*,*,#7299,.F.); +#7316=EDGE_LOOP('',(#7311,#7313,#7314,#7315)); +#7317=FACE_OUTER_BOUND('',#7316,.F.); +#7319=CARTESIAN_POINT('',(-2.152226667676E1,1.200285093498E0,-1.397E1)); +#7320=DIRECTION('',(-2.001233733807E-1,9.797707055361E-1,0.E0)); +#7321=DIRECTION('',(9.797707055361E-1,2.001233733807E-1,0.E0)); +#7322=AXIS2_PLACEMENT_3D('',#7319,#7320,#7321); +#7323=PLANE('',#7322); +#7324=ORIENTED_EDGE('',*,*,#6462,.F.); +#7326=ORIENTED_EDGE('',*,*,#7325,.T.); +#7327=ORIENTED_EDGE('',*,*,#7193,.T.); +#7328=ORIENTED_EDGE('',*,*,#7312,.F.); +#7329=EDGE_LOOP('',(#7324,#7326,#7327,#7328)); +#7330=FACE_OUTER_BOUND('',#7329,.F.); +#7332=CARTESIAN_POINT('',(-2.160693332324E1,1.200285093498E0,-1.397E1)); +#7333=DIRECTION('',(0.E0,1.E0,0.E0)); +#7334=DIRECTION('',(1.E0,0.E0,0.E0)); +#7335=AXIS2_PLACEMENT_3D('',#7332,#7333,#7334); +#7336=PLANE('',#7335); +#7337=ORIENTED_EDGE('',*,*,#6460,.F.); +#7339=ORIENTED_EDGE('',*,*,#7338,.T.); +#7340=ORIENTED_EDGE('',*,*,#7195,.T.); +#7341=ORIENTED_EDGE('',*,*,#7325,.F.); +#7342=EDGE_LOOP('',(#7337,#7339,#7340,#7341)); +#7343=FACE_OUTER_BOUND('',#7342,.F.); +#7345=CARTESIAN_POINT('',(-2.171276666162E1,1.221902112198E0,-1.397E1)); +#7346=DIRECTION('',(2.001233458994E-1,9.797707111493E-1,0.E0)); +#7347=DIRECTION('',(9.797707111493E-1,-2.001233458994E-1,0.E0)); +#7348=AXIS2_PLACEMENT_3D('',#7345,#7346,#7347); +#7349=PLANE('',#7348); +#7350=ORIENTED_EDGE('',*,*,#6458,.F.); +#7352=ORIENTED_EDGE('',*,*,#7351,.T.); +#7353=ORIENTED_EDGE('',*,*,#7197,.T.); +#7354=ORIENTED_EDGE('',*,*,#7338,.F.); +#7355=EDGE_LOOP('',(#7350,#7352,#7353,#7354)); +#7356=FACE_OUTER_BOUND('',#7355,.F.); +#7358=CARTESIAN_POINT('',(-2.177626666162E1,1.265136149597E0,-1.397E1)); +#7359=DIRECTION('',(5.627909312417E-1,8.265992787996E-1,0.E0)); +#7360=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#7361=AXIS2_PLACEMENT_3D('',#7358,#7359,#7360); +#7362=PLANE('',#7361); +#7363=ORIENTED_EDGE('',*,*,#6456,.F.); +#7365=ORIENTED_EDGE('',*,*,#7364,.T.); +#7366=ORIENTED_EDGE('',*,*,#7199,.T.); +#7367=ORIENTED_EDGE('',*,*,#7351,.F.); +#7368=EDGE_LOOP('',(#7363,#7365,#7366,#7367)); +#7369=FACE_OUTER_BOUND('',#7368,.F.); +#7371=CARTESIAN_POINT('',(-2.18186E1,1.329987205696E0,-1.397E1)); +#7372=DIRECTION('',(8.373790907147E-1,5.466225923193E-1,0.E0)); +#7373=DIRECTION('',(5.466225923193E-1,-8.373790907147E-1,0.E0)); +#7374=AXIS2_PLACEMENT_3D('',#7371,#7372,#7373); +#7375=PLANE('',#7374); +#7376=ORIENTED_EDGE('',*,*,#6454,.F.); +#7378=ORIENTED_EDGE('',*,*,#7377,.T.); +#7379=ORIENTED_EDGE('',*,*,#7201,.T.); +#7380=ORIENTED_EDGE('',*,*,#7364,.F.); +#7381=EDGE_LOOP('',(#7376,#7378,#7379,#7380)); +#7382=FACE_OUTER_BOUND('',#7381,.F.); +#7384=CARTESIAN_POINT('',(-2.192443333270E1,1.329987205696E0,-1.397E1)); +#7385=DIRECTION('',(0.E0,1.E0,0.E0)); +#7386=DIRECTION('',(1.E0,0.E0,0.E0)); +#7387=AXIS2_PLACEMENT_3D('',#7384,#7385,#7386); +#7388=PLANE('',#7387); +#7389=ORIENTED_EDGE('',*,*,#6452,.F.); +#7391=ORIENTED_EDGE('',*,*,#7390,.T.); +#7392=ORIENTED_EDGE('',*,*,#7203,.T.); +#7393=ORIENTED_EDGE('',*,*,#7377,.F.); +#7394=EDGE_LOOP('',(#7389,#7391,#7392,#7393)); +#7395=FACE_OUTER_BOUND('',#7394,.F.); +#7397=CARTESIAN_POINT('',(-2.190326666541E1,1.243519130898E0,-1.397E1)); +#7398=DIRECTION('',(-9.713211522284E-1,-2.377713591533E-1,0.E0)); +#7399=DIRECTION('',(-2.377713591533E-1,9.713211522284E-1,0.E0)); +#7400=AXIS2_PLACEMENT_3D('',#7397,#7398,#7399); +#7401=PLANE('',#7400); +#7402=ORIENTED_EDGE('',*,*,#6450,.F.); +#7404=ORIENTED_EDGE('',*,*,#7403,.T.); +#7405=ORIENTED_EDGE('',*,*,#7205,.T.); +#7406=ORIENTED_EDGE('',*,*,#7390,.F.); +#7407=EDGE_LOOP('',(#7402,#7404,#7405,#7406)); +#7408=FACE_OUTER_BOUND('',#7407,.F.); +#7410=CARTESIAN_POINT('',(-2.18186E1,1.157051056099E0,-1.397E1)); +#7411=DIRECTION('',(-7.145103900133E-1,-6.996248298646E-1,0.E0)); +#7412=DIRECTION('',(-6.996248298646E-1,7.145103900133E-1,0.E0)); +#7413=AXIS2_PLACEMENT_3D('',#7410,#7411,#7412); +#7414=PLANE('',#7413); +#7415=ORIENTED_EDGE('',*,*,#6448,.F.); +#7417=ORIENTED_EDGE('',*,*,#7416,.T.); +#7418=ORIENTED_EDGE('',*,*,#7207,.T.); +#7419=ORIENTED_EDGE('',*,*,#7403,.F.); +#7420=EDGE_LOOP('',(#7415,#7417,#7418,#7419)); +#7421=FACE_OUTER_BOUND('',#7420,.F.); +#7423=CARTESIAN_POINT('',(-2.173393333838E1,1.113817018700E0,-1.397E1)); +#7424=DIRECTION('',(-4.547771350481E-1,-8.906052758868E-1,0.E0)); +#7425=DIRECTION('',(-8.906052758868E-1,4.547771350481E-1,0.E0)); +#7426=AXIS2_PLACEMENT_3D('',#7423,#7424,#7425); +#7427=PLANE('',#7426); +#7428=ORIENTED_EDGE('',*,*,#6446,.F.); +#7430=ORIENTED_EDGE('',*,*,#7429,.T.); +#7431=ORIENTED_EDGE('',*,*,#7209,.T.); +#7432=ORIENTED_EDGE('',*,*,#7416,.F.); +#7433=EDGE_LOOP('',(#7428,#7430,#7431,#7432)); +#7434=FACE_OUTER_BOUND('',#7433,.F.); +#7436=CARTESIAN_POINT('',(-2.158576667676E1,1.0922E0,-1.397E1)); +#7437=DIRECTION('',(-1.443682357291E-1,-9.895240333173E-1,0.E0)); +#7438=DIRECTION('',(-9.895240333173E-1,1.443682357291E-1,0.E0)); +#7439=AXIS2_PLACEMENT_3D('',#7436,#7437,#7438); +#7440=PLANE('',#7439); +#7441=ORIENTED_EDGE('',*,*,#6444,.F.); +#7443=ORIENTED_EDGE('',*,*,#7442,.T.); +#7444=ORIENTED_EDGE('',*,*,#7211,.T.); +#7445=ORIENTED_EDGE('',*,*,#7429,.F.); +#7446=EDGE_LOOP('',(#7441,#7443,#7444,#7445)); +#7447=FACE_OUTER_BOUND('',#7446,.F.); +#7449=CARTESIAN_POINT('',(-2.15011E1,1.0922E0,-1.397E1)); +#7450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7451=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7452=AXIS2_PLACEMENT_3D('',#7449,#7450,#7451); +#7453=PLANE('',#7452); +#7454=ORIENTED_EDGE('',*,*,#6442,.F.); +#7456=ORIENTED_EDGE('',*,*,#7455,.T.); +#7457=ORIENTED_EDGE('',*,*,#7213,.T.); +#7458=ORIENTED_EDGE('',*,*,#7442,.F.); +#7459=EDGE_LOOP('',(#7454,#7456,#7457,#7458)); +#7460=FACE_OUTER_BOUND('',#7459,.F.); +#7462=CARTESIAN_POINT('',(-2.13741E1,1.113817018700E0,-1.397E1)); +#7463=DIRECTION('',(1.677993286083E-1,-9.858211730931E-1,0.E0)); +#7464=DIRECTION('',(-9.858211730931E-1,-1.677993286083E-1,0.E0)); +#7465=AXIS2_PLACEMENT_3D('',#7462,#7463,#7464); +#7466=PLANE('',#7465); +#7467=ORIENTED_EDGE('',*,*,#6440,.F.); +#7469=ORIENTED_EDGE('',*,*,#7468,.T.); +#7470=ORIENTED_EDGE('',*,*,#7215,.T.); +#7471=ORIENTED_EDGE('',*,*,#7455,.F.); +#7472=EDGE_LOOP('',(#7467,#7469,#7470,#7471)); +#7473=FACE_OUTER_BOUND('',#7472,.F.); +#7475=CARTESIAN_POINT('',(-2.128943335352E1,1.157051056099E0,-1.397E1)); +#7476=DIRECTION('',(4.547771995497E-1,-8.906052429498E-1,0.E0)); +#7477=DIRECTION('',(-8.906052429498E-1,-4.547771995497E-1,0.E0)); +#7478=AXIS2_PLACEMENT_3D('',#7475,#7476,#7477); +#7479=PLANE('',#7478); +#7480=ORIENTED_EDGE('',*,*,#6438,.F.); +#7482=ORIENTED_EDGE('',*,*,#7481,.T.); +#7483=ORIENTED_EDGE('',*,*,#7217,.T.); +#7484=ORIENTED_EDGE('',*,*,#7468,.F.); +#7485=EDGE_LOOP('',(#7480,#7482,#7483,#7484)); +#7486=FACE_OUTER_BOUND('',#7485,.F.); +#7488=CARTESIAN_POINT('',(-2.120476664648E1,1.243519130898E0,-1.397E1)); +#7489=DIRECTION('',(7.145102180353E-1,-6.996250055017E-1,0.E0)); +#7490=DIRECTION('',(-6.996250055017E-1,-7.145102180353E-1,0.E0)); +#7491=AXIS2_PLACEMENT_3D('',#7488,#7489,#7490); +#7492=PLANE('',#7491); +#7493=ORIENTED_EDGE('',*,*,#6436,.F.); +#7495=ORIENTED_EDGE('',*,*,#7494,.T.); +#7496=ORIENTED_EDGE('',*,*,#7219,.T.); +#7497=ORIENTED_EDGE('',*,*,#7481,.F.); +#7498=EDGE_LOOP('',(#7493,#7495,#7496,#7497)); +#7499=FACE_OUTER_BOUND('',#7498,.F.); +#7501=CARTESIAN_POINT('',(-2.11836E1,1.329987205696E0,-1.397E1)); +#7502=DIRECTION('',(9.713212062349E-1,-2.377711385310E-1,0.E0)); +#7503=DIRECTION('',(-2.377711385310E-1,-9.713212062349E-1,0.E0)); +#7504=AXIS2_PLACEMENT_3D('',#7501,#7502,#7503); +#7505=PLANE('',#7504); +#7506=ORIENTED_EDGE('',*,*,#6434,.F.); +#7508=ORIENTED_EDGE('',*,*,#7507,.T.); +#7509=ORIENTED_EDGE('',*,*,#7221,.T.); +#7510=ORIENTED_EDGE('',*,*,#7494,.F.); +#7511=EDGE_LOOP('',(#7506,#7508,#7509,#7510)); +#7512=FACE_OUTER_BOUND('',#7511,.F.); +#7514=CARTESIAN_POINT('',(-2.11836E1,1.373221303654E0,-1.397E1)); +#7515=DIRECTION('',(1.E0,0.E0,0.E0)); +#7516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7517=AXIS2_PLACEMENT_3D('',#7514,#7515,#7516); +#7518=PLANE('',#7517); +#7519=ORIENTED_EDGE('',*,*,#6432,.F.); +#7521=ORIENTED_EDGE('',*,*,#7520,.T.); +#7522=ORIENTED_EDGE('',*,*,#7223,.T.); +#7523=ORIENTED_EDGE('',*,*,#7507,.F.); +#7524=EDGE_LOOP('',(#7519,#7521,#7522,#7523)); +#7525=FACE_OUTER_BOUND('',#7524,.F.); +#7527=CARTESIAN_POINT('',(-2.120476664648E1,1.459689378452E0,-1.397E1)); +#7528=DIRECTION('',(9.713212062349E-1,2.377711385310E-1,0.E0)); +#7529=DIRECTION('',(2.377711385310E-1,-9.713212062349E-1,0.E0)); +#7530=AXIS2_PLACEMENT_3D('',#7527,#7528,#7529); +#7531=PLANE('',#7530); +#7532=ORIENTED_EDGE('',*,*,#6430,.F.); +#7534=ORIENTED_EDGE('',*,*,#7533,.T.); +#7535=ORIENTED_EDGE('',*,*,#7225,.T.); +#7536=ORIENTED_EDGE('',*,*,#7520,.F.); +#7537=EDGE_LOOP('',(#7532,#7534,#7535,#7536)); +#7538=FACE_OUTER_BOUND('',#7537,.F.); +#7540=CARTESIAN_POINT('',(-2.12471E1,1.524540434551E0,-1.397E1)); +#7541=DIRECTION('',(8.373790012342E-1,5.466227293958E-1,0.E0)); +#7542=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#7543=AXIS2_PLACEMENT_3D('',#7540,#7541,#7542); +#7544=PLANE('',#7543); +#7545=ORIENTED_EDGE('',*,*,#6428,.F.); +#7547=ORIENTED_EDGE('',*,*,#7546,.T.); +#7548=ORIENTED_EDGE('',*,*,#7227,.T.); +#7549=ORIENTED_EDGE('',*,*,#7533,.F.); +#7550=EDGE_LOOP('',(#7545,#7547,#7548,#7549)); +#7551=FACE_OUTER_BOUND('',#7550,.F.); +#7553=CARTESIAN_POINT('',(-2.177626666162E1,2.000114891362E0,-1.397E1)); +#7554=DIRECTION('',(6.684399804373E-1,7.437660872566E-1,0.E0)); +#7555=DIRECTION('',(7.437660872566E-1,-6.684399804373E-1,0.E0)); +#7556=AXIS2_PLACEMENT_3D('',#7553,#7554,#7555); +#7557=PLANE('',#7556); +#7558=ORIENTED_EDGE('',*,*,#6426,.F.); +#7560=ORIENTED_EDGE('',*,*,#7559,.T.); +#7561=ORIENTED_EDGE('',*,*,#7229,.T.); +#7562=ORIENTED_EDGE('',*,*,#7546,.F.); +#7563=EDGE_LOOP('',(#7558,#7560,#7561,#7562)); +#7564=FACE_OUTER_BOUND('',#7563,.F.); +#7566=CARTESIAN_POINT('',(-2.11836E1,2.000114891362E0,-1.397E1)); +#7567=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7568=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7569=AXIS2_PLACEMENT_3D('',#7566,#7567,#7568); +#7570=PLANE('',#7569); +#7571=ORIENTED_EDGE('',*,*,#6424,.F.); +#7573=ORIENTED_EDGE('',*,*,#7572,.T.); +#7574=ORIENTED_EDGE('',*,*,#7231,.T.); +#7575=ORIENTED_EDGE('',*,*,#7559,.F.); +#7576=EDGE_LOOP('',(#7571,#7573,#7574,#7575)); +#7577=FACE_OUTER_BOUND('',#7576,.F.); +#7579=CARTESIAN_POINT('',(-2.11836E1,2.1082E0,-1.397E1)); +#7580=DIRECTION('',(1.E0,0.E0,0.E0)); +#7581=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7582=AXIS2_PLACEMENT_3D('',#7579,#7580,#7581); +#7583=PLANE('',#7582); +#7584=ORIENTED_EDGE('',*,*,#6422,.F.); +#7586=ORIENTED_EDGE('',*,*,#7585,.T.); +#7587=ORIENTED_EDGE('',*,*,#7233,.T.); +#7588=ORIENTED_EDGE('',*,*,#7572,.F.); +#7589=EDGE_LOOP('',(#7584,#7586,#7587,#7588)); +#7590=FACE_OUTER_BOUND('',#7589,.F.); +#7592=CARTESIAN_POINT('',(-2.19456E1,2.1082E0,-1.397E1)); +#7593=DIRECTION('',(0.E0,1.E0,0.E0)); +#7594=DIRECTION('',(1.E0,0.E0,0.E0)); +#7595=AXIS2_PLACEMENT_3D('',#7592,#7593,#7594); +#7596=PLANE('',#7595); +#7597=ORIENTED_EDGE('',*,*,#6420,.F.); +#7598=ORIENTED_EDGE('',*,*,#7249,.T.); +#7599=ORIENTED_EDGE('',*,*,#7235,.T.); +#7600=ORIENTED_EDGE('',*,*,#7585,.F.); +#7601=EDGE_LOOP('',(#7597,#7598,#7599,#7600)); +#7602=FACE_OUTER_BOUND('',#7601,.F.); +#7604=CARTESIAN_POINT('',(-2.18948E1,2.62509E0,-1.397E1)); +#7605=DIRECTION('',(0.E0,1.E0,0.E0)); +#7606=DIRECTION('',(1.E0,0.E0,0.E0)); +#7607=AXIS2_PLACEMENT_3D('',#7604,#7605,#7606); +#7608=PLANE('',#7607); +#7610=ORIENTED_EDGE('',*,*,#7609,.F.); +#7612=ORIENTED_EDGE('',*,*,#7611,.F.); +#7613=ORIENTED_EDGE('',*,*,#6476,.T.); +#7615=ORIENTED_EDGE('',*,*,#7614,.F.); +#7617=ORIENTED_EDGE('',*,*,#7616,.T.); +#7619=ORIENTED_EDGE('',*,*,#7618,.T.); +#7620=EDGE_LOOP('',(#7610,#7612,#7613,#7615,#7617,#7619)); +#7621=FACE_OUTER_BOUND('',#7620,.F.); +#7623=CARTESIAN_POINT('',(-2.12852E1,2.62509E0,-1.397E1)); +#7624=DIRECTION('',(1.E0,0.E0,0.E0)); +#7625=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7626=AXIS2_PLACEMENT_3D('',#7623,#7624,#7625); +#7627=PLANE('',#7626); +#7629=ORIENTED_EDGE('',*,*,#7628,.F.); +#7630=ORIENTED_EDGE('',*,*,#7609,.T.); +#7632=ORIENTED_EDGE('',*,*,#7631,.T.); +#7634=ORIENTED_EDGE('',*,*,#7633,.F.); +#7635=EDGE_LOOP('',(#7629,#7630,#7632,#7634)); +#7636=FACE_OUTER_BOUND('',#7635,.F.); +#7638=CARTESIAN_POINT('',(-2.08803875E1,2.62509E0,-1.397E1)); +#7639=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#7640=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#7641=AXIS2_PLACEMENT_3D('',#7638,#7639,#7640); +#7642=PLANE('',#7641); +#7643=ORIENTED_EDGE('',*,*,#6478,.T.); +#7644=ORIENTED_EDGE('',*,*,#7611,.T.); +#7645=ORIENTED_EDGE('',*,*,#7628,.T.); +#7647=ORIENTED_EDGE('',*,*,#7646,.F.); +#7648=EDGE_LOOP('',(#7643,#7644,#7645,#7647)); +#7649=FACE_OUTER_BOUND('',#7648,.F.); +#7651=CARTESIAN_POINT('',(-2.12852E1,2.22631E0,-1.397E1)); +#7652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7653=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7654=AXIS2_PLACEMENT_3D('',#7651,#7652,#7653); +#7655=PLANE('',#7654); +#7657=ORIENTED_EDGE('',*,*,#7656,.F.); +#7659=ORIENTED_EDGE('',*,*,#7658,.T.); +#7660=ORIENTED_EDGE('',*,*,#6480,.T.); +#7661=ORIENTED_EDGE('',*,*,#7646,.T.); +#7662=ORIENTED_EDGE('',*,*,#7633,.T.); +#7664=ORIENTED_EDGE('',*,*,#7663,.T.); +#7665=EDGE_LOOP('',(#7657,#7659,#7660,#7661,#7662,#7664)); +#7666=FACE_OUTER_BOUND('',#7665,.F.); +#7668=CARTESIAN_POINT('',(-2.18948E1,2.22631E0,-1.397E1)); +#7669=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7670=DIRECTION('',(0.E0,1.E0,0.E0)); +#7671=AXIS2_PLACEMENT_3D('',#7668,#7669,#7670); +#7672=PLANE('',#7671); +#7674=ORIENTED_EDGE('',*,*,#7673,.F.); +#7675=ORIENTED_EDGE('',*,*,#7656,.T.); +#7677=ORIENTED_EDGE('',*,*,#7676,.T.); +#7678=ORIENTED_EDGE('',*,*,#7616,.F.); +#7679=EDGE_LOOP('',(#7674,#7675,#7677,#7678)); +#7680=FACE_OUTER_BOUND('',#7679,.F.); +#7682=CARTESIAN_POINT('',(-2.18948E1,2.62509E0,-1.5494E1)); +#7683=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#7684=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#7685=AXIS2_PLACEMENT_3D('',#7682,#7683,#7684); +#7686=PLANE('',#7685); +#7687=ORIENTED_EDGE('',*,*,#6482,.F.); +#7688=ORIENTED_EDGE('',*,*,#7658,.F.); +#7689=ORIENTED_EDGE('',*,*,#7673,.T.); +#7690=ORIENTED_EDGE('',*,*,#7614,.T.); +#7691=EDGE_LOOP('',(#7687,#7688,#7689,#7690)); +#7692=FACE_OUTER_BOUND('',#7691,.F.); +#7694=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#7695=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7696=DIRECTION('',(1.E0,0.E0,0.E0)); +#7697=AXIS2_PLACEMENT_3D('',#7694,#7695,#7696); +#7698=PLANE('',#7697); +#7700=ORIENTED_EDGE('',*,*,#7699,.F.); +#7702=ORIENTED_EDGE('',*,*,#7701,.F.); +#7704=ORIENTED_EDGE('',*,*,#7703,.F.); +#7706=ORIENTED_EDGE('',*,*,#7705,.F.); +#7707=EDGE_LOOP('',(#7700,#7702,#7704,#7706)); +#7708=FACE_OUTER_BOUND('',#7707,.F.); +#7710=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#7711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7712=DIRECTION('',(1.E0,0.E0,0.E0)); +#7713=AXIS2_PLACEMENT_3D('',#7710,#7711,#7712); +#7714=PLANE('',#7713); +#7715=ORIENTED_EDGE('',*,*,#7618,.F.); +#7716=ORIENTED_EDGE('',*,*,#7676,.F.); +#7717=ORIENTED_EDGE('',*,*,#7663,.F.); +#7718=ORIENTED_EDGE('',*,*,#7631,.F.); +#7719=EDGE_LOOP('',(#7715,#7716,#7717,#7718)); +#7720=FACE_OUTER_BOUND('',#7719,.F.); +#7722=CARTESIAN_POINT('',(-2.18948E1,-2.62509E0,-1.397E1)); +#7723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7724=DIRECTION('',(0.E0,1.E0,0.E0)); +#7725=AXIS2_PLACEMENT_3D('',#7722,#7723,#7724); +#7726=PLANE('',#7725); +#7728=ORIENTED_EDGE('',*,*,#7727,.F.); +#7730=ORIENTED_EDGE('',*,*,#7729,.T.); +#7731=ORIENTED_EDGE('',*,*,#7699,.T.); +#7733=ORIENTED_EDGE('',*,*,#7732,.F.); +#7734=EDGE_LOOP('',(#7728,#7730,#7731,#7733)); +#7735=FACE_OUTER_BOUND('',#7734,.F.); +#7737=CARTESIAN_POINT('',(-2.22996125E1,-2.62509E0,-1.397E1)); +#7738=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#7739=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#7740=AXIS2_PLACEMENT_3D('',#7737,#7738,#7739); +#7741=PLANE('',#7740); +#7742=ORIENTED_EDGE('',*,*,#6488,.T.); +#7744=ORIENTED_EDGE('',*,*,#7743,.T.); +#7745=ORIENTED_EDGE('',*,*,#7727,.T.); +#7747=ORIENTED_EDGE('',*,*,#7746,.F.); +#7748=EDGE_LOOP('',(#7742,#7744,#7745,#7747)); +#7749=FACE_OUTER_BOUND('',#7748,.F.); +#7751=CARTESIAN_POINT('',(-2.12852E1,-2.62509E0,-1.397E1)); +#7752=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7753=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7754=AXIS2_PLACEMENT_3D('',#7751,#7752,#7753); +#7755=PLANE('',#7754); +#7756=ORIENTED_EDGE('',*,*,#7729,.F.); +#7757=ORIENTED_EDGE('',*,*,#7743,.F.); +#7758=ORIENTED_EDGE('',*,*,#6486,.T.); +#7760=ORIENTED_EDGE('',*,*,#7759,.F.); +#7762=ORIENTED_EDGE('',*,*,#7761,.T.); +#7763=ORIENTED_EDGE('',*,*,#7701,.T.); +#7764=EDGE_LOOP('',(#7756,#7757,#7758,#7760,#7762,#7763)); +#7765=FACE_OUTER_BOUND('',#7764,.F.); +#7767=CARTESIAN_POINT('',(-2.12852E1,-2.62509E0,-1.5494E1)); +#7768=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#7769=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#7770=AXIS2_PLACEMENT_3D('',#7767,#7768,#7769); +#7771=PLANE('',#7770); +#7772=ORIENTED_EDGE('',*,*,#6492,.F.); +#7774=ORIENTED_EDGE('',*,*,#7773,.F.); +#7776=ORIENTED_EDGE('',*,*,#7775,.T.); +#7777=ORIENTED_EDGE('',*,*,#7759,.T.); +#7778=EDGE_LOOP('',(#7772,#7774,#7776,#7777)); +#7779=FACE_OUTER_BOUND('',#7778,.F.); +#7781=CARTESIAN_POINT('',(-2.18948E1,-2.22631E0,-1.397E1)); +#7782=DIRECTION('',(0.E0,1.E0,0.E0)); +#7783=DIRECTION('',(1.E0,0.E0,0.E0)); +#7784=AXIS2_PLACEMENT_3D('',#7781,#7782,#7783); +#7785=PLANE('',#7784); +#7787=ORIENTED_EDGE('',*,*,#7786,.F.); +#7788=ORIENTED_EDGE('',*,*,#7773,.T.); +#7789=ORIENTED_EDGE('',*,*,#6490,.T.); +#7790=ORIENTED_EDGE('',*,*,#7746,.T.); +#7791=ORIENTED_EDGE('',*,*,#7732,.T.); +#7792=ORIENTED_EDGE('',*,*,#7705,.T.); +#7793=EDGE_LOOP('',(#7787,#7788,#7789,#7790,#7791,#7792)); +#7794=FACE_OUTER_BOUND('',#7793,.F.); +#7796=CARTESIAN_POINT('',(-2.12852E1,-2.22631E0,-1.397E1)); +#7797=DIRECTION('',(1.E0,0.E0,0.E0)); +#7798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7799=AXIS2_PLACEMENT_3D('',#7796,#7797,#7798); +#7800=PLANE('',#7799); +#7801=ORIENTED_EDGE('',*,*,#7775,.F.); +#7802=ORIENTED_EDGE('',*,*,#7786,.T.); +#7803=ORIENTED_EDGE('',*,*,#7703,.T.); +#7804=ORIENTED_EDGE('',*,*,#7761,.F.); +#7805=EDGE_LOOP('',(#7801,#7802,#7803,#7804)); +#7806=FACE_OUTER_BOUND('',#7805,.F.); +#7808=CARTESIAN_POINT('',(-1.93548E1,2.62509E0,-1.397E1)); +#7809=DIRECTION('',(0.E0,1.E0,0.E0)); +#7810=DIRECTION('',(1.E0,0.E0,0.E0)); +#7811=AXIS2_PLACEMENT_3D('',#7808,#7809,#7810); +#7812=PLANE('',#7811); +#7814=ORIENTED_EDGE('',*,*,#7813,.F.); +#7816=ORIENTED_EDGE('',*,*,#7815,.F.); +#7817=ORIENTED_EDGE('',*,*,#6496,.T.); +#7819=ORIENTED_EDGE('',*,*,#7818,.F.); +#7821=ORIENTED_EDGE('',*,*,#7820,.T.); +#7823=ORIENTED_EDGE('',*,*,#7822,.T.); +#7824=EDGE_LOOP('',(#7814,#7816,#7817,#7819,#7821,#7823)); +#7825=FACE_OUTER_BOUND('',#7824,.F.); +#7827=CARTESIAN_POINT('',(-1.87452E1,2.62509E0,-1.397E1)); +#7828=DIRECTION('',(1.E0,0.E0,0.E0)); +#7829=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7830=AXIS2_PLACEMENT_3D('',#7827,#7828,#7829); +#7831=PLANE('',#7830); +#7833=ORIENTED_EDGE('',*,*,#7832,.F.); +#7834=ORIENTED_EDGE('',*,*,#7813,.T.); +#7836=ORIENTED_EDGE('',*,*,#7835,.T.); +#7838=ORIENTED_EDGE('',*,*,#7837,.F.); +#7839=EDGE_LOOP('',(#7833,#7834,#7836,#7838)); +#7840=FACE_OUTER_BOUND('',#7839,.F.); +#7842=CARTESIAN_POINT('',(-1.83403875E1,2.62509E0,-1.397E1)); +#7843=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#7844=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#7845=AXIS2_PLACEMENT_3D('',#7842,#7843,#7844); +#7846=PLANE('',#7845); +#7847=ORIENTED_EDGE('',*,*,#7815,.T.); +#7848=ORIENTED_EDGE('',*,*,#7832,.T.); +#7850=ORIENTED_EDGE('',*,*,#7849,.F.); +#7851=ORIENTED_EDGE('',*,*,#6498,.T.); +#7852=EDGE_LOOP('',(#7847,#7848,#7850,#7851)); +#7853=FACE_OUTER_BOUND('',#7852,.F.); +#7855=CARTESIAN_POINT('',(-1.87452E1,2.22631E0,-1.397E1)); +#7856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7857=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7858=AXIS2_PLACEMENT_3D('',#7855,#7856,#7857); +#7859=PLANE('',#7858); +#7860=ORIENTED_EDGE('',*,*,#6500,.T.); +#7861=ORIENTED_EDGE('',*,*,#7849,.T.); +#7862=ORIENTED_EDGE('',*,*,#7837,.T.); +#7864=ORIENTED_EDGE('',*,*,#7863,.T.); +#7866=ORIENTED_EDGE('',*,*,#7865,.F.); +#7868=ORIENTED_EDGE('',*,*,#7867,.T.); +#7869=EDGE_LOOP('',(#7860,#7861,#7862,#7864,#7866,#7868)); +#7870=FACE_OUTER_BOUND('',#7869,.F.); +#7872=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#7873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7874=DIRECTION('',(1.E0,0.E0,0.E0)); +#7875=AXIS2_PLACEMENT_3D('',#7872,#7873,#7874); +#7876=PLANE('',#7875); +#7878=ORIENTED_EDGE('',*,*,#7877,.F.); +#7880=ORIENTED_EDGE('',*,*,#7879,.F.); +#7882=ORIENTED_EDGE('',*,*,#7881,.F.); +#7884=ORIENTED_EDGE('',*,*,#7883,.F.); +#7885=EDGE_LOOP('',(#7878,#7880,#7882,#7884)); +#7886=FACE_OUTER_BOUND('',#7885,.F.); +#7888=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#7889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7890=DIRECTION('',(1.E0,0.E0,0.E0)); +#7891=AXIS2_PLACEMENT_3D('',#7888,#7889,#7890); +#7892=PLANE('',#7891); +#7893=ORIENTED_EDGE('',*,*,#7822,.F.); +#7895=ORIENTED_EDGE('',*,*,#7894,.F.); +#7896=ORIENTED_EDGE('',*,*,#7863,.F.); +#7897=ORIENTED_EDGE('',*,*,#7835,.F.); +#7898=EDGE_LOOP('',(#7893,#7895,#7896,#7897)); +#7899=FACE_OUTER_BOUND('',#7898,.F.); +#7901=CARTESIAN_POINT('',(-1.93548E1,-2.62509E0,-1.397E1)); +#7902=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7903=DIRECTION('',(0.E0,1.E0,0.E0)); +#7904=AXIS2_PLACEMENT_3D('',#7901,#7902,#7903); +#7905=PLANE('',#7904); +#7907=ORIENTED_EDGE('',*,*,#7906,.F.); +#7909=ORIENTED_EDGE('',*,*,#7908,.T.); +#7910=ORIENTED_EDGE('',*,*,#7877,.T.); +#7912=ORIENTED_EDGE('',*,*,#7911,.F.); +#7913=EDGE_LOOP('',(#7907,#7909,#7910,#7912)); +#7914=FACE_OUTER_BOUND('',#7913,.F.); +#7916=CARTESIAN_POINT('',(-1.97596125E1,-2.62509E0,-1.397E1)); +#7917=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#7918=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#7919=AXIS2_PLACEMENT_3D('',#7916,#7917,#7918); +#7920=PLANE('',#7919); +#7922=ORIENTED_EDGE('',*,*,#7921,.T.); +#7923=ORIENTED_EDGE('',*,*,#7906,.T.); +#7925=ORIENTED_EDGE('',*,*,#7924,.F.); +#7926=ORIENTED_EDGE('',*,*,#6508,.T.); +#7927=EDGE_LOOP('',(#7922,#7923,#7925,#7926)); +#7928=FACE_OUTER_BOUND('',#7927,.F.); +#7930=CARTESIAN_POINT('',(-1.87452E1,-2.62509E0,-1.397E1)); +#7931=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7932=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7933=AXIS2_PLACEMENT_3D('',#7930,#7931,#7932); +#7934=PLANE('',#7933); +#7935=ORIENTED_EDGE('',*,*,#6506,.T.); +#7937=ORIENTED_EDGE('',*,*,#7936,.F.); +#7939=ORIENTED_EDGE('',*,*,#7938,.T.); +#7940=ORIENTED_EDGE('',*,*,#7879,.T.); +#7941=ORIENTED_EDGE('',*,*,#7908,.F.); +#7942=ORIENTED_EDGE('',*,*,#7921,.F.); +#7943=EDGE_LOOP('',(#7935,#7937,#7939,#7940,#7941,#7942)); +#7944=FACE_OUTER_BOUND('',#7943,.F.); +#7946=CARTESIAN_POINT('',(-1.87452E1,-2.62509E0,-1.5494E1)); +#7947=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#7948=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#7949=AXIS2_PLACEMENT_3D('',#7946,#7947,#7948); +#7950=PLANE('',#7949); +#7951=ORIENTED_EDGE('',*,*,#7936,.T.); +#7952=ORIENTED_EDGE('',*,*,#6512,.F.); +#7954=ORIENTED_EDGE('',*,*,#7953,.F.); +#7956=ORIENTED_EDGE('',*,*,#7955,.T.); +#7957=EDGE_LOOP('',(#7951,#7952,#7954,#7956)); +#7958=FACE_OUTER_BOUND('',#7957,.F.); +#7960=CARTESIAN_POINT('',(-1.93548E1,-2.22631E0,-1.397E1)); +#7961=DIRECTION('',(0.E0,1.E0,0.E0)); +#7962=DIRECTION('',(1.E0,0.E0,0.E0)); +#7963=AXIS2_PLACEMENT_3D('',#7960,#7961,#7962); +#7964=PLANE('',#7963); +#7966=ORIENTED_EDGE('',*,*,#7965,.F.); +#7967=ORIENTED_EDGE('',*,*,#7953,.T.); +#7968=ORIENTED_EDGE('',*,*,#6510,.T.); +#7969=ORIENTED_EDGE('',*,*,#7924,.T.); +#7970=ORIENTED_EDGE('',*,*,#7911,.T.); +#7971=ORIENTED_EDGE('',*,*,#7883,.T.); +#7972=EDGE_LOOP('',(#7966,#7967,#7968,#7969,#7970,#7971)); +#7973=FACE_OUTER_BOUND('',#7972,.F.); +#7975=CARTESIAN_POINT('',(-1.87452E1,-2.22631E0,-1.397E1)); +#7976=DIRECTION('',(1.E0,0.E0,0.E0)); +#7977=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7978=AXIS2_PLACEMENT_3D('',#7975,#7976,#7977); +#7979=PLANE('',#7978); +#7980=ORIENTED_EDGE('',*,*,#7955,.F.); +#7981=ORIENTED_EDGE('',*,*,#7965,.T.); +#7982=ORIENTED_EDGE('',*,*,#7881,.T.); +#7983=ORIENTED_EDGE('',*,*,#7938,.F.); +#7984=EDGE_LOOP('',(#7980,#7981,#7982,#7983)); +#7985=FACE_OUTER_BOUND('',#7984,.F.); +#7987=CARTESIAN_POINT('',(-1.93548E1,2.22631E0,-1.397E1)); +#7988=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7989=DIRECTION('',(0.E0,1.E0,0.E0)); +#7990=AXIS2_PLACEMENT_3D('',#7987,#7988,#7989); +#7991=PLANE('',#7990); +#7993=ORIENTED_EDGE('',*,*,#7992,.F.); +#7994=ORIENTED_EDGE('',*,*,#7865,.T.); +#7995=ORIENTED_EDGE('',*,*,#7894,.T.); +#7996=ORIENTED_EDGE('',*,*,#7820,.F.); +#7997=EDGE_LOOP('',(#7993,#7994,#7995,#7996)); +#7998=FACE_OUTER_BOUND('',#7997,.F.); +#8000=CARTESIAN_POINT('',(-1.93548E1,2.62509E0,-1.5494E1)); +#8001=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8002=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#8003=AXIS2_PLACEMENT_3D('',#8000,#8001,#8002); +#8004=PLANE('',#8003); +#8005=ORIENTED_EDGE('',*,*,#7818,.T.); +#8006=ORIENTED_EDGE('',*,*,#6502,.F.); +#8007=ORIENTED_EDGE('',*,*,#7867,.F.); +#8008=ORIENTED_EDGE('',*,*,#7992,.T.); +#8009=EDGE_LOOP('',(#8005,#8006,#8007,#8008)); +#8010=FACE_OUTER_BOUND('',#8009,.F.); +#8012=CARTESIAN_POINT('',(-1.68148E1,2.62509E0,-1.397E1)); +#8013=DIRECTION('',(0.E0,1.E0,0.E0)); +#8014=DIRECTION('',(1.E0,0.E0,0.E0)); +#8015=AXIS2_PLACEMENT_3D('',#8012,#8013,#8014); +#8016=PLANE('',#8015); +#8018=ORIENTED_EDGE('',*,*,#8017,.F.); +#8020=ORIENTED_EDGE('',*,*,#8019,.F.); +#8021=ORIENTED_EDGE('',*,*,#6516,.T.); +#8023=ORIENTED_EDGE('',*,*,#8022,.F.); +#8025=ORIENTED_EDGE('',*,*,#8024,.T.); +#8027=ORIENTED_EDGE('',*,*,#8026,.T.); +#8028=EDGE_LOOP('',(#8018,#8020,#8021,#8023,#8025,#8027)); +#8029=FACE_OUTER_BOUND('',#8028,.F.); +#8031=CARTESIAN_POINT('',(-1.62052E1,2.62509E0,-1.397E1)); +#8032=DIRECTION('',(1.E0,0.E0,0.E0)); +#8033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8034=AXIS2_PLACEMENT_3D('',#8031,#8032,#8033); +#8035=PLANE('',#8034); +#8037=ORIENTED_EDGE('',*,*,#8036,.F.); +#8038=ORIENTED_EDGE('',*,*,#8017,.T.); +#8040=ORIENTED_EDGE('',*,*,#8039,.T.); +#8042=ORIENTED_EDGE('',*,*,#8041,.F.); +#8043=EDGE_LOOP('',(#8037,#8038,#8040,#8042)); +#8044=FACE_OUTER_BOUND('',#8043,.F.); +#8046=CARTESIAN_POINT('',(-1.58003875E1,2.62509E0,-1.397E1)); +#8047=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8048=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#8049=AXIS2_PLACEMENT_3D('',#8046,#8047,#8048); +#8050=PLANE('',#8049); +#8051=ORIENTED_EDGE('',*,*,#8019,.T.); +#8052=ORIENTED_EDGE('',*,*,#8036,.T.); +#8054=ORIENTED_EDGE('',*,*,#8053,.F.); +#8055=ORIENTED_EDGE('',*,*,#6518,.T.); +#8056=EDGE_LOOP('',(#8051,#8052,#8054,#8055)); +#8057=FACE_OUTER_BOUND('',#8056,.F.); +#8059=CARTESIAN_POINT('',(-1.62052E1,2.22631E0,-1.397E1)); +#8060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8061=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8062=AXIS2_PLACEMENT_3D('',#8059,#8060,#8061); +#8063=PLANE('',#8062); +#8064=ORIENTED_EDGE('',*,*,#6520,.T.); +#8065=ORIENTED_EDGE('',*,*,#8053,.T.); +#8066=ORIENTED_EDGE('',*,*,#8041,.T.); +#8068=ORIENTED_EDGE('',*,*,#8067,.T.); +#8070=ORIENTED_EDGE('',*,*,#8069,.F.); +#8072=ORIENTED_EDGE('',*,*,#8071,.T.); +#8073=EDGE_LOOP('',(#8064,#8065,#8066,#8068,#8070,#8072)); +#8074=FACE_OUTER_BOUND('',#8073,.F.); +#8076=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8077=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8078=DIRECTION('',(1.E0,0.E0,0.E0)); +#8079=AXIS2_PLACEMENT_3D('',#8076,#8077,#8078); +#8080=PLANE('',#8079); +#8082=ORIENTED_EDGE('',*,*,#8081,.F.); +#8084=ORIENTED_EDGE('',*,*,#8083,.F.); +#8086=ORIENTED_EDGE('',*,*,#8085,.F.); +#8088=ORIENTED_EDGE('',*,*,#8087,.F.); +#8089=EDGE_LOOP('',(#8082,#8084,#8086,#8088)); +#8090=FACE_OUTER_BOUND('',#8089,.F.); +#8092=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8094=DIRECTION('',(1.E0,0.E0,0.E0)); +#8095=AXIS2_PLACEMENT_3D('',#8092,#8093,#8094); +#8096=PLANE('',#8095); +#8097=ORIENTED_EDGE('',*,*,#8026,.F.); +#8099=ORIENTED_EDGE('',*,*,#8098,.F.); +#8100=ORIENTED_EDGE('',*,*,#8067,.F.); +#8101=ORIENTED_EDGE('',*,*,#8039,.F.); +#8102=EDGE_LOOP('',(#8097,#8099,#8100,#8101)); +#8103=FACE_OUTER_BOUND('',#8102,.F.); +#8105=CARTESIAN_POINT('',(-1.68148E1,-2.62509E0,-1.397E1)); +#8106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8107=DIRECTION('',(0.E0,1.E0,0.E0)); +#8108=AXIS2_PLACEMENT_3D('',#8105,#8106,#8107); +#8109=PLANE('',#8108); +#8111=ORIENTED_EDGE('',*,*,#8110,.F.); +#8113=ORIENTED_EDGE('',*,*,#8112,.T.); +#8114=ORIENTED_EDGE('',*,*,#8081,.T.); +#8116=ORIENTED_EDGE('',*,*,#8115,.F.); +#8117=EDGE_LOOP('',(#8111,#8113,#8114,#8116)); +#8118=FACE_OUTER_BOUND('',#8117,.F.); +#8120=CARTESIAN_POINT('',(-1.72196125E1,-2.62509E0,-1.397E1)); +#8121=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8122=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#8123=AXIS2_PLACEMENT_3D('',#8120,#8121,#8122); +#8124=PLANE('',#8123); +#8126=ORIENTED_EDGE('',*,*,#8125,.T.); +#8127=ORIENTED_EDGE('',*,*,#8110,.T.); +#8129=ORIENTED_EDGE('',*,*,#8128,.F.); +#8130=ORIENTED_EDGE('',*,*,#6528,.T.); +#8131=EDGE_LOOP('',(#8126,#8127,#8129,#8130)); +#8132=FACE_OUTER_BOUND('',#8131,.F.); +#8134=CARTESIAN_POINT('',(-1.62052E1,-2.62509E0,-1.397E1)); +#8135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8137=AXIS2_PLACEMENT_3D('',#8134,#8135,#8136); +#8138=PLANE('',#8137); +#8139=ORIENTED_EDGE('',*,*,#6526,.T.); +#8141=ORIENTED_EDGE('',*,*,#8140,.F.); +#8143=ORIENTED_EDGE('',*,*,#8142,.T.); +#8144=ORIENTED_EDGE('',*,*,#8083,.T.); +#8145=ORIENTED_EDGE('',*,*,#8112,.F.); +#8146=ORIENTED_EDGE('',*,*,#8125,.F.); +#8147=EDGE_LOOP('',(#8139,#8141,#8143,#8144,#8145,#8146)); +#8148=FACE_OUTER_BOUND('',#8147,.F.); +#8150=CARTESIAN_POINT('',(-1.62052E1,-2.62509E0,-1.5494E1)); +#8151=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#8152=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#8153=AXIS2_PLACEMENT_3D('',#8150,#8151,#8152); +#8154=PLANE('',#8153); +#8155=ORIENTED_EDGE('',*,*,#8140,.T.); +#8156=ORIENTED_EDGE('',*,*,#6532,.F.); +#8158=ORIENTED_EDGE('',*,*,#8157,.F.); +#8160=ORIENTED_EDGE('',*,*,#8159,.T.); +#8161=EDGE_LOOP('',(#8155,#8156,#8158,#8160)); +#8162=FACE_OUTER_BOUND('',#8161,.F.); +#8164=CARTESIAN_POINT('',(-1.68148E1,-2.22631E0,-1.397E1)); +#8165=DIRECTION('',(0.E0,1.E0,0.E0)); +#8166=DIRECTION('',(1.E0,0.E0,0.E0)); +#8167=AXIS2_PLACEMENT_3D('',#8164,#8165,#8166); +#8168=PLANE('',#8167); +#8170=ORIENTED_EDGE('',*,*,#8169,.F.); +#8171=ORIENTED_EDGE('',*,*,#8157,.T.); +#8172=ORIENTED_EDGE('',*,*,#6530,.T.); +#8173=ORIENTED_EDGE('',*,*,#8128,.T.); +#8174=ORIENTED_EDGE('',*,*,#8115,.T.); +#8175=ORIENTED_EDGE('',*,*,#8087,.T.); +#8176=EDGE_LOOP('',(#8170,#8171,#8172,#8173,#8174,#8175)); +#8177=FACE_OUTER_BOUND('',#8176,.F.); +#8179=CARTESIAN_POINT('',(-1.62052E1,-2.22631E0,-1.397E1)); +#8180=DIRECTION('',(1.E0,0.E0,0.E0)); +#8181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8182=AXIS2_PLACEMENT_3D('',#8179,#8180,#8181); +#8183=PLANE('',#8182); +#8184=ORIENTED_EDGE('',*,*,#8159,.F.); +#8185=ORIENTED_EDGE('',*,*,#8169,.T.); +#8186=ORIENTED_EDGE('',*,*,#8085,.T.); +#8187=ORIENTED_EDGE('',*,*,#8142,.F.); +#8188=EDGE_LOOP('',(#8184,#8185,#8186,#8187)); +#8189=FACE_OUTER_BOUND('',#8188,.F.); +#8191=CARTESIAN_POINT('',(-1.68148E1,2.22631E0,-1.397E1)); +#8192=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8193=DIRECTION('',(0.E0,1.E0,0.E0)); +#8194=AXIS2_PLACEMENT_3D('',#8191,#8192,#8193); +#8195=PLANE('',#8194); +#8197=ORIENTED_EDGE('',*,*,#8196,.F.); +#8198=ORIENTED_EDGE('',*,*,#8069,.T.); +#8199=ORIENTED_EDGE('',*,*,#8098,.T.); +#8200=ORIENTED_EDGE('',*,*,#8024,.F.); +#8201=EDGE_LOOP('',(#8197,#8198,#8199,#8200)); +#8202=FACE_OUTER_BOUND('',#8201,.F.); +#8204=CARTESIAN_POINT('',(-1.68148E1,2.62509E0,-1.5494E1)); +#8205=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8206=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#8207=AXIS2_PLACEMENT_3D('',#8204,#8205,#8206); +#8208=PLANE('',#8207); +#8209=ORIENTED_EDGE('',*,*,#8022,.T.); +#8210=ORIENTED_EDGE('',*,*,#6522,.F.); +#8211=ORIENTED_EDGE('',*,*,#8071,.F.); +#8212=ORIENTED_EDGE('',*,*,#8196,.T.); +#8213=EDGE_LOOP('',(#8209,#8210,#8211,#8212)); +#8214=FACE_OUTER_BOUND('',#8213,.F.); +#8216=CARTESIAN_POINT('',(-1.42748E1,2.62509E0,-1.397E1)); +#8217=DIRECTION('',(0.E0,1.E0,0.E0)); +#8218=DIRECTION('',(1.E0,0.E0,0.E0)); +#8219=AXIS2_PLACEMENT_3D('',#8216,#8217,#8218); +#8220=PLANE('',#8219); +#8222=ORIENTED_EDGE('',*,*,#8221,.F.); +#8224=ORIENTED_EDGE('',*,*,#8223,.F.); +#8225=ORIENTED_EDGE('',*,*,#6536,.T.); +#8227=ORIENTED_EDGE('',*,*,#8226,.F.); +#8229=ORIENTED_EDGE('',*,*,#8228,.T.); +#8231=ORIENTED_EDGE('',*,*,#8230,.T.); +#8232=EDGE_LOOP('',(#8222,#8224,#8225,#8227,#8229,#8231)); +#8233=FACE_OUTER_BOUND('',#8232,.F.); +#8235=CARTESIAN_POINT('',(-1.36652E1,2.62509E0,-1.397E1)); +#8236=DIRECTION('',(1.E0,0.E0,0.E0)); +#8237=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8238=AXIS2_PLACEMENT_3D('',#8235,#8236,#8237); +#8239=PLANE('',#8238); +#8241=ORIENTED_EDGE('',*,*,#8240,.F.); +#8242=ORIENTED_EDGE('',*,*,#8221,.T.); +#8244=ORIENTED_EDGE('',*,*,#8243,.T.); +#8246=ORIENTED_EDGE('',*,*,#8245,.F.); +#8247=EDGE_LOOP('',(#8241,#8242,#8244,#8246)); +#8248=FACE_OUTER_BOUND('',#8247,.F.); +#8250=CARTESIAN_POINT('',(-1.32603875E1,2.62509E0,-1.397E1)); +#8251=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#8252=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#8253=AXIS2_PLACEMENT_3D('',#8250,#8251,#8252); +#8254=PLANE('',#8253); +#8255=ORIENTED_EDGE('',*,*,#8223,.T.); +#8256=ORIENTED_EDGE('',*,*,#8240,.T.); +#8258=ORIENTED_EDGE('',*,*,#8257,.F.); +#8259=ORIENTED_EDGE('',*,*,#6538,.T.); +#8260=EDGE_LOOP('',(#8255,#8256,#8258,#8259)); +#8261=FACE_OUTER_BOUND('',#8260,.F.); +#8263=CARTESIAN_POINT('',(-1.36652E1,2.22631E0,-1.397E1)); +#8264=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8265=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8266=AXIS2_PLACEMENT_3D('',#8263,#8264,#8265); +#8267=PLANE('',#8266); +#8268=ORIENTED_EDGE('',*,*,#6540,.T.); +#8269=ORIENTED_EDGE('',*,*,#8257,.T.); +#8270=ORIENTED_EDGE('',*,*,#8245,.T.); +#8272=ORIENTED_EDGE('',*,*,#8271,.T.); +#8274=ORIENTED_EDGE('',*,*,#8273,.F.); +#8276=ORIENTED_EDGE('',*,*,#8275,.T.); +#8277=EDGE_LOOP('',(#8268,#8269,#8270,#8272,#8274,#8276)); +#8278=FACE_OUTER_BOUND('',#8277,.F.); +#8280=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8282=DIRECTION('',(1.E0,0.E0,0.E0)); +#8283=AXIS2_PLACEMENT_3D('',#8280,#8281,#8282); +#8284=PLANE('',#8283); +#8286=ORIENTED_EDGE('',*,*,#8285,.F.); +#8288=ORIENTED_EDGE('',*,*,#8287,.F.); +#8290=ORIENTED_EDGE('',*,*,#8289,.F.); +#8292=ORIENTED_EDGE('',*,*,#8291,.F.); +#8293=EDGE_LOOP('',(#8286,#8288,#8290,#8292)); +#8294=FACE_OUTER_BOUND('',#8293,.F.); +#8296=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8298=DIRECTION('',(1.E0,0.E0,0.E0)); +#8299=AXIS2_PLACEMENT_3D('',#8296,#8297,#8298); +#8300=PLANE('',#8299); +#8301=ORIENTED_EDGE('',*,*,#8230,.F.); +#8303=ORIENTED_EDGE('',*,*,#8302,.F.); +#8304=ORIENTED_EDGE('',*,*,#8271,.F.); +#8305=ORIENTED_EDGE('',*,*,#8243,.F.); +#8306=EDGE_LOOP('',(#8301,#8303,#8304,#8305)); +#8307=FACE_OUTER_BOUND('',#8306,.F.); +#8309=CARTESIAN_POINT('',(-1.42748E1,-2.62509E0,-1.397E1)); +#8310=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8311=DIRECTION('',(0.E0,1.E0,0.E0)); +#8312=AXIS2_PLACEMENT_3D('',#8309,#8310,#8311); +#8313=PLANE('',#8312); +#8315=ORIENTED_EDGE('',*,*,#8314,.F.); +#8317=ORIENTED_EDGE('',*,*,#8316,.T.); +#8318=ORIENTED_EDGE('',*,*,#8285,.T.); +#8320=ORIENTED_EDGE('',*,*,#8319,.F.); +#8321=EDGE_LOOP('',(#8315,#8317,#8318,#8320)); +#8322=FACE_OUTER_BOUND('',#8321,.F.); +#8324=CARTESIAN_POINT('',(-1.46796125E1,-2.62509E0,-1.397E1)); +#8325=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#8326=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#8327=AXIS2_PLACEMENT_3D('',#8324,#8325,#8326); +#8328=PLANE('',#8327); +#8330=ORIENTED_EDGE('',*,*,#8329,.T.); +#8331=ORIENTED_EDGE('',*,*,#8314,.T.); +#8333=ORIENTED_EDGE('',*,*,#8332,.F.); +#8334=ORIENTED_EDGE('',*,*,#6548,.T.); +#8335=EDGE_LOOP('',(#8330,#8331,#8333,#8334)); +#8336=FACE_OUTER_BOUND('',#8335,.F.); +#8338=CARTESIAN_POINT('',(-1.36652E1,-2.62509E0,-1.397E1)); +#8339=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8340=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8341=AXIS2_PLACEMENT_3D('',#8338,#8339,#8340); +#8342=PLANE('',#8341); +#8343=ORIENTED_EDGE('',*,*,#6546,.T.); +#8345=ORIENTED_EDGE('',*,*,#8344,.F.); +#8347=ORIENTED_EDGE('',*,*,#8346,.T.); +#8348=ORIENTED_EDGE('',*,*,#8287,.T.); +#8349=ORIENTED_EDGE('',*,*,#8316,.F.); +#8350=ORIENTED_EDGE('',*,*,#8329,.F.); +#8351=EDGE_LOOP('',(#8343,#8345,#8347,#8348,#8349,#8350)); +#8352=FACE_OUTER_BOUND('',#8351,.F.); +#8354=CARTESIAN_POINT('',(-1.36652E1,-2.62509E0,-1.5494E1)); +#8355=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#8356=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#8357=AXIS2_PLACEMENT_3D('',#8354,#8355,#8356); +#8358=PLANE('',#8357); +#8359=ORIENTED_EDGE('',*,*,#8344,.T.); +#8360=ORIENTED_EDGE('',*,*,#6552,.F.); +#8362=ORIENTED_EDGE('',*,*,#8361,.F.); +#8364=ORIENTED_EDGE('',*,*,#8363,.T.); +#8365=EDGE_LOOP('',(#8359,#8360,#8362,#8364)); +#8366=FACE_OUTER_BOUND('',#8365,.F.); +#8368=CARTESIAN_POINT('',(-1.42748E1,-2.22631E0,-1.397E1)); +#8369=DIRECTION('',(0.E0,1.E0,0.E0)); +#8370=DIRECTION('',(1.E0,0.E0,0.E0)); +#8371=AXIS2_PLACEMENT_3D('',#8368,#8369,#8370); +#8372=PLANE('',#8371); +#8374=ORIENTED_EDGE('',*,*,#8373,.F.); +#8375=ORIENTED_EDGE('',*,*,#8361,.T.); +#8376=ORIENTED_EDGE('',*,*,#6550,.T.); +#8377=ORIENTED_EDGE('',*,*,#8332,.T.); +#8378=ORIENTED_EDGE('',*,*,#8319,.T.); +#8379=ORIENTED_EDGE('',*,*,#8291,.T.); +#8380=EDGE_LOOP('',(#8374,#8375,#8376,#8377,#8378,#8379)); +#8381=FACE_OUTER_BOUND('',#8380,.F.); +#8383=CARTESIAN_POINT('',(-1.36652E1,-2.22631E0,-1.397E1)); +#8384=DIRECTION('',(1.E0,0.E0,0.E0)); +#8385=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8386=AXIS2_PLACEMENT_3D('',#8383,#8384,#8385); +#8387=PLANE('',#8386); +#8388=ORIENTED_EDGE('',*,*,#8363,.F.); +#8389=ORIENTED_EDGE('',*,*,#8373,.T.); +#8390=ORIENTED_EDGE('',*,*,#8289,.T.); +#8391=ORIENTED_EDGE('',*,*,#8346,.F.); +#8392=EDGE_LOOP('',(#8388,#8389,#8390,#8391)); +#8393=FACE_OUTER_BOUND('',#8392,.F.); +#8395=CARTESIAN_POINT('',(-1.42748E1,2.22631E0,-1.397E1)); +#8396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8397=DIRECTION('',(0.E0,1.E0,0.E0)); +#8398=AXIS2_PLACEMENT_3D('',#8395,#8396,#8397); +#8399=PLANE('',#8398); +#8401=ORIENTED_EDGE('',*,*,#8400,.F.); +#8402=ORIENTED_EDGE('',*,*,#8273,.T.); +#8403=ORIENTED_EDGE('',*,*,#8302,.T.); +#8404=ORIENTED_EDGE('',*,*,#8228,.F.); +#8405=EDGE_LOOP('',(#8401,#8402,#8403,#8404)); +#8406=FACE_OUTER_BOUND('',#8405,.F.); +#8408=CARTESIAN_POINT('',(-1.42748E1,2.62509E0,-1.5494E1)); +#8409=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#8410=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#8411=AXIS2_PLACEMENT_3D('',#8408,#8409,#8410); +#8412=PLANE('',#8411); +#8413=ORIENTED_EDGE('',*,*,#8226,.T.); +#8414=ORIENTED_EDGE('',*,*,#6542,.F.); +#8415=ORIENTED_EDGE('',*,*,#8275,.F.); +#8416=ORIENTED_EDGE('',*,*,#8400,.T.); +#8417=EDGE_LOOP('',(#8413,#8414,#8415,#8416)); +#8418=FACE_OUTER_BOUND('',#8417,.F.); +#8420=CARTESIAN_POINT('',(-1.17348E1,2.62509E0,-1.397E1)); +#8421=DIRECTION('',(0.E0,1.E0,0.E0)); +#8422=DIRECTION('',(1.E0,0.E0,0.E0)); +#8423=AXIS2_PLACEMENT_3D('',#8420,#8421,#8422); +#8424=PLANE('',#8423); +#8426=ORIENTED_EDGE('',*,*,#8425,.F.); +#8428=ORIENTED_EDGE('',*,*,#8427,.F.); +#8429=ORIENTED_EDGE('',*,*,#6556,.T.); +#8431=ORIENTED_EDGE('',*,*,#8430,.F.); +#8433=ORIENTED_EDGE('',*,*,#8432,.T.); +#8435=ORIENTED_EDGE('',*,*,#8434,.T.); +#8436=EDGE_LOOP('',(#8426,#8428,#8429,#8431,#8433,#8435)); +#8437=FACE_OUTER_BOUND('',#8436,.F.); +#8439=CARTESIAN_POINT('',(-1.11252E1,2.62509E0,-1.397E1)); +#8440=DIRECTION('',(1.E0,0.E0,0.E0)); +#8441=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8442=AXIS2_PLACEMENT_3D('',#8439,#8440,#8441); +#8443=PLANE('',#8442); +#8445=ORIENTED_EDGE('',*,*,#8444,.F.); +#8446=ORIENTED_EDGE('',*,*,#8425,.T.); +#8448=ORIENTED_EDGE('',*,*,#8447,.T.); +#8450=ORIENTED_EDGE('',*,*,#8449,.F.); +#8451=EDGE_LOOP('',(#8445,#8446,#8448,#8450)); +#8452=FACE_OUTER_BOUND('',#8451,.F.); +#8454=CARTESIAN_POINT('',(-1.07203875E1,2.62509E0,-1.397E1)); +#8455=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8456=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#8457=AXIS2_PLACEMENT_3D('',#8454,#8455,#8456); +#8458=PLANE('',#8457); +#8459=ORIENTED_EDGE('',*,*,#8427,.T.); +#8460=ORIENTED_EDGE('',*,*,#8444,.T.); +#8462=ORIENTED_EDGE('',*,*,#8461,.F.); +#8463=ORIENTED_EDGE('',*,*,#6558,.T.); +#8464=EDGE_LOOP('',(#8459,#8460,#8462,#8463)); +#8465=FACE_OUTER_BOUND('',#8464,.F.); +#8467=CARTESIAN_POINT('',(-1.11252E1,2.22631E0,-1.397E1)); +#8468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8470=AXIS2_PLACEMENT_3D('',#8467,#8468,#8469); +#8471=PLANE('',#8470); +#8472=ORIENTED_EDGE('',*,*,#6560,.T.); +#8473=ORIENTED_EDGE('',*,*,#8461,.T.); +#8474=ORIENTED_EDGE('',*,*,#8449,.T.); +#8476=ORIENTED_EDGE('',*,*,#8475,.T.); +#8478=ORIENTED_EDGE('',*,*,#8477,.F.); +#8480=ORIENTED_EDGE('',*,*,#8479,.T.); +#8481=EDGE_LOOP('',(#8472,#8473,#8474,#8476,#8478,#8480)); +#8482=FACE_OUTER_BOUND('',#8481,.F.); +#8484=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8486=DIRECTION('',(1.E0,0.E0,0.E0)); +#8487=AXIS2_PLACEMENT_3D('',#8484,#8485,#8486); +#8488=PLANE('',#8487); +#8490=ORIENTED_EDGE('',*,*,#8489,.F.); +#8492=ORIENTED_EDGE('',*,*,#8491,.F.); +#8494=ORIENTED_EDGE('',*,*,#8493,.F.); +#8496=ORIENTED_EDGE('',*,*,#8495,.F.); +#8497=EDGE_LOOP('',(#8490,#8492,#8494,#8496)); +#8498=FACE_OUTER_BOUND('',#8497,.F.); +#8500=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8502=DIRECTION('',(1.E0,0.E0,0.E0)); +#8503=AXIS2_PLACEMENT_3D('',#8500,#8501,#8502); +#8504=PLANE('',#8503); +#8505=ORIENTED_EDGE('',*,*,#8434,.F.); +#8507=ORIENTED_EDGE('',*,*,#8506,.F.); +#8508=ORIENTED_EDGE('',*,*,#8475,.F.); +#8509=ORIENTED_EDGE('',*,*,#8447,.F.); +#8510=EDGE_LOOP('',(#8505,#8507,#8508,#8509)); +#8511=FACE_OUTER_BOUND('',#8510,.F.); +#8513=CARTESIAN_POINT('',(-1.17348E1,-2.62509E0,-1.397E1)); +#8514=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8515=DIRECTION('',(0.E0,1.E0,0.E0)); +#8516=AXIS2_PLACEMENT_3D('',#8513,#8514,#8515); +#8517=PLANE('',#8516); +#8519=ORIENTED_EDGE('',*,*,#8518,.F.); +#8521=ORIENTED_EDGE('',*,*,#8520,.T.); +#8522=ORIENTED_EDGE('',*,*,#8489,.T.); +#8524=ORIENTED_EDGE('',*,*,#8523,.F.); +#8525=EDGE_LOOP('',(#8519,#8521,#8522,#8524)); +#8526=FACE_OUTER_BOUND('',#8525,.F.); +#8528=CARTESIAN_POINT('',(-1.21396125E1,-2.62509E0,-1.397E1)); +#8529=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8530=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#8531=AXIS2_PLACEMENT_3D('',#8528,#8529,#8530); +#8532=PLANE('',#8531); +#8534=ORIENTED_EDGE('',*,*,#8533,.T.); +#8535=ORIENTED_EDGE('',*,*,#8518,.T.); +#8537=ORIENTED_EDGE('',*,*,#8536,.F.); +#8538=ORIENTED_EDGE('',*,*,#6568,.T.); +#8539=EDGE_LOOP('',(#8534,#8535,#8537,#8538)); +#8540=FACE_OUTER_BOUND('',#8539,.F.); +#8542=CARTESIAN_POINT('',(-1.11252E1,-2.62509E0,-1.397E1)); +#8543=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8544=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8545=AXIS2_PLACEMENT_3D('',#8542,#8543,#8544); +#8546=PLANE('',#8545); +#8547=ORIENTED_EDGE('',*,*,#6566,.T.); +#8549=ORIENTED_EDGE('',*,*,#8548,.F.); +#8551=ORIENTED_EDGE('',*,*,#8550,.T.); +#8552=ORIENTED_EDGE('',*,*,#8491,.T.); +#8553=ORIENTED_EDGE('',*,*,#8520,.F.); +#8554=ORIENTED_EDGE('',*,*,#8533,.F.); +#8555=EDGE_LOOP('',(#8547,#8549,#8551,#8552,#8553,#8554)); +#8556=FACE_OUTER_BOUND('',#8555,.F.); +#8558=CARTESIAN_POINT('',(-1.11252E1,-2.62509E0,-1.5494E1)); +#8559=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8560=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#8561=AXIS2_PLACEMENT_3D('',#8558,#8559,#8560); +#8562=PLANE('',#8561); +#8563=ORIENTED_EDGE('',*,*,#8548,.T.); +#8564=ORIENTED_EDGE('',*,*,#6572,.F.); +#8566=ORIENTED_EDGE('',*,*,#8565,.F.); +#8568=ORIENTED_EDGE('',*,*,#8567,.T.); +#8569=EDGE_LOOP('',(#8563,#8564,#8566,#8568)); +#8570=FACE_OUTER_BOUND('',#8569,.F.); +#8572=CARTESIAN_POINT('',(-1.17348E1,-2.22631E0,-1.397E1)); +#8573=DIRECTION('',(0.E0,1.E0,0.E0)); +#8574=DIRECTION('',(1.E0,0.E0,0.E0)); +#8575=AXIS2_PLACEMENT_3D('',#8572,#8573,#8574); +#8576=PLANE('',#8575); +#8578=ORIENTED_EDGE('',*,*,#8577,.F.); +#8579=ORIENTED_EDGE('',*,*,#8565,.T.); +#8580=ORIENTED_EDGE('',*,*,#6570,.T.); +#8581=ORIENTED_EDGE('',*,*,#8536,.T.); +#8582=ORIENTED_EDGE('',*,*,#8523,.T.); +#8583=ORIENTED_EDGE('',*,*,#8495,.T.); +#8584=EDGE_LOOP('',(#8578,#8579,#8580,#8581,#8582,#8583)); +#8585=FACE_OUTER_BOUND('',#8584,.F.); +#8587=CARTESIAN_POINT('',(-1.11252E1,-2.22631E0,-1.397E1)); +#8588=DIRECTION('',(1.E0,0.E0,0.E0)); +#8589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8590=AXIS2_PLACEMENT_3D('',#8587,#8588,#8589); +#8591=PLANE('',#8590); +#8592=ORIENTED_EDGE('',*,*,#8567,.F.); +#8593=ORIENTED_EDGE('',*,*,#8577,.T.); +#8594=ORIENTED_EDGE('',*,*,#8493,.T.); +#8595=ORIENTED_EDGE('',*,*,#8550,.F.); +#8596=EDGE_LOOP('',(#8592,#8593,#8594,#8595)); +#8597=FACE_OUTER_BOUND('',#8596,.F.); +#8599=CARTESIAN_POINT('',(-1.17348E1,2.22631E0,-1.397E1)); +#8600=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8601=DIRECTION('',(0.E0,1.E0,0.E0)); +#8602=AXIS2_PLACEMENT_3D('',#8599,#8600,#8601); +#8603=PLANE('',#8602); +#8605=ORIENTED_EDGE('',*,*,#8604,.F.); +#8606=ORIENTED_EDGE('',*,*,#8477,.T.); +#8607=ORIENTED_EDGE('',*,*,#8506,.T.); +#8608=ORIENTED_EDGE('',*,*,#8432,.F.); +#8609=EDGE_LOOP('',(#8605,#8606,#8607,#8608)); +#8610=FACE_OUTER_BOUND('',#8609,.F.); +#8612=CARTESIAN_POINT('',(-1.17348E1,2.62509E0,-1.5494E1)); +#8613=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8614=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#8615=AXIS2_PLACEMENT_3D('',#8612,#8613,#8614); +#8616=PLANE('',#8615); +#8617=ORIENTED_EDGE('',*,*,#8430,.T.); +#8618=ORIENTED_EDGE('',*,*,#6562,.F.); +#8619=ORIENTED_EDGE('',*,*,#8479,.F.); +#8620=ORIENTED_EDGE('',*,*,#8604,.T.); +#8621=EDGE_LOOP('',(#8617,#8618,#8619,#8620)); +#8622=FACE_OUTER_BOUND('',#8621,.F.); +#8624=CARTESIAN_POINT('',(-9.1948E0,2.62509E0,-1.397E1)); +#8625=DIRECTION('',(0.E0,1.E0,0.E0)); +#8626=DIRECTION('',(1.E0,0.E0,0.E0)); +#8627=AXIS2_PLACEMENT_3D('',#8624,#8625,#8626); +#8628=PLANE('',#8627); +#8630=ORIENTED_EDGE('',*,*,#8629,.F.); +#8632=ORIENTED_EDGE('',*,*,#8631,.F.); +#8633=ORIENTED_EDGE('',*,*,#6576,.T.); +#8635=ORIENTED_EDGE('',*,*,#8634,.F.); +#8637=ORIENTED_EDGE('',*,*,#8636,.T.); +#8639=ORIENTED_EDGE('',*,*,#8638,.T.); +#8640=EDGE_LOOP('',(#8630,#8632,#8633,#8635,#8637,#8639)); +#8641=FACE_OUTER_BOUND('',#8640,.F.); +#8643=CARTESIAN_POINT('',(-8.5852E0,2.62509E0,-1.397E1)); +#8644=DIRECTION('',(1.E0,0.E0,0.E0)); +#8645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8646=AXIS2_PLACEMENT_3D('',#8643,#8644,#8645); +#8647=PLANE('',#8646); +#8649=ORIENTED_EDGE('',*,*,#8648,.F.); +#8650=ORIENTED_EDGE('',*,*,#8629,.T.); +#8652=ORIENTED_EDGE('',*,*,#8651,.T.); +#8654=ORIENTED_EDGE('',*,*,#8653,.F.); +#8655=EDGE_LOOP('',(#8649,#8650,#8652,#8654)); +#8656=FACE_OUTER_BOUND('',#8655,.F.); +#8658=CARTESIAN_POINT('',(-8.1803875E0,2.62509E0,-1.397E1)); +#8659=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#8660=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#8661=AXIS2_PLACEMENT_3D('',#8658,#8659,#8660); +#8662=PLANE('',#8661); +#8663=ORIENTED_EDGE('',*,*,#8631,.T.); +#8664=ORIENTED_EDGE('',*,*,#8648,.T.); +#8666=ORIENTED_EDGE('',*,*,#8665,.F.); +#8667=ORIENTED_EDGE('',*,*,#6578,.T.); +#8668=EDGE_LOOP('',(#8663,#8664,#8666,#8667)); +#8669=FACE_OUTER_BOUND('',#8668,.F.); +#8671=CARTESIAN_POINT('',(-8.5852E0,2.22631E0,-1.397E1)); +#8672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8674=AXIS2_PLACEMENT_3D('',#8671,#8672,#8673); +#8675=PLANE('',#8674); +#8676=ORIENTED_EDGE('',*,*,#6580,.T.); +#8677=ORIENTED_EDGE('',*,*,#8665,.T.); +#8678=ORIENTED_EDGE('',*,*,#8653,.T.); +#8680=ORIENTED_EDGE('',*,*,#8679,.T.); +#8682=ORIENTED_EDGE('',*,*,#8681,.F.); +#8684=ORIENTED_EDGE('',*,*,#8683,.T.); +#8685=EDGE_LOOP('',(#8676,#8677,#8678,#8680,#8682,#8684)); +#8686=FACE_OUTER_BOUND('',#8685,.F.); +#8688=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8689=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8690=DIRECTION('',(1.E0,0.E0,0.E0)); +#8691=AXIS2_PLACEMENT_3D('',#8688,#8689,#8690); +#8692=PLANE('',#8691); +#8694=ORIENTED_EDGE('',*,*,#8693,.F.); +#8696=ORIENTED_EDGE('',*,*,#8695,.F.); +#8698=ORIENTED_EDGE('',*,*,#8697,.F.); +#8700=ORIENTED_EDGE('',*,*,#8699,.F.); +#8701=EDGE_LOOP('',(#8694,#8696,#8698,#8700)); +#8702=FACE_OUTER_BOUND('',#8701,.F.); +#8704=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8706=DIRECTION('',(1.E0,0.E0,0.E0)); +#8707=AXIS2_PLACEMENT_3D('',#8704,#8705,#8706); +#8708=PLANE('',#8707); +#8709=ORIENTED_EDGE('',*,*,#8638,.F.); +#8711=ORIENTED_EDGE('',*,*,#8710,.F.); +#8712=ORIENTED_EDGE('',*,*,#8679,.F.); +#8713=ORIENTED_EDGE('',*,*,#8651,.F.); +#8714=EDGE_LOOP('',(#8709,#8711,#8712,#8713)); +#8715=FACE_OUTER_BOUND('',#8714,.F.); +#8717=CARTESIAN_POINT('',(-9.1948E0,-2.62509E0,-1.397E1)); +#8718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8719=DIRECTION('',(0.E0,1.E0,0.E0)); +#8720=AXIS2_PLACEMENT_3D('',#8717,#8718,#8719); +#8721=PLANE('',#8720); +#8723=ORIENTED_EDGE('',*,*,#8722,.F.); +#8725=ORIENTED_EDGE('',*,*,#8724,.T.); +#8726=ORIENTED_EDGE('',*,*,#8693,.T.); +#8728=ORIENTED_EDGE('',*,*,#8727,.F.); +#8729=EDGE_LOOP('',(#8723,#8725,#8726,#8728)); +#8730=FACE_OUTER_BOUND('',#8729,.F.); +#8732=CARTESIAN_POINT('',(-9.5996125E0,-2.62509E0,-1.397E1)); +#8733=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8734=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#8735=AXIS2_PLACEMENT_3D('',#8732,#8733,#8734); +#8736=PLANE('',#8735); +#8738=ORIENTED_EDGE('',*,*,#8737,.T.); +#8739=ORIENTED_EDGE('',*,*,#8722,.T.); +#8741=ORIENTED_EDGE('',*,*,#8740,.F.); +#8742=ORIENTED_EDGE('',*,*,#6588,.T.); +#8743=EDGE_LOOP('',(#8738,#8739,#8741,#8742)); +#8744=FACE_OUTER_BOUND('',#8743,.F.); +#8746=CARTESIAN_POINT('',(-8.5852E0,-2.62509E0,-1.397E1)); +#8747=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8748=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8749=AXIS2_PLACEMENT_3D('',#8746,#8747,#8748); +#8750=PLANE('',#8749); +#8751=ORIENTED_EDGE('',*,*,#6586,.T.); +#8753=ORIENTED_EDGE('',*,*,#8752,.F.); +#8755=ORIENTED_EDGE('',*,*,#8754,.T.); +#8756=ORIENTED_EDGE('',*,*,#8695,.T.); +#8757=ORIENTED_EDGE('',*,*,#8724,.F.); +#8758=ORIENTED_EDGE('',*,*,#8737,.F.); +#8759=EDGE_LOOP('',(#8751,#8753,#8755,#8756,#8757,#8758)); +#8760=FACE_OUTER_BOUND('',#8759,.F.); +#8762=CARTESIAN_POINT('',(-8.5852E0,-2.62509E0,-1.5494E1)); +#8763=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#8764=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#8765=AXIS2_PLACEMENT_3D('',#8762,#8763,#8764); +#8766=PLANE('',#8765); +#8767=ORIENTED_EDGE('',*,*,#8752,.T.); +#8768=ORIENTED_EDGE('',*,*,#6592,.F.); +#8770=ORIENTED_EDGE('',*,*,#8769,.F.); +#8772=ORIENTED_EDGE('',*,*,#8771,.T.); +#8773=EDGE_LOOP('',(#8767,#8768,#8770,#8772)); +#8774=FACE_OUTER_BOUND('',#8773,.F.); +#8776=CARTESIAN_POINT('',(-9.1948E0,-2.22631E0,-1.397E1)); +#8777=DIRECTION('',(0.E0,1.E0,0.E0)); +#8778=DIRECTION('',(1.E0,0.E0,0.E0)); +#8779=AXIS2_PLACEMENT_3D('',#8776,#8777,#8778); +#8780=PLANE('',#8779); +#8782=ORIENTED_EDGE('',*,*,#8781,.F.); +#8783=ORIENTED_EDGE('',*,*,#8769,.T.); +#8784=ORIENTED_EDGE('',*,*,#6590,.T.); +#8785=ORIENTED_EDGE('',*,*,#8740,.T.); +#8786=ORIENTED_EDGE('',*,*,#8727,.T.); +#8787=ORIENTED_EDGE('',*,*,#8699,.T.); +#8788=EDGE_LOOP('',(#8782,#8783,#8784,#8785,#8786,#8787)); +#8789=FACE_OUTER_BOUND('',#8788,.F.); +#8791=CARTESIAN_POINT('',(-8.5852E0,-2.22631E0,-1.397E1)); +#8792=DIRECTION('',(1.E0,0.E0,0.E0)); +#8793=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8794=AXIS2_PLACEMENT_3D('',#8791,#8792,#8793); +#8795=PLANE('',#8794); +#8796=ORIENTED_EDGE('',*,*,#8771,.F.); +#8797=ORIENTED_EDGE('',*,*,#8781,.T.); +#8798=ORIENTED_EDGE('',*,*,#8697,.T.); +#8799=ORIENTED_EDGE('',*,*,#8754,.F.); +#8800=EDGE_LOOP('',(#8796,#8797,#8798,#8799)); +#8801=FACE_OUTER_BOUND('',#8800,.F.); +#8803=CARTESIAN_POINT('',(-9.1948E0,2.22631E0,-1.397E1)); +#8804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8805=DIRECTION('',(0.E0,1.E0,0.E0)); +#8806=AXIS2_PLACEMENT_3D('',#8803,#8804,#8805); +#8807=PLANE('',#8806); +#8809=ORIENTED_EDGE('',*,*,#8808,.F.); +#8810=ORIENTED_EDGE('',*,*,#8681,.T.); +#8811=ORIENTED_EDGE('',*,*,#8710,.T.); +#8812=ORIENTED_EDGE('',*,*,#8636,.F.); +#8813=EDGE_LOOP('',(#8809,#8810,#8811,#8812)); +#8814=FACE_OUTER_BOUND('',#8813,.F.); +#8816=CARTESIAN_POINT('',(-9.1948E0,2.62509E0,-1.5494E1)); +#8817=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8818=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#8819=AXIS2_PLACEMENT_3D('',#8816,#8817,#8818); +#8820=PLANE('',#8819); +#8821=ORIENTED_EDGE('',*,*,#8634,.T.); +#8822=ORIENTED_EDGE('',*,*,#6582,.F.); +#8823=ORIENTED_EDGE('',*,*,#8683,.F.); +#8824=ORIENTED_EDGE('',*,*,#8808,.T.); +#8825=EDGE_LOOP('',(#8821,#8822,#8823,#8824)); +#8826=FACE_OUTER_BOUND('',#8825,.F.); +#8828=CARTESIAN_POINT('',(-6.6548E0,2.62509E0,-1.397E1)); +#8829=DIRECTION('',(0.E0,1.E0,0.E0)); +#8830=DIRECTION('',(1.E0,0.E0,0.E0)); +#8831=AXIS2_PLACEMENT_3D('',#8828,#8829,#8830); +#8832=PLANE('',#8831); +#8834=ORIENTED_EDGE('',*,*,#8833,.F.); +#8836=ORIENTED_EDGE('',*,*,#8835,.F.); +#8837=ORIENTED_EDGE('',*,*,#6596,.T.); +#8839=ORIENTED_EDGE('',*,*,#8838,.F.); +#8841=ORIENTED_EDGE('',*,*,#8840,.T.); +#8843=ORIENTED_EDGE('',*,*,#8842,.T.); +#8844=EDGE_LOOP('',(#8834,#8836,#8837,#8839,#8841,#8843)); +#8845=FACE_OUTER_BOUND('',#8844,.F.); +#8847=CARTESIAN_POINT('',(-6.0452E0,2.62509E0,-1.397E1)); +#8848=DIRECTION('',(1.E0,0.E0,0.E0)); +#8849=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8850=AXIS2_PLACEMENT_3D('',#8847,#8848,#8849); +#8851=PLANE('',#8850); +#8853=ORIENTED_EDGE('',*,*,#8852,.F.); +#8854=ORIENTED_EDGE('',*,*,#8833,.T.); +#8856=ORIENTED_EDGE('',*,*,#8855,.T.); +#8858=ORIENTED_EDGE('',*,*,#8857,.F.); +#8859=EDGE_LOOP('',(#8853,#8854,#8856,#8858)); +#8860=FACE_OUTER_BOUND('',#8859,.F.); +#8862=CARTESIAN_POINT('',(-5.6403875E0,2.62509E0,-1.397E1)); +#8863=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8864=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#8865=AXIS2_PLACEMENT_3D('',#8862,#8863,#8864); +#8866=PLANE('',#8865); +#8867=ORIENTED_EDGE('',*,*,#8835,.T.); +#8868=ORIENTED_EDGE('',*,*,#8852,.T.); +#8870=ORIENTED_EDGE('',*,*,#8869,.F.); +#8871=ORIENTED_EDGE('',*,*,#6598,.T.); +#8872=EDGE_LOOP('',(#8867,#8868,#8870,#8871)); +#8873=FACE_OUTER_BOUND('',#8872,.F.); +#8875=CARTESIAN_POINT('',(-6.0452E0,2.22631E0,-1.397E1)); +#8876=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8877=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8878=AXIS2_PLACEMENT_3D('',#8875,#8876,#8877); +#8879=PLANE('',#8878); +#8880=ORIENTED_EDGE('',*,*,#6600,.T.); +#8881=ORIENTED_EDGE('',*,*,#8869,.T.); +#8882=ORIENTED_EDGE('',*,*,#8857,.T.); +#8884=ORIENTED_EDGE('',*,*,#8883,.T.); +#8886=ORIENTED_EDGE('',*,*,#8885,.F.); +#8888=ORIENTED_EDGE('',*,*,#8887,.T.); +#8889=EDGE_LOOP('',(#8880,#8881,#8882,#8884,#8886,#8888)); +#8890=FACE_OUTER_BOUND('',#8889,.F.); +#8892=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8894=DIRECTION('',(1.E0,0.E0,0.E0)); +#8895=AXIS2_PLACEMENT_3D('',#8892,#8893,#8894); +#8896=PLANE('',#8895); +#8898=ORIENTED_EDGE('',*,*,#8897,.F.); +#8900=ORIENTED_EDGE('',*,*,#8899,.F.); +#8902=ORIENTED_EDGE('',*,*,#8901,.F.); +#8904=ORIENTED_EDGE('',*,*,#8903,.F.); +#8905=EDGE_LOOP('',(#8898,#8900,#8902,#8904)); +#8906=FACE_OUTER_BOUND('',#8905,.F.); +#8908=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#8909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8910=DIRECTION('',(1.E0,0.E0,0.E0)); +#8911=AXIS2_PLACEMENT_3D('',#8908,#8909,#8910); +#8912=PLANE('',#8911); +#8913=ORIENTED_EDGE('',*,*,#8842,.F.); +#8915=ORIENTED_EDGE('',*,*,#8914,.F.); +#8916=ORIENTED_EDGE('',*,*,#8883,.F.); +#8917=ORIENTED_EDGE('',*,*,#8855,.F.); +#8918=EDGE_LOOP('',(#8913,#8915,#8916,#8917)); +#8919=FACE_OUTER_BOUND('',#8918,.F.); +#8921=CARTESIAN_POINT('',(-6.6548E0,-2.62509E0,-1.397E1)); +#8922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8923=DIRECTION('',(0.E0,1.E0,0.E0)); +#8924=AXIS2_PLACEMENT_3D('',#8921,#8922,#8923); +#8925=PLANE('',#8924); +#8927=ORIENTED_EDGE('',*,*,#8926,.F.); +#8929=ORIENTED_EDGE('',*,*,#8928,.T.); +#8930=ORIENTED_EDGE('',*,*,#8897,.T.); +#8932=ORIENTED_EDGE('',*,*,#8931,.F.); +#8933=EDGE_LOOP('',(#8927,#8929,#8930,#8932)); +#8934=FACE_OUTER_BOUND('',#8933,.F.); +#8936=CARTESIAN_POINT('',(-7.0596125E0,-2.62509E0,-1.397E1)); +#8937=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8938=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#8939=AXIS2_PLACEMENT_3D('',#8936,#8937,#8938); +#8940=PLANE('',#8939); +#8942=ORIENTED_EDGE('',*,*,#8941,.T.); +#8943=ORIENTED_EDGE('',*,*,#8926,.T.); +#8945=ORIENTED_EDGE('',*,*,#8944,.F.); +#8946=ORIENTED_EDGE('',*,*,#6608,.T.); +#8947=EDGE_LOOP('',(#8942,#8943,#8945,#8946)); +#8948=FACE_OUTER_BOUND('',#8947,.F.); +#8950=CARTESIAN_POINT('',(-6.0452E0,-2.62509E0,-1.397E1)); +#8951=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8952=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8953=AXIS2_PLACEMENT_3D('',#8950,#8951,#8952); +#8954=PLANE('',#8953); +#8955=ORIENTED_EDGE('',*,*,#6606,.T.); +#8957=ORIENTED_EDGE('',*,*,#8956,.F.); +#8959=ORIENTED_EDGE('',*,*,#8958,.T.); +#8960=ORIENTED_EDGE('',*,*,#8899,.T.); +#8961=ORIENTED_EDGE('',*,*,#8928,.F.); +#8962=ORIENTED_EDGE('',*,*,#8941,.F.); +#8963=EDGE_LOOP('',(#8955,#8957,#8959,#8960,#8961,#8962)); +#8964=FACE_OUTER_BOUND('',#8963,.F.); +#8966=CARTESIAN_POINT('',(-6.0452E0,-2.62509E0,-1.5494E1)); +#8967=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#8968=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#8969=AXIS2_PLACEMENT_3D('',#8966,#8967,#8968); +#8970=PLANE('',#8969); +#8971=ORIENTED_EDGE('',*,*,#8956,.T.); +#8972=ORIENTED_EDGE('',*,*,#6612,.F.); +#8974=ORIENTED_EDGE('',*,*,#8973,.F.); +#8976=ORIENTED_EDGE('',*,*,#8975,.T.); +#8977=EDGE_LOOP('',(#8971,#8972,#8974,#8976)); +#8978=FACE_OUTER_BOUND('',#8977,.F.); +#8980=CARTESIAN_POINT('',(-6.6548E0,-2.22631E0,-1.397E1)); +#8981=DIRECTION('',(0.E0,1.E0,0.E0)); +#8982=DIRECTION('',(1.E0,0.E0,0.E0)); +#8983=AXIS2_PLACEMENT_3D('',#8980,#8981,#8982); +#8984=PLANE('',#8983); +#8986=ORIENTED_EDGE('',*,*,#8985,.F.); +#8987=ORIENTED_EDGE('',*,*,#8973,.T.); +#8988=ORIENTED_EDGE('',*,*,#6610,.T.); +#8989=ORIENTED_EDGE('',*,*,#8944,.T.); +#8990=ORIENTED_EDGE('',*,*,#8931,.T.); +#8991=ORIENTED_EDGE('',*,*,#8903,.T.); +#8992=EDGE_LOOP('',(#8986,#8987,#8988,#8989,#8990,#8991)); +#8993=FACE_OUTER_BOUND('',#8992,.F.); +#8995=CARTESIAN_POINT('',(-6.0452E0,-2.22631E0,-1.397E1)); +#8996=DIRECTION('',(1.E0,0.E0,0.E0)); +#8997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8998=AXIS2_PLACEMENT_3D('',#8995,#8996,#8997); +#8999=PLANE('',#8998); +#9000=ORIENTED_EDGE('',*,*,#8975,.F.); +#9001=ORIENTED_EDGE('',*,*,#8985,.T.); +#9002=ORIENTED_EDGE('',*,*,#8901,.T.); +#9003=ORIENTED_EDGE('',*,*,#8958,.F.); +#9004=EDGE_LOOP('',(#9000,#9001,#9002,#9003)); +#9005=FACE_OUTER_BOUND('',#9004,.F.); +#9007=CARTESIAN_POINT('',(-6.6548E0,2.22631E0,-1.397E1)); +#9008=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9009=DIRECTION('',(0.E0,1.E0,0.E0)); +#9010=AXIS2_PLACEMENT_3D('',#9007,#9008,#9009); +#9011=PLANE('',#9010); +#9013=ORIENTED_EDGE('',*,*,#9012,.F.); +#9014=ORIENTED_EDGE('',*,*,#8885,.T.); +#9015=ORIENTED_EDGE('',*,*,#8914,.T.); +#9016=ORIENTED_EDGE('',*,*,#8840,.F.); +#9017=EDGE_LOOP('',(#9013,#9014,#9015,#9016)); +#9018=FACE_OUTER_BOUND('',#9017,.F.); +#9020=CARTESIAN_POINT('',(-6.6548E0,2.62509E0,-1.5494E1)); +#9021=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9022=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#9023=AXIS2_PLACEMENT_3D('',#9020,#9021,#9022); +#9024=PLANE('',#9023); +#9025=ORIENTED_EDGE('',*,*,#8838,.T.); +#9026=ORIENTED_EDGE('',*,*,#6602,.F.); +#9027=ORIENTED_EDGE('',*,*,#8887,.F.); +#9028=ORIENTED_EDGE('',*,*,#9012,.T.); +#9029=EDGE_LOOP('',(#9025,#9026,#9027,#9028)); +#9030=FACE_OUTER_BOUND('',#9029,.F.); +#9032=CARTESIAN_POINT('',(-4.1148E0,2.62509E0,-1.397E1)); +#9033=DIRECTION('',(0.E0,1.E0,0.E0)); +#9034=DIRECTION('',(1.E0,0.E0,0.E0)); +#9035=AXIS2_PLACEMENT_3D('',#9032,#9033,#9034); +#9036=PLANE('',#9035); +#9038=ORIENTED_EDGE('',*,*,#9037,.F.); +#9040=ORIENTED_EDGE('',*,*,#9039,.F.); +#9041=ORIENTED_EDGE('',*,*,#6616,.T.); +#9043=ORIENTED_EDGE('',*,*,#9042,.F.); +#9045=ORIENTED_EDGE('',*,*,#9044,.T.); +#9047=ORIENTED_EDGE('',*,*,#9046,.T.); +#9048=EDGE_LOOP('',(#9038,#9040,#9041,#9043,#9045,#9047)); +#9049=FACE_OUTER_BOUND('',#9048,.F.); +#9051=CARTESIAN_POINT('',(-3.5052E0,2.62509E0,-1.397E1)); +#9052=DIRECTION('',(1.E0,0.E0,0.E0)); +#9053=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9054=AXIS2_PLACEMENT_3D('',#9051,#9052,#9053); +#9055=PLANE('',#9054); +#9057=ORIENTED_EDGE('',*,*,#9056,.F.); +#9058=ORIENTED_EDGE('',*,*,#9037,.T.); +#9060=ORIENTED_EDGE('',*,*,#9059,.T.); +#9062=ORIENTED_EDGE('',*,*,#9061,.F.); +#9063=EDGE_LOOP('',(#9057,#9058,#9060,#9062)); +#9064=FACE_OUTER_BOUND('',#9063,.F.); +#9066=CARTESIAN_POINT('',(-3.1003875E0,2.62509E0,-1.397E1)); +#9067=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9068=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#9069=AXIS2_PLACEMENT_3D('',#9066,#9067,#9068); +#9070=PLANE('',#9069); +#9071=ORIENTED_EDGE('',*,*,#9039,.T.); +#9072=ORIENTED_EDGE('',*,*,#9056,.T.); +#9074=ORIENTED_EDGE('',*,*,#9073,.F.); +#9075=ORIENTED_EDGE('',*,*,#6618,.T.); +#9076=EDGE_LOOP('',(#9071,#9072,#9074,#9075)); +#9077=FACE_OUTER_BOUND('',#9076,.F.); +#9079=CARTESIAN_POINT('',(-3.5052E0,2.22631E0,-1.397E1)); +#9080=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9081=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9082=AXIS2_PLACEMENT_3D('',#9079,#9080,#9081); +#9083=PLANE('',#9082); +#9084=ORIENTED_EDGE('',*,*,#6620,.T.); +#9085=ORIENTED_EDGE('',*,*,#9073,.T.); +#9086=ORIENTED_EDGE('',*,*,#9061,.T.); +#9088=ORIENTED_EDGE('',*,*,#9087,.T.); +#9090=ORIENTED_EDGE('',*,*,#9089,.F.); +#9092=ORIENTED_EDGE('',*,*,#9091,.T.); +#9093=EDGE_LOOP('',(#9084,#9085,#9086,#9088,#9090,#9092)); +#9094=FACE_OUTER_BOUND('',#9093,.F.); +#9096=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9098=DIRECTION('',(1.E0,0.E0,0.E0)); +#9099=AXIS2_PLACEMENT_3D('',#9096,#9097,#9098); +#9100=PLANE('',#9099); +#9102=ORIENTED_EDGE('',*,*,#9101,.F.); +#9104=ORIENTED_EDGE('',*,*,#9103,.F.); +#9106=ORIENTED_EDGE('',*,*,#9105,.F.); +#9108=ORIENTED_EDGE('',*,*,#9107,.F.); +#9109=EDGE_LOOP('',(#9102,#9104,#9106,#9108)); +#9110=FACE_OUTER_BOUND('',#9109,.F.); +#9112=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9114=DIRECTION('',(1.E0,0.E0,0.E0)); +#9115=AXIS2_PLACEMENT_3D('',#9112,#9113,#9114); +#9116=PLANE('',#9115); +#9117=ORIENTED_EDGE('',*,*,#9046,.F.); +#9119=ORIENTED_EDGE('',*,*,#9118,.F.); +#9120=ORIENTED_EDGE('',*,*,#9087,.F.); +#9121=ORIENTED_EDGE('',*,*,#9059,.F.); +#9122=EDGE_LOOP('',(#9117,#9119,#9120,#9121)); +#9123=FACE_OUTER_BOUND('',#9122,.F.); +#9125=CARTESIAN_POINT('',(-4.1148E0,-2.62509E0,-1.397E1)); +#9126=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9127=DIRECTION('',(0.E0,1.E0,0.E0)); +#9128=AXIS2_PLACEMENT_3D('',#9125,#9126,#9127); +#9129=PLANE('',#9128); +#9131=ORIENTED_EDGE('',*,*,#9130,.F.); +#9133=ORIENTED_EDGE('',*,*,#9132,.T.); +#9134=ORIENTED_EDGE('',*,*,#9101,.T.); +#9136=ORIENTED_EDGE('',*,*,#9135,.F.); +#9137=EDGE_LOOP('',(#9131,#9133,#9134,#9136)); +#9138=FACE_OUTER_BOUND('',#9137,.F.); +#9140=CARTESIAN_POINT('',(-4.5196125E0,-2.62509E0,-1.397E1)); +#9141=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9142=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#9143=AXIS2_PLACEMENT_3D('',#9140,#9141,#9142); +#9144=PLANE('',#9143); +#9146=ORIENTED_EDGE('',*,*,#9145,.T.); +#9147=ORIENTED_EDGE('',*,*,#9130,.T.); +#9149=ORIENTED_EDGE('',*,*,#9148,.F.); +#9150=ORIENTED_EDGE('',*,*,#6628,.T.); +#9151=EDGE_LOOP('',(#9146,#9147,#9149,#9150)); +#9152=FACE_OUTER_BOUND('',#9151,.F.); +#9154=CARTESIAN_POINT('',(-3.5052E0,-2.62509E0,-1.397E1)); +#9155=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9156=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9157=AXIS2_PLACEMENT_3D('',#9154,#9155,#9156); +#9158=PLANE('',#9157); +#9159=ORIENTED_EDGE('',*,*,#6626,.T.); +#9161=ORIENTED_EDGE('',*,*,#9160,.F.); +#9163=ORIENTED_EDGE('',*,*,#9162,.T.); +#9164=ORIENTED_EDGE('',*,*,#9103,.T.); +#9165=ORIENTED_EDGE('',*,*,#9132,.F.); +#9166=ORIENTED_EDGE('',*,*,#9145,.F.); +#9167=EDGE_LOOP('',(#9159,#9161,#9163,#9164,#9165,#9166)); +#9168=FACE_OUTER_BOUND('',#9167,.F.); +#9170=CARTESIAN_POINT('',(-3.5052E0,-2.62509E0,-1.5494E1)); +#9171=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9172=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#9173=AXIS2_PLACEMENT_3D('',#9170,#9171,#9172); +#9174=PLANE('',#9173); +#9175=ORIENTED_EDGE('',*,*,#9160,.T.); +#9176=ORIENTED_EDGE('',*,*,#6632,.F.); +#9178=ORIENTED_EDGE('',*,*,#9177,.F.); +#9180=ORIENTED_EDGE('',*,*,#9179,.T.); +#9181=EDGE_LOOP('',(#9175,#9176,#9178,#9180)); +#9182=FACE_OUTER_BOUND('',#9181,.F.); +#9184=CARTESIAN_POINT('',(-4.1148E0,-2.22631E0,-1.397E1)); +#9185=DIRECTION('',(0.E0,1.E0,0.E0)); +#9186=DIRECTION('',(1.E0,0.E0,0.E0)); +#9187=AXIS2_PLACEMENT_3D('',#9184,#9185,#9186); +#9188=PLANE('',#9187); +#9190=ORIENTED_EDGE('',*,*,#9189,.F.); +#9191=ORIENTED_EDGE('',*,*,#9177,.T.); +#9192=ORIENTED_EDGE('',*,*,#6630,.T.); +#9193=ORIENTED_EDGE('',*,*,#9148,.T.); +#9194=ORIENTED_EDGE('',*,*,#9135,.T.); +#9195=ORIENTED_EDGE('',*,*,#9107,.T.); +#9196=EDGE_LOOP('',(#9190,#9191,#9192,#9193,#9194,#9195)); +#9197=FACE_OUTER_BOUND('',#9196,.F.); +#9199=CARTESIAN_POINT('',(-3.5052E0,-2.22631E0,-1.397E1)); +#9200=DIRECTION('',(1.E0,0.E0,0.E0)); +#9201=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9202=AXIS2_PLACEMENT_3D('',#9199,#9200,#9201); +#9203=PLANE('',#9202); +#9204=ORIENTED_EDGE('',*,*,#9179,.F.); +#9205=ORIENTED_EDGE('',*,*,#9189,.T.); +#9206=ORIENTED_EDGE('',*,*,#9105,.T.); +#9207=ORIENTED_EDGE('',*,*,#9162,.F.); +#9208=EDGE_LOOP('',(#9204,#9205,#9206,#9207)); +#9209=FACE_OUTER_BOUND('',#9208,.F.); +#9211=CARTESIAN_POINT('',(-4.1148E0,2.22631E0,-1.397E1)); +#9212=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9213=DIRECTION('',(0.E0,1.E0,0.E0)); +#9214=AXIS2_PLACEMENT_3D('',#9211,#9212,#9213); +#9215=PLANE('',#9214); +#9217=ORIENTED_EDGE('',*,*,#9216,.F.); +#9218=ORIENTED_EDGE('',*,*,#9089,.T.); +#9219=ORIENTED_EDGE('',*,*,#9118,.T.); +#9220=ORIENTED_EDGE('',*,*,#9044,.F.); +#9221=EDGE_LOOP('',(#9217,#9218,#9219,#9220)); +#9222=FACE_OUTER_BOUND('',#9221,.F.); +#9224=CARTESIAN_POINT('',(-4.1148E0,2.62509E0,-1.5494E1)); +#9225=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9226=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#9227=AXIS2_PLACEMENT_3D('',#9224,#9225,#9226); +#9228=PLANE('',#9227); +#9229=ORIENTED_EDGE('',*,*,#9042,.T.); +#9230=ORIENTED_EDGE('',*,*,#6622,.F.); +#9231=ORIENTED_EDGE('',*,*,#9091,.F.); +#9232=ORIENTED_EDGE('',*,*,#9216,.T.); +#9233=EDGE_LOOP('',(#9229,#9230,#9231,#9232)); +#9234=FACE_OUTER_BOUND('',#9233,.F.); +#9236=CARTESIAN_POINT('',(-1.5748E0,2.62509E0,-1.397E1)); +#9237=DIRECTION('',(0.E0,1.E0,0.E0)); +#9238=DIRECTION('',(1.E0,0.E0,0.E0)); +#9239=AXIS2_PLACEMENT_3D('',#9236,#9237,#9238); +#9240=PLANE('',#9239); +#9242=ORIENTED_EDGE('',*,*,#9241,.F.); +#9244=ORIENTED_EDGE('',*,*,#9243,.F.); +#9245=ORIENTED_EDGE('',*,*,#6636,.T.); +#9247=ORIENTED_EDGE('',*,*,#9246,.F.); +#9249=ORIENTED_EDGE('',*,*,#9248,.T.); +#9251=ORIENTED_EDGE('',*,*,#9250,.T.); +#9252=EDGE_LOOP('',(#9242,#9244,#9245,#9247,#9249,#9251)); +#9253=FACE_OUTER_BOUND('',#9252,.F.); +#9255=CARTESIAN_POINT('',(-9.652E-1,2.62509E0,-1.397E1)); +#9256=DIRECTION('',(1.E0,0.E0,0.E0)); +#9257=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9258=AXIS2_PLACEMENT_3D('',#9255,#9256,#9257); +#9259=PLANE('',#9258); +#9261=ORIENTED_EDGE('',*,*,#9260,.F.); +#9262=ORIENTED_EDGE('',*,*,#9241,.T.); +#9264=ORIENTED_EDGE('',*,*,#9263,.T.); +#9266=ORIENTED_EDGE('',*,*,#9265,.F.); +#9267=EDGE_LOOP('',(#9261,#9262,#9264,#9266)); +#9268=FACE_OUTER_BOUND('',#9267,.F.); +#9270=CARTESIAN_POINT('',(-5.603875E-1,2.62509E0,-1.397E1)); +#9271=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9272=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#9273=AXIS2_PLACEMENT_3D('',#9270,#9271,#9272); +#9274=PLANE('',#9273); +#9275=ORIENTED_EDGE('',*,*,#9243,.T.); +#9276=ORIENTED_EDGE('',*,*,#9260,.T.); +#9278=ORIENTED_EDGE('',*,*,#9277,.F.); +#9279=ORIENTED_EDGE('',*,*,#6638,.T.); +#9280=EDGE_LOOP('',(#9275,#9276,#9278,#9279)); +#9281=FACE_OUTER_BOUND('',#9280,.F.); +#9283=CARTESIAN_POINT('',(-9.652E-1,2.22631E0,-1.397E1)); +#9284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9285=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9286=AXIS2_PLACEMENT_3D('',#9283,#9284,#9285); +#9287=PLANE('',#9286); +#9288=ORIENTED_EDGE('',*,*,#6640,.T.); +#9289=ORIENTED_EDGE('',*,*,#9277,.T.); +#9290=ORIENTED_EDGE('',*,*,#9265,.T.); +#9292=ORIENTED_EDGE('',*,*,#9291,.T.); +#9294=ORIENTED_EDGE('',*,*,#9293,.F.); +#9296=ORIENTED_EDGE('',*,*,#9295,.T.); +#9297=EDGE_LOOP('',(#9288,#9289,#9290,#9292,#9294,#9296)); +#9298=FACE_OUTER_BOUND('',#9297,.F.); +#9300=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9302=DIRECTION('',(1.E0,0.E0,0.E0)); +#9303=AXIS2_PLACEMENT_3D('',#9300,#9301,#9302); +#9304=PLANE('',#9303); +#9306=ORIENTED_EDGE('',*,*,#9305,.F.); +#9308=ORIENTED_EDGE('',*,*,#9307,.F.); +#9310=ORIENTED_EDGE('',*,*,#9309,.F.); +#9312=ORIENTED_EDGE('',*,*,#9311,.F.); +#9313=EDGE_LOOP('',(#9306,#9308,#9310,#9312)); +#9314=FACE_OUTER_BOUND('',#9313,.F.); +#9316=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9317=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9318=DIRECTION('',(1.E0,0.E0,0.E0)); +#9319=AXIS2_PLACEMENT_3D('',#9316,#9317,#9318); +#9320=PLANE('',#9319); +#9321=ORIENTED_EDGE('',*,*,#9250,.F.); +#9323=ORIENTED_EDGE('',*,*,#9322,.F.); +#9324=ORIENTED_EDGE('',*,*,#9291,.F.); +#9325=ORIENTED_EDGE('',*,*,#9263,.F.); +#9326=EDGE_LOOP('',(#9321,#9323,#9324,#9325)); +#9327=FACE_OUTER_BOUND('',#9326,.F.); +#9329=CARTESIAN_POINT('',(-1.5748E0,-2.62509E0,-1.397E1)); +#9330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9331=DIRECTION('',(0.E0,1.E0,0.E0)); +#9332=AXIS2_PLACEMENT_3D('',#9329,#9330,#9331); +#9333=PLANE('',#9332); +#9335=ORIENTED_EDGE('',*,*,#9334,.F.); +#9337=ORIENTED_EDGE('',*,*,#9336,.T.); +#9338=ORIENTED_EDGE('',*,*,#9305,.T.); +#9340=ORIENTED_EDGE('',*,*,#9339,.F.); +#9341=EDGE_LOOP('',(#9335,#9337,#9338,#9340)); +#9342=FACE_OUTER_BOUND('',#9341,.F.); +#9344=CARTESIAN_POINT('',(-1.9796125E0,-2.62509E0,-1.397E1)); +#9345=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9346=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#9347=AXIS2_PLACEMENT_3D('',#9344,#9345,#9346); +#9348=PLANE('',#9347); +#9350=ORIENTED_EDGE('',*,*,#9349,.T.); +#9351=ORIENTED_EDGE('',*,*,#9334,.T.); +#9353=ORIENTED_EDGE('',*,*,#9352,.F.); +#9354=ORIENTED_EDGE('',*,*,#6648,.T.); +#9355=EDGE_LOOP('',(#9350,#9351,#9353,#9354)); +#9356=FACE_OUTER_BOUND('',#9355,.F.); +#9358=CARTESIAN_POINT('',(-9.652E-1,-2.62509E0,-1.397E1)); +#9359=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9360=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9361=AXIS2_PLACEMENT_3D('',#9358,#9359,#9360); +#9362=PLANE('',#9361); +#9363=ORIENTED_EDGE('',*,*,#6646,.T.); +#9365=ORIENTED_EDGE('',*,*,#9364,.F.); +#9367=ORIENTED_EDGE('',*,*,#9366,.T.); +#9368=ORIENTED_EDGE('',*,*,#9307,.T.); +#9369=ORIENTED_EDGE('',*,*,#9336,.F.); +#9370=ORIENTED_EDGE('',*,*,#9349,.F.); +#9371=EDGE_LOOP('',(#9363,#9365,#9367,#9368,#9369,#9370)); +#9372=FACE_OUTER_BOUND('',#9371,.F.); +#9374=CARTESIAN_POINT('',(-9.652E-1,-2.62509E0,-1.5494E1)); +#9375=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9376=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#9377=AXIS2_PLACEMENT_3D('',#9374,#9375,#9376); +#9378=PLANE('',#9377); +#9379=ORIENTED_EDGE('',*,*,#9364,.T.); +#9380=ORIENTED_EDGE('',*,*,#6652,.F.); +#9382=ORIENTED_EDGE('',*,*,#9381,.F.); +#9384=ORIENTED_EDGE('',*,*,#9383,.T.); +#9385=EDGE_LOOP('',(#9379,#9380,#9382,#9384)); +#9386=FACE_OUTER_BOUND('',#9385,.F.); +#9388=CARTESIAN_POINT('',(-1.5748E0,-2.22631E0,-1.397E1)); +#9389=DIRECTION('',(0.E0,1.E0,0.E0)); +#9390=DIRECTION('',(1.E0,0.E0,0.E0)); +#9391=AXIS2_PLACEMENT_3D('',#9388,#9389,#9390); +#9392=PLANE('',#9391); +#9394=ORIENTED_EDGE('',*,*,#9393,.F.); +#9395=ORIENTED_EDGE('',*,*,#9381,.T.); +#9396=ORIENTED_EDGE('',*,*,#6650,.T.); +#9397=ORIENTED_EDGE('',*,*,#9352,.T.); +#9398=ORIENTED_EDGE('',*,*,#9339,.T.); +#9399=ORIENTED_EDGE('',*,*,#9311,.T.); +#9400=EDGE_LOOP('',(#9394,#9395,#9396,#9397,#9398,#9399)); +#9401=FACE_OUTER_BOUND('',#9400,.F.); +#9403=CARTESIAN_POINT('',(-9.652E-1,-2.22631E0,-1.397E1)); +#9404=DIRECTION('',(1.E0,0.E0,0.E0)); +#9405=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9406=AXIS2_PLACEMENT_3D('',#9403,#9404,#9405); +#9407=PLANE('',#9406); +#9408=ORIENTED_EDGE('',*,*,#9383,.F.); +#9409=ORIENTED_EDGE('',*,*,#9393,.T.); +#9410=ORIENTED_EDGE('',*,*,#9309,.T.); +#9411=ORIENTED_EDGE('',*,*,#9366,.F.); +#9412=EDGE_LOOP('',(#9408,#9409,#9410,#9411)); +#9413=FACE_OUTER_BOUND('',#9412,.F.); +#9415=CARTESIAN_POINT('',(-1.5748E0,2.22631E0,-1.397E1)); +#9416=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9417=DIRECTION('',(0.E0,1.E0,0.E0)); +#9418=AXIS2_PLACEMENT_3D('',#9415,#9416,#9417); +#9419=PLANE('',#9418); +#9421=ORIENTED_EDGE('',*,*,#9420,.F.); +#9422=ORIENTED_EDGE('',*,*,#9293,.T.); +#9423=ORIENTED_EDGE('',*,*,#9322,.T.); +#9424=ORIENTED_EDGE('',*,*,#9248,.F.); +#9425=EDGE_LOOP('',(#9421,#9422,#9423,#9424)); +#9426=FACE_OUTER_BOUND('',#9425,.F.); +#9428=CARTESIAN_POINT('',(-1.5748E0,2.62509E0,-1.5494E1)); +#9429=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9430=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#9431=AXIS2_PLACEMENT_3D('',#9428,#9429,#9430); +#9432=PLANE('',#9431); +#9433=ORIENTED_EDGE('',*,*,#9246,.T.); +#9434=ORIENTED_EDGE('',*,*,#6642,.F.); +#9435=ORIENTED_EDGE('',*,*,#9295,.F.); +#9436=ORIENTED_EDGE('',*,*,#9420,.T.); +#9437=EDGE_LOOP('',(#9433,#9434,#9435,#9436)); +#9438=FACE_OUTER_BOUND('',#9437,.F.); +#9440=CARTESIAN_POINT('',(9.652E-1,2.62509E0,-1.397E1)); +#9441=DIRECTION('',(0.E0,1.E0,0.E0)); +#9442=DIRECTION('',(1.E0,0.E0,0.E0)); +#9443=AXIS2_PLACEMENT_3D('',#9440,#9441,#9442); +#9444=PLANE('',#9443); +#9446=ORIENTED_EDGE('',*,*,#9445,.F.); +#9448=ORIENTED_EDGE('',*,*,#9447,.F.); +#9449=ORIENTED_EDGE('',*,*,#6656,.T.); +#9451=ORIENTED_EDGE('',*,*,#9450,.F.); +#9453=ORIENTED_EDGE('',*,*,#9452,.T.); +#9455=ORIENTED_EDGE('',*,*,#9454,.T.); +#9456=EDGE_LOOP('',(#9446,#9448,#9449,#9451,#9453,#9455)); +#9457=FACE_OUTER_BOUND('',#9456,.F.); +#9459=CARTESIAN_POINT('',(1.5748E0,2.62509E0,-1.397E1)); +#9460=DIRECTION('',(1.E0,0.E0,0.E0)); +#9461=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9462=AXIS2_PLACEMENT_3D('',#9459,#9460,#9461); +#9463=PLANE('',#9462); +#9465=ORIENTED_EDGE('',*,*,#9464,.F.); +#9466=ORIENTED_EDGE('',*,*,#9445,.T.); +#9468=ORIENTED_EDGE('',*,*,#9467,.T.); +#9470=ORIENTED_EDGE('',*,*,#9469,.F.); +#9471=EDGE_LOOP('',(#9465,#9466,#9468,#9470)); +#9472=FACE_OUTER_BOUND('',#9471,.F.); +#9474=CARTESIAN_POINT('',(1.9796125E0,2.62509E0,-1.397E1)); +#9475=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#9476=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#9477=AXIS2_PLACEMENT_3D('',#9474,#9475,#9476); +#9478=PLANE('',#9477); +#9479=ORIENTED_EDGE('',*,*,#9447,.T.); +#9480=ORIENTED_EDGE('',*,*,#9464,.T.); +#9482=ORIENTED_EDGE('',*,*,#9481,.F.); +#9483=ORIENTED_EDGE('',*,*,#6658,.T.); +#9484=EDGE_LOOP('',(#9479,#9480,#9482,#9483)); +#9485=FACE_OUTER_BOUND('',#9484,.F.); +#9487=CARTESIAN_POINT('',(1.5748E0,2.22631E0,-1.397E1)); +#9488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9489=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9490=AXIS2_PLACEMENT_3D('',#9487,#9488,#9489); +#9491=PLANE('',#9490); +#9492=ORIENTED_EDGE('',*,*,#6660,.T.); +#9493=ORIENTED_EDGE('',*,*,#9481,.T.); +#9494=ORIENTED_EDGE('',*,*,#9469,.T.); +#9496=ORIENTED_EDGE('',*,*,#9495,.T.); +#9498=ORIENTED_EDGE('',*,*,#9497,.F.); +#9500=ORIENTED_EDGE('',*,*,#9499,.T.); +#9501=EDGE_LOOP('',(#9492,#9493,#9494,#9496,#9498,#9500)); +#9502=FACE_OUTER_BOUND('',#9501,.F.); +#9504=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9506=DIRECTION('',(1.E0,0.E0,0.E0)); +#9507=AXIS2_PLACEMENT_3D('',#9504,#9505,#9506); +#9508=PLANE('',#9507); +#9510=ORIENTED_EDGE('',*,*,#9509,.F.); +#9512=ORIENTED_EDGE('',*,*,#9511,.F.); +#9514=ORIENTED_EDGE('',*,*,#9513,.F.); +#9516=ORIENTED_EDGE('',*,*,#9515,.F.); +#9517=EDGE_LOOP('',(#9510,#9512,#9514,#9516)); +#9518=FACE_OUTER_BOUND('',#9517,.F.); +#9520=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9522=DIRECTION('',(1.E0,0.E0,0.E0)); +#9523=AXIS2_PLACEMENT_3D('',#9520,#9521,#9522); +#9524=PLANE('',#9523); +#9525=ORIENTED_EDGE('',*,*,#9454,.F.); +#9527=ORIENTED_EDGE('',*,*,#9526,.F.); +#9528=ORIENTED_EDGE('',*,*,#9495,.F.); +#9529=ORIENTED_EDGE('',*,*,#9467,.F.); +#9530=EDGE_LOOP('',(#9525,#9527,#9528,#9529)); +#9531=FACE_OUTER_BOUND('',#9530,.F.); +#9533=CARTESIAN_POINT('',(9.652E-1,-2.62509E0,-1.397E1)); +#9534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9535=DIRECTION('',(0.E0,1.E0,0.E0)); +#9536=AXIS2_PLACEMENT_3D('',#9533,#9534,#9535); +#9537=PLANE('',#9536); +#9539=ORIENTED_EDGE('',*,*,#9538,.F.); +#9541=ORIENTED_EDGE('',*,*,#9540,.T.); +#9542=ORIENTED_EDGE('',*,*,#9509,.T.); +#9544=ORIENTED_EDGE('',*,*,#9543,.F.); +#9545=EDGE_LOOP('',(#9539,#9541,#9542,#9544)); +#9546=FACE_OUTER_BOUND('',#9545,.F.); +#9548=CARTESIAN_POINT('',(5.603875E-1,-2.62509E0,-1.397E1)); +#9549=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#9550=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#9551=AXIS2_PLACEMENT_3D('',#9548,#9549,#9550); +#9552=PLANE('',#9551); +#9554=ORIENTED_EDGE('',*,*,#9553,.T.); +#9555=ORIENTED_EDGE('',*,*,#9538,.T.); +#9557=ORIENTED_EDGE('',*,*,#9556,.F.); +#9558=ORIENTED_EDGE('',*,*,#6668,.T.); +#9559=EDGE_LOOP('',(#9554,#9555,#9557,#9558)); +#9560=FACE_OUTER_BOUND('',#9559,.F.); +#9562=CARTESIAN_POINT('',(1.5748E0,-2.62509E0,-1.397E1)); +#9563=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9564=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9565=AXIS2_PLACEMENT_3D('',#9562,#9563,#9564); +#9566=PLANE('',#9565); +#9567=ORIENTED_EDGE('',*,*,#6666,.T.); +#9569=ORIENTED_EDGE('',*,*,#9568,.F.); +#9571=ORIENTED_EDGE('',*,*,#9570,.T.); +#9572=ORIENTED_EDGE('',*,*,#9511,.T.); +#9573=ORIENTED_EDGE('',*,*,#9540,.F.); +#9574=ORIENTED_EDGE('',*,*,#9553,.F.); +#9575=EDGE_LOOP('',(#9567,#9569,#9571,#9572,#9573,#9574)); +#9576=FACE_OUTER_BOUND('',#9575,.F.); +#9578=CARTESIAN_POINT('',(1.5748E0,-2.62509E0,-1.5494E1)); +#9579=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#9580=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#9581=AXIS2_PLACEMENT_3D('',#9578,#9579,#9580); +#9582=PLANE('',#9581); +#9583=ORIENTED_EDGE('',*,*,#9568,.T.); +#9584=ORIENTED_EDGE('',*,*,#6672,.F.); +#9586=ORIENTED_EDGE('',*,*,#9585,.F.); +#9588=ORIENTED_EDGE('',*,*,#9587,.T.); +#9589=EDGE_LOOP('',(#9583,#9584,#9586,#9588)); +#9590=FACE_OUTER_BOUND('',#9589,.F.); +#9592=CARTESIAN_POINT('',(9.652E-1,-2.22631E0,-1.397E1)); +#9593=DIRECTION('',(0.E0,1.E0,0.E0)); +#9594=DIRECTION('',(1.E0,0.E0,0.E0)); +#9595=AXIS2_PLACEMENT_3D('',#9592,#9593,#9594); +#9596=PLANE('',#9595); +#9598=ORIENTED_EDGE('',*,*,#9597,.F.); +#9599=ORIENTED_EDGE('',*,*,#9585,.T.); +#9600=ORIENTED_EDGE('',*,*,#6670,.T.); +#9601=ORIENTED_EDGE('',*,*,#9556,.T.); +#9602=ORIENTED_EDGE('',*,*,#9543,.T.); +#9603=ORIENTED_EDGE('',*,*,#9515,.T.); +#9604=EDGE_LOOP('',(#9598,#9599,#9600,#9601,#9602,#9603)); +#9605=FACE_OUTER_BOUND('',#9604,.F.); +#9607=CARTESIAN_POINT('',(1.5748E0,-2.22631E0,-1.397E1)); +#9608=DIRECTION('',(1.E0,0.E0,0.E0)); +#9609=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9610=AXIS2_PLACEMENT_3D('',#9607,#9608,#9609); +#9611=PLANE('',#9610); +#9612=ORIENTED_EDGE('',*,*,#9587,.F.); +#9613=ORIENTED_EDGE('',*,*,#9597,.T.); +#9614=ORIENTED_EDGE('',*,*,#9513,.T.); +#9615=ORIENTED_EDGE('',*,*,#9570,.F.); +#9616=EDGE_LOOP('',(#9612,#9613,#9614,#9615)); +#9617=FACE_OUTER_BOUND('',#9616,.F.); +#9619=CARTESIAN_POINT('',(9.652E-1,2.22631E0,-1.397E1)); +#9620=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9621=DIRECTION('',(0.E0,1.E0,0.E0)); +#9622=AXIS2_PLACEMENT_3D('',#9619,#9620,#9621); +#9623=PLANE('',#9622); +#9625=ORIENTED_EDGE('',*,*,#9624,.F.); +#9626=ORIENTED_EDGE('',*,*,#9497,.T.); +#9627=ORIENTED_EDGE('',*,*,#9526,.T.); +#9628=ORIENTED_EDGE('',*,*,#9452,.F.); +#9629=EDGE_LOOP('',(#9625,#9626,#9627,#9628)); +#9630=FACE_OUTER_BOUND('',#9629,.F.); +#9632=CARTESIAN_POINT('',(9.652E-1,2.62509E0,-1.5494E1)); +#9633=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#9634=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#9635=AXIS2_PLACEMENT_3D('',#9632,#9633,#9634); +#9636=PLANE('',#9635); +#9637=ORIENTED_EDGE('',*,*,#9450,.T.); +#9638=ORIENTED_EDGE('',*,*,#6662,.F.); +#9639=ORIENTED_EDGE('',*,*,#9499,.F.); +#9640=ORIENTED_EDGE('',*,*,#9624,.T.); +#9641=EDGE_LOOP('',(#9637,#9638,#9639,#9640)); +#9642=FACE_OUTER_BOUND('',#9641,.F.); +#9644=CARTESIAN_POINT('',(3.5052E0,2.62509E0,-1.397E1)); +#9645=DIRECTION('',(0.E0,1.E0,0.E0)); +#9646=DIRECTION('',(1.E0,0.E0,0.E0)); +#9647=AXIS2_PLACEMENT_3D('',#9644,#9645,#9646); +#9648=PLANE('',#9647); +#9650=ORIENTED_EDGE('',*,*,#9649,.F.); +#9652=ORIENTED_EDGE('',*,*,#9651,.F.); +#9653=ORIENTED_EDGE('',*,*,#6676,.T.); +#9655=ORIENTED_EDGE('',*,*,#9654,.F.); +#9657=ORIENTED_EDGE('',*,*,#9656,.T.); +#9659=ORIENTED_EDGE('',*,*,#9658,.T.); +#9660=EDGE_LOOP('',(#9650,#9652,#9653,#9655,#9657,#9659)); +#9661=FACE_OUTER_BOUND('',#9660,.F.); +#9663=CARTESIAN_POINT('',(4.1148E0,2.62509E0,-1.397E1)); +#9664=DIRECTION('',(1.E0,0.E0,0.E0)); +#9665=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9666=AXIS2_PLACEMENT_3D('',#9663,#9664,#9665); +#9667=PLANE('',#9666); +#9669=ORIENTED_EDGE('',*,*,#9668,.F.); +#9670=ORIENTED_EDGE('',*,*,#9649,.T.); +#9672=ORIENTED_EDGE('',*,*,#9671,.T.); +#9674=ORIENTED_EDGE('',*,*,#9673,.F.); +#9675=EDGE_LOOP('',(#9669,#9670,#9672,#9674)); +#9676=FACE_OUTER_BOUND('',#9675,.F.); +#9678=CARTESIAN_POINT('',(4.5196125E0,2.62509E0,-1.397E1)); +#9679=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9680=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#9681=AXIS2_PLACEMENT_3D('',#9678,#9679,#9680); +#9682=PLANE('',#9681); +#9683=ORIENTED_EDGE('',*,*,#9651,.T.); +#9684=ORIENTED_EDGE('',*,*,#9668,.T.); +#9686=ORIENTED_EDGE('',*,*,#9685,.F.); +#9687=ORIENTED_EDGE('',*,*,#6678,.T.); +#9688=EDGE_LOOP('',(#9683,#9684,#9686,#9687)); +#9689=FACE_OUTER_BOUND('',#9688,.F.); +#9691=CARTESIAN_POINT('',(4.1148E0,2.22631E0,-1.397E1)); +#9692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9694=AXIS2_PLACEMENT_3D('',#9691,#9692,#9693); +#9695=PLANE('',#9694); +#9696=ORIENTED_EDGE('',*,*,#6680,.T.); +#9697=ORIENTED_EDGE('',*,*,#9685,.T.); +#9698=ORIENTED_EDGE('',*,*,#9673,.T.); +#9700=ORIENTED_EDGE('',*,*,#9699,.T.); +#9702=ORIENTED_EDGE('',*,*,#9701,.F.); +#9704=ORIENTED_EDGE('',*,*,#9703,.T.); +#9705=EDGE_LOOP('',(#9696,#9697,#9698,#9700,#9702,#9704)); +#9706=FACE_OUTER_BOUND('',#9705,.F.); +#9708=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9710=DIRECTION('',(1.E0,0.E0,0.E0)); +#9711=AXIS2_PLACEMENT_3D('',#9708,#9709,#9710); +#9712=PLANE('',#9711); +#9714=ORIENTED_EDGE('',*,*,#9713,.F.); +#9716=ORIENTED_EDGE('',*,*,#9715,.F.); +#9718=ORIENTED_EDGE('',*,*,#9717,.F.); +#9720=ORIENTED_EDGE('',*,*,#9719,.F.); +#9721=EDGE_LOOP('',(#9714,#9716,#9718,#9720)); +#9722=FACE_OUTER_BOUND('',#9721,.F.); +#9724=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9725=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9726=DIRECTION('',(1.E0,0.E0,0.E0)); +#9727=AXIS2_PLACEMENT_3D('',#9724,#9725,#9726); +#9728=PLANE('',#9727); +#9729=ORIENTED_EDGE('',*,*,#9658,.F.); +#9731=ORIENTED_EDGE('',*,*,#9730,.F.); +#9732=ORIENTED_EDGE('',*,*,#9699,.F.); +#9733=ORIENTED_EDGE('',*,*,#9671,.F.); +#9734=EDGE_LOOP('',(#9729,#9731,#9732,#9733)); +#9735=FACE_OUTER_BOUND('',#9734,.F.); +#9737=CARTESIAN_POINT('',(3.5052E0,-2.62509E0,-1.397E1)); +#9738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9739=DIRECTION('',(0.E0,1.E0,0.E0)); +#9740=AXIS2_PLACEMENT_3D('',#9737,#9738,#9739); +#9741=PLANE('',#9740); +#9743=ORIENTED_EDGE('',*,*,#9742,.F.); +#9745=ORIENTED_EDGE('',*,*,#9744,.T.); +#9746=ORIENTED_EDGE('',*,*,#9713,.T.); +#9748=ORIENTED_EDGE('',*,*,#9747,.F.); +#9749=EDGE_LOOP('',(#9743,#9745,#9746,#9748)); +#9750=FACE_OUTER_BOUND('',#9749,.F.); +#9752=CARTESIAN_POINT('',(3.1003875E0,-2.62509E0,-1.397E1)); +#9753=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9754=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#9755=AXIS2_PLACEMENT_3D('',#9752,#9753,#9754); +#9756=PLANE('',#9755); +#9758=ORIENTED_EDGE('',*,*,#9757,.T.); +#9759=ORIENTED_EDGE('',*,*,#9742,.T.); +#9761=ORIENTED_EDGE('',*,*,#9760,.F.); +#9762=ORIENTED_EDGE('',*,*,#6688,.T.); +#9763=EDGE_LOOP('',(#9758,#9759,#9761,#9762)); +#9764=FACE_OUTER_BOUND('',#9763,.F.); +#9766=CARTESIAN_POINT('',(4.1148E0,-2.62509E0,-1.397E1)); +#9767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9768=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9769=AXIS2_PLACEMENT_3D('',#9766,#9767,#9768); +#9770=PLANE('',#9769); +#9771=ORIENTED_EDGE('',*,*,#6686,.T.); +#9773=ORIENTED_EDGE('',*,*,#9772,.F.); +#9775=ORIENTED_EDGE('',*,*,#9774,.T.); +#9776=ORIENTED_EDGE('',*,*,#9715,.T.); +#9777=ORIENTED_EDGE('',*,*,#9744,.F.); +#9778=ORIENTED_EDGE('',*,*,#9757,.F.); +#9779=EDGE_LOOP('',(#9771,#9773,#9775,#9776,#9777,#9778)); +#9780=FACE_OUTER_BOUND('',#9779,.F.); +#9782=CARTESIAN_POINT('',(4.1148E0,-2.62509E0,-1.5494E1)); +#9783=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9784=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#9785=AXIS2_PLACEMENT_3D('',#9782,#9783,#9784); +#9786=PLANE('',#9785); +#9787=ORIENTED_EDGE('',*,*,#9772,.T.); +#9788=ORIENTED_EDGE('',*,*,#6692,.F.); +#9790=ORIENTED_EDGE('',*,*,#9789,.F.); +#9792=ORIENTED_EDGE('',*,*,#9791,.T.); +#9793=EDGE_LOOP('',(#9787,#9788,#9790,#9792)); +#9794=FACE_OUTER_BOUND('',#9793,.F.); +#9796=CARTESIAN_POINT('',(3.5052E0,-2.22631E0,-1.397E1)); +#9797=DIRECTION('',(0.E0,1.E0,0.E0)); +#9798=DIRECTION('',(1.E0,0.E0,0.E0)); +#9799=AXIS2_PLACEMENT_3D('',#9796,#9797,#9798); +#9800=PLANE('',#9799); +#9802=ORIENTED_EDGE('',*,*,#9801,.F.); +#9803=ORIENTED_EDGE('',*,*,#9789,.T.); +#9804=ORIENTED_EDGE('',*,*,#6690,.T.); +#9805=ORIENTED_EDGE('',*,*,#9760,.T.); +#9806=ORIENTED_EDGE('',*,*,#9747,.T.); +#9807=ORIENTED_EDGE('',*,*,#9719,.T.); +#9808=EDGE_LOOP('',(#9802,#9803,#9804,#9805,#9806,#9807)); +#9809=FACE_OUTER_BOUND('',#9808,.F.); +#9811=CARTESIAN_POINT('',(4.1148E0,-2.22631E0,-1.397E1)); +#9812=DIRECTION('',(1.E0,0.E0,0.E0)); +#9813=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9814=AXIS2_PLACEMENT_3D('',#9811,#9812,#9813); +#9815=PLANE('',#9814); +#9816=ORIENTED_EDGE('',*,*,#9791,.F.); +#9817=ORIENTED_EDGE('',*,*,#9801,.T.); +#9818=ORIENTED_EDGE('',*,*,#9717,.T.); +#9819=ORIENTED_EDGE('',*,*,#9774,.F.); +#9820=EDGE_LOOP('',(#9816,#9817,#9818,#9819)); +#9821=FACE_OUTER_BOUND('',#9820,.F.); +#9823=CARTESIAN_POINT('',(3.5052E0,2.22631E0,-1.397E1)); +#9824=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9825=DIRECTION('',(0.E0,1.E0,0.E0)); +#9826=AXIS2_PLACEMENT_3D('',#9823,#9824,#9825); +#9827=PLANE('',#9826); +#9829=ORIENTED_EDGE('',*,*,#9828,.F.); +#9830=ORIENTED_EDGE('',*,*,#9701,.T.); +#9831=ORIENTED_EDGE('',*,*,#9730,.T.); +#9832=ORIENTED_EDGE('',*,*,#9656,.F.); +#9833=EDGE_LOOP('',(#9829,#9830,#9831,#9832)); +#9834=FACE_OUTER_BOUND('',#9833,.F.); +#9836=CARTESIAN_POINT('',(3.5052E0,2.62509E0,-1.5494E1)); +#9837=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#9838=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#9839=AXIS2_PLACEMENT_3D('',#9836,#9837,#9838); +#9840=PLANE('',#9839); +#9841=ORIENTED_EDGE('',*,*,#9654,.T.); +#9842=ORIENTED_EDGE('',*,*,#6682,.F.); +#9843=ORIENTED_EDGE('',*,*,#9703,.F.); +#9844=ORIENTED_EDGE('',*,*,#9828,.T.); +#9845=EDGE_LOOP('',(#9841,#9842,#9843,#9844)); +#9846=FACE_OUTER_BOUND('',#9845,.F.); +#9848=CARTESIAN_POINT('',(6.0452E0,2.62509E0,-1.397E1)); +#9849=DIRECTION('',(0.E0,1.E0,0.E0)); +#9850=DIRECTION('',(1.E0,0.E0,0.E0)); +#9851=AXIS2_PLACEMENT_3D('',#9848,#9849,#9850); +#9852=PLANE('',#9851); +#9854=ORIENTED_EDGE('',*,*,#9853,.F.); +#9856=ORIENTED_EDGE('',*,*,#9855,.F.); +#9857=ORIENTED_EDGE('',*,*,#6696,.T.); +#9859=ORIENTED_EDGE('',*,*,#9858,.F.); +#9861=ORIENTED_EDGE('',*,*,#9860,.T.); +#9863=ORIENTED_EDGE('',*,*,#9862,.T.); +#9864=EDGE_LOOP('',(#9854,#9856,#9857,#9859,#9861,#9863)); +#9865=FACE_OUTER_BOUND('',#9864,.F.); +#9867=CARTESIAN_POINT('',(6.6548E0,2.62509E0,-1.397E1)); +#9868=DIRECTION('',(1.E0,0.E0,0.E0)); +#9869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9870=AXIS2_PLACEMENT_3D('',#9867,#9868,#9869); +#9871=PLANE('',#9870); +#9873=ORIENTED_EDGE('',*,*,#9872,.F.); +#9874=ORIENTED_EDGE('',*,*,#9853,.T.); +#9876=ORIENTED_EDGE('',*,*,#9875,.T.); +#9878=ORIENTED_EDGE('',*,*,#9877,.F.); +#9879=EDGE_LOOP('',(#9873,#9874,#9876,#9878)); +#9880=FACE_OUTER_BOUND('',#9879,.F.); +#9882=CARTESIAN_POINT('',(7.0596125E0,2.62509E0,-1.397E1)); +#9883=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#9884=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#9885=AXIS2_PLACEMENT_3D('',#9882,#9883,#9884); +#9886=PLANE('',#9885); +#9887=ORIENTED_EDGE('',*,*,#9855,.T.); +#9888=ORIENTED_EDGE('',*,*,#9872,.T.); +#9890=ORIENTED_EDGE('',*,*,#9889,.F.); +#9891=ORIENTED_EDGE('',*,*,#6698,.T.); +#9892=EDGE_LOOP('',(#9887,#9888,#9890,#9891)); +#9893=FACE_OUTER_BOUND('',#9892,.F.); +#9895=CARTESIAN_POINT('',(6.6548E0,2.22631E0,-1.397E1)); +#9896=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9897=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9898=AXIS2_PLACEMENT_3D('',#9895,#9896,#9897); +#9899=PLANE('',#9898); +#9900=ORIENTED_EDGE('',*,*,#6700,.T.); +#9901=ORIENTED_EDGE('',*,*,#9889,.T.); +#9902=ORIENTED_EDGE('',*,*,#9877,.T.); +#9904=ORIENTED_EDGE('',*,*,#9903,.T.); +#9906=ORIENTED_EDGE('',*,*,#9905,.F.); +#9908=ORIENTED_EDGE('',*,*,#9907,.T.); +#9909=EDGE_LOOP('',(#9900,#9901,#9902,#9904,#9906,#9908)); +#9910=FACE_OUTER_BOUND('',#9909,.F.); +#9912=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9913=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9914=DIRECTION('',(1.E0,0.E0,0.E0)); +#9915=AXIS2_PLACEMENT_3D('',#9912,#9913,#9914); +#9916=PLANE('',#9915); +#9918=ORIENTED_EDGE('',*,*,#9917,.F.); +#9920=ORIENTED_EDGE('',*,*,#9919,.F.); +#9922=ORIENTED_EDGE('',*,*,#9921,.F.); +#9924=ORIENTED_EDGE('',*,*,#9923,.F.); +#9925=EDGE_LOOP('',(#9918,#9920,#9922,#9924)); +#9926=FACE_OUTER_BOUND('',#9925,.F.); +#9928=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#9929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9930=DIRECTION('',(1.E0,0.E0,0.E0)); +#9931=AXIS2_PLACEMENT_3D('',#9928,#9929,#9930); +#9932=PLANE('',#9931); +#9933=ORIENTED_EDGE('',*,*,#9862,.F.); +#9935=ORIENTED_EDGE('',*,*,#9934,.F.); +#9936=ORIENTED_EDGE('',*,*,#9903,.F.); +#9937=ORIENTED_EDGE('',*,*,#9875,.F.); +#9938=EDGE_LOOP('',(#9933,#9935,#9936,#9937)); +#9939=FACE_OUTER_BOUND('',#9938,.F.); +#9941=CARTESIAN_POINT('',(6.0452E0,-2.62509E0,-1.397E1)); +#9942=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9943=DIRECTION('',(0.E0,1.E0,0.E0)); +#9944=AXIS2_PLACEMENT_3D('',#9941,#9942,#9943); +#9945=PLANE('',#9944); +#9947=ORIENTED_EDGE('',*,*,#9946,.F.); +#9949=ORIENTED_EDGE('',*,*,#9948,.T.); +#9950=ORIENTED_EDGE('',*,*,#9917,.T.); +#9952=ORIENTED_EDGE('',*,*,#9951,.F.); +#9953=EDGE_LOOP('',(#9947,#9949,#9950,#9952)); +#9954=FACE_OUTER_BOUND('',#9953,.F.); +#9956=CARTESIAN_POINT('',(5.6403875E0,-2.62509E0,-1.397E1)); +#9957=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#9958=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#9959=AXIS2_PLACEMENT_3D('',#9956,#9957,#9958); +#9960=PLANE('',#9959); +#9962=ORIENTED_EDGE('',*,*,#9961,.T.); +#9963=ORIENTED_EDGE('',*,*,#9946,.T.); +#9965=ORIENTED_EDGE('',*,*,#9964,.F.); +#9966=ORIENTED_EDGE('',*,*,#6708,.T.); +#9967=EDGE_LOOP('',(#9962,#9963,#9965,#9966)); +#9968=FACE_OUTER_BOUND('',#9967,.F.); +#9970=CARTESIAN_POINT('',(6.6548E0,-2.62509E0,-1.397E1)); +#9971=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9972=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9973=AXIS2_PLACEMENT_3D('',#9970,#9971,#9972); +#9974=PLANE('',#9973); +#9975=ORIENTED_EDGE('',*,*,#6706,.T.); +#9977=ORIENTED_EDGE('',*,*,#9976,.F.); +#9979=ORIENTED_EDGE('',*,*,#9978,.T.); +#9980=ORIENTED_EDGE('',*,*,#9919,.T.); +#9981=ORIENTED_EDGE('',*,*,#9948,.F.); +#9982=ORIENTED_EDGE('',*,*,#9961,.F.); +#9983=EDGE_LOOP('',(#9975,#9977,#9979,#9980,#9981,#9982)); +#9984=FACE_OUTER_BOUND('',#9983,.F.); +#9986=CARTESIAN_POINT('',(6.6548E0,-2.62509E0,-1.5494E1)); +#9987=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#9988=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#9989=AXIS2_PLACEMENT_3D('',#9986,#9987,#9988); +#9990=PLANE('',#9989); +#9991=ORIENTED_EDGE('',*,*,#9976,.T.); +#9992=ORIENTED_EDGE('',*,*,#6712,.F.); +#9994=ORIENTED_EDGE('',*,*,#9993,.F.); +#9996=ORIENTED_EDGE('',*,*,#9995,.T.); +#9997=EDGE_LOOP('',(#9991,#9992,#9994,#9996)); +#9998=FACE_OUTER_BOUND('',#9997,.F.); +#10000=CARTESIAN_POINT('',(6.0452E0,-2.22631E0,-1.397E1)); +#10001=DIRECTION('',(0.E0,1.E0,0.E0)); +#10002=DIRECTION('',(1.E0,0.E0,0.E0)); +#10003=AXIS2_PLACEMENT_3D('',#10000,#10001,#10002); +#10004=PLANE('',#10003); +#10006=ORIENTED_EDGE('',*,*,#10005,.F.); +#10007=ORIENTED_EDGE('',*,*,#9993,.T.); +#10008=ORIENTED_EDGE('',*,*,#6710,.T.); +#10009=ORIENTED_EDGE('',*,*,#9964,.T.); +#10010=ORIENTED_EDGE('',*,*,#9951,.T.); +#10011=ORIENTED_EDGE('',*,*,#9923,.T.); +#10012=EDGE_LOOP('',(#10006,#10007,#10008,#10009,#10010,#10011)); +#10013=FACE_OUTER_BOUND('',#10012,.F.); +#10015=CARTESIAN_POINT('',(6.6548E0,-2.22631E0,-1.397E1)); +#10016=DIRECTION('',(1.E0,0.E0,0.E0)); +#10017=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10018=AXIS2_PLACEMENT_3D('',#10015,#10016,#10017); +#10019=PLANE('',#10018); +#10020=ORIENTED_EDGE('',*,*,#9995,.F.); +#10021=ORIENTED_EDGE('',*,*,#10005,.T.); +#10022=ORIENTED_EDGE('',*,*,#9921,.T.); +#10023=ORIENTED_EDGE('',*,*,#9978,.F.); +#10024=EDGE_LOOP('',(#10020,#10021,#10022,#10023)); +#10025=FACE_OUTER_BOUND('',#10024,.F.); +#10027=CARTESIAN_POINT('',(6.0452E0,2.22631E0,-1.397E1)); +#10028=DIRECTION('',(-1.E0,1.781791302874E-14,0.E0)); +#10029=DIRECTION('',(1.781791302874E-14,1.E0,0.E0)); +#10030=AXIS2_PLACEMENT_3D('',#10027,#10028,#10029); +#10031=PLANE('',#10030); +#10033=ORIENTED_EDGE('',*,*,#10032,.F.); +#10034=ORIENTED_EDGE('',*,*,#9905,.T.); +#10035=ORIENTED_EDGE('',*,*,#9934,.T.); +#10036=ORIENTED_EDGE('',*,*,#9860,.F.); +#10037=EDGE_LOOP('',(#10033,#10034,#10035,#10036)); +#10038=FACE_OUTER_BOUND('',#10037,.F.); +#10040=CARTESIAN_POINT('',(6.0452E0,2.62509E0,-1.5494E1)); +#10041=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#10042=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#10043=AXIS2_PLACEMENT_3D('',#10040,#10041,#10042); +#10044=PLANE('',#10043); +#10045=ORIENTED_EDGE('',*,*,#9858,.T.); +#10046=ORIENTED_EDGE('',*,*,#6702,.F.); +#10047=ORIENTED_EDGE('',*,*,#9907,.F.); +#10048=ORIENTED_EDGE('',*,*,#10032,.T.); +#10049=EDGE_LOOP('',(#10045,#10046,#10047,#10048)); +#10050=FACE_OUTER_BOUND('',#10049,.F.); +#10052=CARTESIAN_POINT('',(8.5852E0,2.62509E0,-1.397E1)); +#10053=DIRECTION('',(0.E0,1.E0,0.E0)); +#10054=DIRECTION('',(1.E0,0.E0,0.E0)); +#10055=AXIS2_PLACEMENT_3D('',#10052,#10053,#10054); +#10056=PLANE('',#10055); +#10058=ORIENTED_EDGE('',*,*,#10057,.F.); +#10060=ORIENTED_EDGE('',*,*,#10059,.F.); +#10061=ORIENTED_EDGE('',*,*,#6716,.T.); +#10063=ORIENTED_EDGE('',*,*,#10062,.F.); +#10065=ORIENTED_EDGE('',*,*,#10064,.T.); +#10067=ORIENTED_EDGE('',*,*,#10066,.T.); +#10068=EDGE_LOOP('',(#10058,#10060,#10061,#10063,#10065,#10067)); +#10069=FACE_OUTER_BOUND('',#10068,.F.); +#10071=CARTESIAN_POINT('',(9.1948E0,2.62509E0,-1.397E1)); +#10072=DIRECTION('',(1.E0,1.781791302874E-14,0.E0)); +#10073=DIRECTION('',(1.781791302874E-14,-1.E0,0.E0)); +#10074=AXIS2_PLACEMENT_3D('',#10071,#10072,#10073); +#10075=PLANE('',#10074); +#10077=ORIENTED_EDGE('',*,*,#10076,.F.); +#10078=ORIENTED_EDGE('',*,*,#10057,.T.); +#10080=ORIENTED_EDGE('',*,*,#10079,.T.); +#10082=ORIENTED_EDGE('',*,*,#10081,.F.); +#10083=EDGE_LOOP('',(#10077,#10078,#10080,#10082)); +#10084=FACE_OUTER_BOUND('',#10083,.F.); +#10086=CARTESIAN_POINT('',(9.5996125E0,2.62509E0,-1.397E1)); +#10087=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10088=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#10089=AXIS2_PLACEMENT_3D('',#10086,#10087,#10088); +#10090=PLANE('',#10089); +#10091=ORIENTED_EDGE('',*,*,#10059,.T.); +#10092=ORIENTED_EDGE('',*,*,#10076,.T.); +#10094=ORIENTED_EDGE('',*,*,#10093,.F.); +#10095=ORIENTED_EDGE('',*,*,#6718,.T.); +#10096=EDGE_LOOP('',(#10091,#10092,#10094,#10095)); +#10097=FACE_OUTER_BOUND('',#10096,.F.); +#10099=CARTESIAN_POINT('',(9.1948E0,2.22631E0,-1.397E1)); +#10100=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10102=AXIS2_PLACEMENT_3D('',#10099,#10100,#10101); +#10103=PLANE('',#10102); +#10104=ORIENTED_EDGE('',*,*,#6720,.T.); +#10105=ORIENTED_EDGE('',*,*,#10093,.T.); +#10106=ORIENTED_EDGE('',*,*,#10081,.T.); +#10108=ORIENTED_EDGE('',*,*,#10107,.T.); +#10110=ORIENTED_EDGE('',*,*,#10109,.F.); +#10112=ORIENTED_EDGE('',*,*,#10111,.T.); +#10113=EDGE_LOOP('',(#10104,#10105,#10106,#10108,#10110,#10112)); +#10114=FACE_OUTER_BOUND('',#10113,.F.); +#10116=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10118=DIRECTION('',(1.E0,0.E0,0.E0)); +#10119=AXIS2_PLACEMENT_3D('',#10116,#10117,#10118); +#10120=PLANE('',#10119); +#10122=ORIENTED_EDGE('',*,*,#10121,.F.); +#10124=ORIENTED_EDGE('',*,*,#10123,.F.); +#10126=ORIENTED_EDGE('',*,*,#10125,.F.); +#10128=ORIENTED_EDGE('',*,*,#10127,.F.); +#10129=EDGE_LOOP('',(#10122,#10124,#10126,#10128)); +#10130=FACE_OUTER_BOUND('',#10129,.F.); +#10132=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10134=DIRECTION('',(1.E0,0.E0,0.E0)); +#10135=AXIS2_PLACEMENT_3D('',#10132,#10133,#10134); +#10136=PLANE('',#10135); +#10137=ORIENTED_EDGE('',*,*,#10066,.F.); +#10139=ORIENTED_EDGE('',*,*,#10138,.F.); +#10140=ORIENTED_EDGE('',*,*,#10107,.F.); +#10141=ORIENTED_EDGE('',*,*,#10079,.F.); +#10142=EDGE_LOOP('',(#10137,#10139,#10140,#10141)); +#10143=FACE_OUTER_BOUND('',#10142,.F.); +#10145=CARTESIAN_POINT('',(8.5852E0,-2.62509E0,-1.397E1)); +#10146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10147=DIRECTION('',(0.E0,1.E0,0.E0)); +#10148=AXIS2_PLACEMENT_3D('',#10145,#10146,#10147); +#10149=PLANE('',#10148); +#10151=ORIENTED_EDGE('',*,*,#10150,.F.); +#10153=ORIENTED_EDGE('',*,*,#10152,.T.); +#10154=ORIENTED_EDGE('',*,*,#10121,.T.); +#10156=ORIENTED_EDGE('',*,*,#10155,.F.); +#10157=EDGE_LOOP('',(#10151,#10153,#10154,#10156)); +#10158=FACE_OUTER_BOUND('',#10157,.F.); +#10160=CARTESIAN_POINT('',(8.1803875E0,-2.62509E0,-1.397E1)); +#10161=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#10162=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#10163=AXIS2_PLACEMENT_3D('',#10160,#10161,#10162); +#10164=PLANE('',#10163); +#10166=ORIENTED_EDGE('',*,*,#10165,.T.); +#10167=ORIENTED_EDGE('',*,*,#10150,.T.); +#10169=ORIENTED_EDGE('',*,*,#10168,.F.); +#10170=ORIENTED_EDGE('',*,*,#6728,.T.); +#10171=EDGE_LOOP('',(#10166,#10167,#10169,#10170)); +#10172=FACE_OUTER_BOUND('',#10171,.F.); +#10174=CARTESIAN_POINT('',(9.1948E0,-2.62509E0,-1.397E1)); +#10175=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10176=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10177=AXIS2_PLACEMENT_3D('',#10174,#10175,#10176); +#10178=PLANE('',#10177); +#10179=ORIENTED_EDGE('',*,*,#6726,.T.); +#10181=ORIENTED_EDGE('',*,*,#10180,.F.); +#10183=ORIENTED_EDGE('',*,*,#10182,.T.); +#10184=ORIENTED_EDGE('',*,*,#10123,.T.); +#10185=ORIENTED_EDGE('',*,*,#10152,.F.); +#10186=ORIENTED_EDGE('',*,*,#10165,.F.); +#10187=EDGE_LOOP('',(#10179,#10181,#10183,#10184,#10185,#10186)); +#10188=FACE_OUTER_BOUND('',#10187,.F.); +#10190=CARTESIAN_POINT('',(9.1948E0,-2.62509E0,-1.5494E1)); +#10191=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#10192=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#10193=AXIS2_PLACEMENT_3D('',#10190,#10191,#10192); +#10194=PLANE('',#10193); +#10195=ORIENTED_EDGE('',*,*,#10180,.T.); +#10196=ORIENTED_EDGE('',*,*,#6732,.F.); +#10198=ORIENTED_EDGE('',*,*,#10197,.F.); +#10200=ORIENTED_EDGE('',*,*,#10199,.T.); +#10201=EDGE_LOOP('',(#10195,#10196,#10198,#10200)); +#10202=FACE_OUTER_BOUND('',#10201,.F.); +#10204=CARTESIAN_POINT('',(8.5852E0,-2.22631E0,-1.397E1)); +#10205=DIRECTION('',(0.E0,1.E0,0.E0)); +#10206=DIRECTION('',(1.E0,0.E0,0.E0)); +#10207=AXIS2_PLACEMENT_3D('',#10204,#10205,#10206); +#10208=PLANE('',#10207); +#10210=ORIENTED_EDGE('',*,*,#10209,.F.); +#10211=ORIENTED_EDGE('',*,*,#10197,.T.); +#10212=ORIENTED_EDGE('',*,*,#6730,.T.); +#10213=ORIENTED_EDGE('',*,*,#10168,.T.); +#10214=ORIENTED_EDGE('',*,*,#10155,.T.); +#10215=ORIENTED_EDGE('',*,*,#10127,.T.); +#10216=EDGE_LOOP('',(#10210,#10211,#10212,#10213,#10214,#10215)); +#10217=FACE_OUTER_BOUND('',#10216,.F.); +#10219=CARTESIAN_POINT('',(9.1948E0,-2.22631E0,-1.397E1)); +#10220=DIRECTION('',(1.E0,0.E0,0.E0)); +#10221=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10222=AXIS2_PLACEMENT_3D('',#10219,#10220,#10221); +#10223=PLANE('',#10222); +#10224=ORIENTED_EDGE('',*,*,#10199,.F.); +#10225=ORIENTED_EDGE('',*,*,#10209,.T.); +#10226=ORIENTED_EDGE('',*,*,#10125,.T.); +#10227=ORIENTED_EDGE('',*,*,#10182,.F.); +#10228=EDGE_LOOP('',(#10224,#10225,#10226,#10227)); +#10229=FACE_OUTER_BOUND('',#10228,.F.); +#10231=CARTESIAN_POINT('',(8.5852E0,2.22631E0,-1.397E1)); +#10232=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10233=DIRECTION('',(0.E0,1.E0,0.E0)); +#10234=AXIS2_PLACEMENT_3D('',#10231,#10232,#10233); +#10235=PLANE('',#10234); +#10237=ORIENTED_EDGE('',*,*,#10236,.F.); +#10238=ORIENTED_EDGE('',*,*,#10109,.T.); +#10239=ORIENTED_EDGE('',*,*,#10138,.T.); +#10240=ORIENTED_EDGE('',*,*,#10064,.F.); +#10241=EDGE_LOOP('',(#10237,#10238,#10239,#10240)); +#10242=FACE_OUTER_BOUND('',#10241,.F.); +#10244=CARTESIAN_POINT('',(8.5852E0,2.62509E0,-1.5494E1)); +#10245=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#10246=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#10247=AXIS2_PLACEMENT_3D('',#10244,#10245,#10246); +#10248=PLANE('',#10247); +#10249=ORIENTED_EDGE('',*,*,#10062,.T.); +#10250=ORIENTED_EDGE('',*,*,#6722,.F.); +#10251=ORIENTED_EDGE('',*,*,#10111,.F.); +#10252=ORIENTED_EDGE('',*,*,#10236,.T.); +#10253=EDGE_LOOP('',(#10249,#10250,#10251,#10252)); +#10254=FACE_OUTER_BOUND('',#10253,.F.); +#10256=CARTESIAN_POINT('',(1.11252E1,2.62509E0,-1.397E1)); +#10257=DIRECTION('',(0.E0,1.E0,0.E0)); +#10258=DIRECTION('',(1.E0,0.E0,0.E0)); +#10259=AXIS2_PLACEMENT_3D('',#10256,#10257,#10258); +#10260=PLANE('',#10259); +#10262=ORIENTED_EDGE('',*,*,#10261,.F.); +#10264=ORIENTED_EDGE('',*,*,#10263,.F.); +#10265=ORIENTED_EDGE('',*,*,#6736,.T.); +#10267=ORIENTED_EDGE('',*,*,#10266,.F.); +#10269=ORIENTED_EDGE('',*,*,#10268,.T.); +#10271=ORIENTED_EDGE('',*,*,#10270,.T.); +#10272=EDGE_LOOP('',(#10262,#10264,#10265,#10267,#10269,#10271)); +#10273=FACE_OUTER_BOUND('',#10272,.F.); +#10275=CARTESIAN_POINT('',(1.17348E1,2.62509E0,-1.397E1)); +#10276=DIRECTION('',(1.E0,0.E0,0.E0)); +#10277=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10278=AXIS2_PLACEMENT_3D('',#10275,#10276,#10277); +#10279=PLANE('',#10278); +#10281=ORIENTED_EDGE('',*,*,#10280,.F.); +#10282=ORIENTED_EDGE('',*,*,#10261,.T.); +#10284=ORIENTED_EDGE('',*,*,#10283,.T.); +#10286=ORIENTED_EDGE('',*,*,#10285,.F.); +#10287=EDGE_LOOP('',(#10281,#10282,#10284,#10286)); +#10288=FACE_OUTER_BOUND('',#10287,.F.); +#10290=CARTESIAN_POINT('',(1.21396125E1,2.62509E0,-1.397E1)); +#10291=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10292=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#10293=AXIS2_PLACEMENT_3D('',#10290,#10291,#10292); +#10294=PLANE('',#10293); +#10295=ORIENTED_EDGE('',*,*,#10263,.T.); +#10296=ORIENTED_EDGE('',*,*,#10280,.T.); +#10298=ORIENTED_EDGE('',*,*,#10297,.F.); +#10299=ORIENTED_EDGE('',*,*,#6738,.T.); +#10300=EDGE_LOOP('',(#10295,#10296,#10298,#10299)); +#10301=FACE_OUTER_BOUND('',#10300,.F.); +#10303=CARTESIAN_POINT('',(1.17348E1,2.22631E0,-1.397E1)); +#10304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10306=AXIS2_PLACEMENT_3D('',#10303,#10304,#10305); +#10307=PLANE('',#10306); +#10308=ORIENTED_EDGE('',*,*,#6740,.T.); +#10309=ORIENTED_EDGE('',*,*,#10297,.T.); +#10310=ORIENTED_EDGE('',*,*,#10285,.T.); +#10312=ORIENTED_EDGE('',*,*,#10311,.T.); +#10314=ORIENTED_EDGE('',*,*,#10313,.F.); +#10316=ORIENTED_EDGE('',*,*,#10315,.T.); +#10317=EDGE_LOOP('',(#10308,#10309,#10310,#10312,#10314,#10316)); +#10318=FACE_OUTER_BOUND('',#10317,.F.); +#10320=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10322=DIRECTION('',(1.E0,0.E0,0.E0)); +#10323=AXIS2_PLACEMENT_3D('',#10320,#10321,#10322); +#10324=PLANE('',#10323); +#10326=ORIENTED_EDGE('',*,*,#10325,.F.); +#10328=ORIENTED_EDGE('',*,*,#10327,.F.); +#10330=ORIENTED_EDGE('',*,*,#10329,.F.); +#10332=ORIENTED_EDGE('',*,*,#10331,.F.); +#10333=EDGE_LOOP('',(#10326,#10328,#10330,#10332)); +#10334=FACE_OUTER_BOUND('',#10333,.F.); +#10336=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10338=DIRECTION('',(1.E0,0.E0,0.E0)); +#10339=AXIS2_PLACEMENT_3D('',#10336,#10337,#10338); +#10340=PLANE('',#10339); +#10341=ORIENTED_EDGE('',*,*,#10270,.F.); +#10343=ORIENTED_EDGE('',*,*,#10342,.F.); +#10344=ORIENTED_EDGE('',*,*,#10311,.F.); +#10345=ORIENTED_EDGE('',*,*,#10283,.F.); +#10346=EDGE_LOOP('',(#10341,#10343,#10344,#10345)); +#10347=FACE_OUTER_BOUND('',#10346,.F.); +#10349=CARTESIAN_POINT('',(1.11252E1,-2.62509E0,-1.397E1)); +#10350=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10351=DIRECTION('',(0.E0,1.E0,0.E0)); +#10352=AXIS2_PLACEMENT_3D('',#10349,#10350,#10351); +#10353=PLANE('',#10352); +#10355=ORIENTED_EDGE('',*,*,#10354,.F.); +#10357=ORIENTED_EDGE('',*,*,#10356,.T.); +#10358=ORIENTED_EDGE('',*,*,#10325,.T.); +#10360=ORIENTED_EDGE('',*,*,#10359,.F.); +#10361=EDGE_LOOP('',(#10355,#10357,#10358,#10360)); +#10362=FACE_OUTER_BOUND('',#10361,.F.); +#10364=CARTESIAN_POINT('',(1.07203875E1,-2.62509E0,-1.397E1)); +#10365=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10366=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#10367=AXIS2_PLACEMENT_3D('',#10364,#10365,#10366); +#10368=PLANE('',#10367); +#10370=ORIENTED_EDGE('',*,*,#10369,.T.); +#10371=ORIENTED_EDGE('',*,*,#10354,.T.); +#10373=ORIENTED_EDGE('',*,*,#10372,.F.); +#10374=ORIENTED_EDGE('',*,*,#6748,.T.); +#10375=EDGE_LOOP('',(#10370,#10371,#10373,#10374)); +#10376=FACE_OUTER_BOUND('',#10375,.F.); +#10378=CARTESIAN_POINT('',(1.17348E1,-2.62509E0,-1.397E1)); +#10379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10380=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10381=AXIS2_PLACEMENT_3D('',#10378,#10379,#10380); +#10382=PLANE('',#10381); +#10383=ORIENTED_EDGE('',*,*,#6746,.T.); +#10385=ORIENTED_EDGE('',*,*,#10384,.F.); +#10387=ORIENTED_EDGE('',*,*,#10386,.T.); +#10388=ORIENTED_EDGE('',*,*,#10327,.T.); +#10389=ORIENTED_EDGE('',*,*,#10356,.F.); +#10390=ORIENTED_EDGE('',*,*,#10369,.F.); +#10391=EDGE_LOOP('',(#10383,#10385,#10387,#10388,#10389,#10390)); +#10392=FACE_OUTER_BOUND('',#10391,.F.); +#10394=CARTESIAN_POINT('',(1.17348E1,-2.62509E0,-1.5494E1)); +#10395=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#10396=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#10397=AXIS2_PLACEMENT_3D('',#10394,#10395,#10396); +#10398=PLANE('',#10397); +#10399=ORIENTED_EDGE('',*,*,#10384,.T.); +#10400=ORIENTED_EDGE('',*,*,#6752,.F.); +#10402=ORIENTED_EDGE('',*,*,#10401,.F.); +#10404=ORIENTED_EDGE('',*,*,#10403,.T.); +#10405=EDGE_LOOP('',(#10399,#10400,#10402,#10404)); +#10406=FACE_OUTER_BOUND('',#10405,.F.); +#10408=CARTESIAN_POINT('',(1.11252E1,-2.22631E0,-1.397E1)); +#10409=DIRECTION('',(0.E0,1.E0,0.E0)); +#10410=DIRECTION('',(1.E0,0.E0,0.E0)); +#10411=AXIS2_PLACEMENT_3D('',#10408,#10409,#10410); +#10412=PLANE('',#10411); +#10414=ORIENTED_EDGE('',*,*,#10413,.F.); +#10415=ORIENTED_EDGE('',*,*,#10401,.T.); +#10416=ORIENTED_EDGE('',*,*,#6750,.T.); +#10417=ORIENTED_EDGE('',*,*,#10372,.T.); +#10418=ORIENTED_EDGE('',*,*,#10359,.T.); +#10419=ORIENTED_EDGE('',*,*,#10331,.T.); +#10420=EDGE_LOOP('',(#10414,#10415,#10416,#10417,#10418,#10419)); +#10421=FACE_OUTER_BOUND('',#10420,.F.); +#10423=CARTESIAN_POINT('',(1.17348E1,-2.22631E0,-1.397E1)); +#10424=DIRECTION('',(1.E0,0.E0,0.E0)); +#10425=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10426=AXIS2_PLACEMENT_3D('',#10423,#10424,#10425); +#10427=PLANE('',#10426); +#10428=ORIENTED_EDGE('',*,*,#10403,.F.); +#10429=ORIENTED_EDGE('',*,*,#10413,.T.); +#10430=ORIENTED_EDGE('',*,*,#10329,.T.); +#10431=ORIENTED_EDGE('',*,*,#10386,.F.); +#10432=EDGE_LOOP('',(#10428,#10429,#10430,#10431)); +#10433=FACE_OUTER_BOUND('',#10432,.F.); +#10435=CARTESIAN_POINT('',(1.11252E1,2.22631E0,-1.397E1)); +#10436=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10437=DIRECTION('',(0.E0,1.E0,0.E0)); +#10438=AXIS2_PLACEMENT_3D('',#10435,#10436,#10437); +#10439=PLANE('',#10438); +#10441=ORIENTED_EDGE('',*,*,#10440,.F.); +#10442=ORIENTED_EDGE('',*,*,#10313,.T.); +#10443=ORIENTED_EDGE('',*,*,#10342,.T.); +#10444=ORIENTED_EDGE('',*,*,#10268,.F.); +#10445=EDGE_LOOP('',(#10441,#10442,#10443,#10444)); +#10446=FACE_OUTER_BOUND('',#10445,.F.); +#10448=CARTESIAN_POINT('',(1.11252E1,2.62509E0,-1.5494E1)); +#10449=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10450=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#10451=AXIS2_PLACEMENT_3D('',#10448,#10449,#10450); +#10452=PLANE('',#10451); +#10453=ORIENTED_EDGE('',*,*,#10266,.T.); +#10454=ORIENTED_EDGE('',*,*,#6742,.F.); +#10455=ORIENTED_EDGE('',*,*,#10315,.F.); +#10456=ORIENTED_EDGE('',*,*,#10440,.T.); +#10457=EDGE_LOOP('',(#10453,#10454,#10455,#10456)); +#10458=FACE_OUTER_BOUND('',#10457,.F.); +#10460=CARTESIAN_POINT('',(1.36652E1,2.62509E0,-1.397E1)); +#10461=DIRECTION('',(0.E0,1.E0,0.E0)); +#10462=DIRECTION('',(1.E0,0.E0,0.E0)); +#10463=AXIS2_PLACEMENT_3D('',#10460,#10461,#10462); +#10464=PLANE('',#10463); +#10466=ORIENTED_EDGE('',*,*,#10465,.F.); +#10468=ORIENTED_EDGE('',*,*,#10467,.F.); +#10469=ORIENTED_EDGE('',*,*,#6756,.T.); +#10471=ORIENTED_EDGE('',*,*,#10470,.F.); +#10473=ORIENTED_EDGE('',*,*,#10472,.T.); +#10475=ORIENTED_EDGE('',*,*,#10474,.T.); +#10476=EDGE_LOOP('',(#10466,#10468,#10469,#10471,#10473,#10475)); +#10477=FACE_OUTER_BOUND('',#10476,.F.); +#10479=CARTESIAN_POINT('',(1.42748E1,2.62509E0,-1.397E1)); +#10480=DIRECTION('',(1.E0,0.E0,0.E0)); +#10481=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10482=AXIS2_PLACEMENT_3D('',#10479,#10480,#10481); +#10483=PLANE('',#10482); +#10485=ORIENTED_EDGE('',*,*,#10484,.F.); +#10486=ORIENTED_EDGE('',*,*,#10465,.T.); +#10488=ORIENTED_EDGE('',*,*,#10487,.T.); +#10490=ORIENTED_EDGE('',*,*,#10489,.F.); +#10491=EDGE_LOOP('',(#10485,#10486,#10488,#10490)); +#10492=FACE_OUTER_BOUND('',#10491,.F.); +#10494=CARTESIAN_POINT('',(1.46796125E1,2.62509E0,-1.397E1)); +#10495=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#10496=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#10497=AXIS2_PLACEMENT_3D('',#10494,#10495,#10496); +#10498=PLANE('',#10497); +#10499=ORIENTED_EDGE('',*,*,#10467,.T.); +#10500=ORIENTED_EDGE('',*,*,#10484,.T.); +#10502=ORIENTED_EDGE('',*,*,#10501,.F.); +#10503=ORIENTED_EDGE('',*,*,#6758,.T.); +#10504=EDGE_LOOP('',(#10499,#10500,#10502,#10503)); +#10505=FACE_OUTER_BOUND('',#10504,.F.); +#10507=CARTESIAN_POINT('',(1.42748E1,2.22631E0,-1.397E1)); +#10508=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10509=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10510=AXIS2_PLACEMENT_3D('',#10507,#10508,#10509); +#10511=PLANE('',#10510); +#10512=ORIENTED_EDGE('',*,*,#6760,.T.); +#10513=ORIENTED_EDGE('',*,*,#10501,.T.); +#10514=ORIENTED_EDGE('',*,*,#10489,.T.); +#10516=ORIENTED_EDGE('',*,*,#10515,.T.); +#10518=ORIENTED_EDGE('',*,*,#10517,.F.); +#10520=ORIENTED_EDGE('',*,*,#10519,.T.); +#10521=EDGE_LOOP('',(#10512,#10513,#10514,#10516,#10518,#10520)); +#10522=FACE_OUTER_BOUND('',#10521,.F.); +#10524=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10526=DIRECTION('',(1.E0,0.E0,0.E0)); +#10527=AXIS2_PLACEMENT_3D('',#10524,#10525,#10526); +#10528=PLANE('',#10527); +#10530=ORIENTED_EDGE('',*,*,#10529,.F.); +#10532=ORIENTED_EDGE('',*,*,#10531,.F.); +#10534=ORIENTED_EDGE('',*,*,#10533,.F.); +#10536=ORIENTED_EDGE('',*,*,#10535,.F.); +#10537=EDGE_LOOP('',(#10530,#10532,#10534,#10536)); +#10538=FACE_OUTER_BOUND('',#10537,.F.); +#10540=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10542=DIRECTION('',(1.E0,0.E0,0.E0)); +#10543=AXIS2_PLACEMENT_3D('',#10540,#10541,#10542); +#10544=PLANE('',#10543); +#10545=ORIENTED_EDGE('',*,*,#10474,.F.); +#10547=ORIENTED_EDGE('',*,*,#10546,.F.); +#10548=ORIENTED_EDGE('',*,*,#10515,.F.); +#10549=ORIENTED_EDGE('',*,*,#10487,.F.); +#10550=EDGE_LOOP('',(#10545,#10547,#10548,#10549)); +#10551=FACE_OUTER_BOUND('',#10550,.F.); +#10553=CARTESIAN_POINT('',(1.36652E1,-2.62509E0,-1.397E1)); +#10554=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10555=DIRECTION('',(0.E0,1.E0,0.E0)); +#10556=AXIS2_PLACEMENT_3D('',#10553,#10554,#10555); +#10557=PLANE('',#10556); +#10559=ORIENTED_EDGE('',*,*,#10558,.F.); +#10561=ORIENTED_EDGE('',*,*,#10560,.T.); +#10562=ORIENTED_EDGE('',*,*,#10529,.T.); +#10564=ORIENTED_EDGE('',*,*,#10563,.F.); +#10565=EDGE_LOOP('',(#10559,#10561,#10562,#10564)); +#10566=FACE_OUTER_BOUND('',#10565,.F.); +#10568=CARTESIAN_POINT('',(1.32603875E1,-2.62509E0,-1.397E1)); +#10569=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10570=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#10571=AXIS2_PLACEMENT_3D('',#10568,#10569,#10570); +#10572=PLANE('',#10571); +#10574=ORIENTED_EDGE('',*,*,#10573,.T.); +#10575=ORIENTED_EDGE('',*,*,#10558,.T.); +#10577=ORIENTED_EDGE('',*,*,#10576,.F.); +#10578=ORIENTED_EDGE('',*,*,#6768,.T.); +#10579=EDGE_LOOP('',(#10574,#10575,#10577,#10578)); +#10580=FACE_OUTER_BOUND('',#10579,.F.); +#10582=CARTESIAN_POINT('',(1.42748E1,-2.62509E0,-1.397E1)); +#10583=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10585=AXIS2_PLACEMENT_3D('',#10582,#10583,#10584); +#10586=PLANE('',#10585); +#10587=ORIENTED_EDGE('',*,*,#6766,.T.); +#10589=ORIENTED_EDGE('',*,*,#10588,.F.); +#10591=ORIENTED_EDGE('',*,*,#10590,.T.); +#10592=ORIENTED_EDGE('',*,*,#10531,.T.); +#10593=ORIENTED_EDGE('',*,*,#10560,.F.); +#10594=ORIENTED_EDGE('',*,*,#10573,.F.); +#10595=EDGE_LOOP('',(#10587,#10589,#10591,#10592,#10593,#10594)); +#10596=FACE_OUTER_BOUND('',#10595,.F.); +#10598=CARTESIAN_POINT('',(1.42748E1,-2.62509E0,-1.5494E1)); +#10599=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#10600=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#10601=AXIS2_PLACEMENT_3D('',#10598,#10599,#10600); +#10602=PLANE('',#10601); +#10603=ORIENTED_EDGE('',*,*,#10588,.T.); +#10604=ORIENTED_EDGE('',*,*,#6772,.F.); +#10606=ORIENTED_EDGE('',*,*,#10605,.F.); +#10608=ORIENTED_EDGE('',*,*,#10607,.T.); +#10609=EDGE_LOOP('',(#10603,#10604,#10606,#10608)); +#10610=FACE_OUTER_BOUND('',#10609,.F.); +#10612=CARTESIAN_POINT('',(1.36652E1,-2.22631E0,-1.397E1)); +#10613=DIRECTION('',(0.E0,1.E0,0.E0)); +#10614=DIRECTION('',(1.E0,0.E0,0.E0)); +#10615=AXIS2_PLACEMENT_3D('',#10612,#10613,#10614); +#10616=PLANE('',#10615); +#10618=ORIENTED_EDGE('',*,*,#10617,.F.); +#10619=ORIENTED_EDGE('',*,*,#10605,.T.); +#10620=ORIENTED_EDGE('',*,*,#6770,.T.); +#10621=ORIENTED_EDGE('',*,*,#10576,.T.); +#10622=ORIENTED_EDGE('',*,*,#10563,.T.); +#10623=ORIENTED_EDGE('',*,*,#10535,.T.); +#10624=EDGE_LOOP('',(#10618,#10619,#10620,#10621,#10622,#10623)); +#10625=FACE_OUTER_BOUND('',#10624,.F.); +#10627=CARTESIAN_POINT('',(1.42748E1,-2.22631E0,-1.397E1)); +#10628=DIRECTION('',(1.E0,0.E0,0.E0)); +#10629=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10630=AXIS2_PLACEMENT_3D('',#10627,#10628,#10629); +#10631=PLANE('',#10630); +#10632=ORIENTED_EDGE('',*,*,#10607,.F.); +#10633=ORIENTED_EDGE('',*,*,#10617,.T.); +#10634=ORIENTED_EDGE('',*,*,#10533,.T.); +#10635=ORIENTED_EDGE('',*,*,#10590,.F.); +#10636=EDGE_LOOP('',(#10632,#10633,#10634,#10635)); +#10637=FACE_OUTER_BOUND('',#10636,.F.); +#10639=CARTESIAN_POINT('',(1.36652E1,2.22631E0,-1.397E1)); +#10640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10641=DIRECTION('',(0.E0,1.E0,0.E0)); +#10642=AXIS2_PLACEMENT_3D('',#10639,#10640,#10641); +#10643=PLANE('',#10642); +#10645=ORIENTED_EDGE('',*,*,#10644,.F.); +#10646=ORIENTED_EDGE('',*,*,#10517,.T.); +#10647=ORIENTED_EDGE('',*,*,#10546,.T.); +#10648=ORIENTED_EDGE('',*,*,#10472,.F.); +#10649=EDGE_LOOP('',(#10645,#10646,#10647,#10648)); +#10650=FACE_OUTER_BOUND('',#10649,.F.); +#10652=CARTESIAN_POINT('',(1.36652E1,2.62509E0,-1.5494E1)); +#10653=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10654=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#10655=AXIS2_PLACEMENT_3D('',#10652,#10653,#10654); +#10656=PLANE('',#10655); +#10657=ORIENTED_EDGE('',*,*,#10470,.T.); +#10658=ORIENTED_EDGE('',*,*,#6762,.F.); +#10659=ORIENTED_EDGE('',*,*,#10519,.F.); +#10660=ORIENTED_EDGE('',*,*,#10644,.T.); +#10661=EDGE_LOOP('',(#10657,#10658,#10659,#10660)); +#10662=FACE_OUTER_BOUND('',#10661,.F.); +#10664=CARTESIAN_POINT('',(1.62052E1,2.62509E0,-1.397E1)); +#10665=DIRECTION('',(0.E0,1.E0,0.E0)); +#10666=DIRECTION('',(1.E0,0.E0,0.E0)); +#10667=AXIS2_PLACEMENT_3D('',#10664,#10665,#10666); +#10668=PLANE('',#10667); +#10670=ORIENTED_EDGE('',*,*,#10669,.F.); +#10672=ORIENTED_EDGE('',*,*,#10671,.F.); +#10673=ORIENTED_EDGE('',*,*,#6776,.T.); +#10675=ORIENTED_EDGE('',*,*,#10674,.F.); +#10677=ORIENTED_EDGE('',*,*,#10676,.T.); +#10679=ORIENTED_EDGE('',*,*,#10678,.T.); +#10680=EDGE_LOOP('',(#10670,#10672,#10673,#10675,#10677,#10679)); +#10681=FACE_OUTER_BOUND('',#10680,.F.); +#10683=CARTESIAN_POINT('',(1.68148E1,2.62509E0,-1.397E1)); +#10684=DIRECTION('',(1.E0,0.E0,0.E0)); +#10685=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10686=AXIS2_PLACEMENT_3D('',#10683,#10684,#10685); +#10687=PLANE('',#10686); +#10689=ORIENTED_EDGE('',*,*,#10688,.F.); +#10690=ORIENTED_EDGE('',*,*,#10669,.T.); +#10692=ORIENTED_EDGE('',*,*,#10691,.T.); +#10694=ORIENTED_EDGE('',*,*,#10693,.F.); +#10695=EDGE_LOOP('',(#10689,#10690,#10692,#10694)); +#10696=FACE_OUTER_BOUND('',#10695,.F.); +#10698=CARTESIAN_POINT('',(1.72196125E1,2.62509E0,-1.397E1)); +#10699=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10700=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#10701=AXIS2_PLACEMENT_3D('',#10698,#10699,#10700); +#10702=PLANE('',#10701); +#10703=ORIENTED_EDGE('',*,*,#10671,.T.); +#10704=ORIENTED_EDGE('',*,*,#10688,.T.); +#10706=ORIENTED_EDGE('',*,*,#10705,.F.); +#10707=ORIENTED_EDGE('',*,*,#6778,.T.); +#10708=EDGE_LOOP('',(#10703,#10704,#10706,#10707)); +#10709=FACE_OUTER_BOUND('',#10708,.F.); +#10711=CARTESIAN_POINT('',(1.68148E1,2.22631E0,-1.397E1)); +#10712=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10714=AXIS2_PLACEMENT_3D('',#10711,#10712,#10713); +#10715=PLANE('',#10714); +#10716=ORIENTED_EDGE('',*,*,#6780,.T.); +#10717=ORIENTED_EDGE('',*,*,#10705,.T.); +#10718=ORIENTED_EDGE('',*,*,#10693,.T.); +#10720=ORIENTED_EDGE('',*,*,#10719,.T.); +#10722=ORIENTED_EDGE('',*,*,#10721,.F.); +#10724=ORIENTED_EDGE('',*,*,#10723,.T.); +#10725=EDGE_LOOP('',(#10716,#10717,#10718,#10720,#10722,#10724)); +#10726=FACE_OUTER_BOUND('',#10725,.F.); +#10728=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10729=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10730=DIRECTION('',(1.E0,0.E0,0.E0)); +#10731=AXIS2_PLACEMENT_3D('',#10728,#10729,#10730); +#10732=PLANE('',#10731); +#10734=ORIENTED_EDGE('',*,*,#10733,.F.); +#10736=ORIENTED_EDGE('',*,*,#10735,.F.); +#10738=ORIENTED_EDGE('',*,*,#10737,.F.); +#10740=ORIENTED_EDGE('',*,*,#10739,.F.); +#10741=EDGE_LOOP('',(#10734,#10736,#10738,#10740)); +#10742=FACE_OUTER_BOUND('',#10741,.F.); +#10744=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10745=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10746=DIRECTION('',(1.E0,0.E0,0.E0)); +#10747=AXIS2_PLACEMENT_3D('',#10744,#10745,#10746); +#10748=PLANE('',#10747); +#10749=ORIENTED_EDGE('',*,*,#10678,.F.); +#10751=ORIENTED_EDGE('',*,*,#10750,.F.); +#10752=ORIENTED_EDGE('',*,*,#10719,.F.); +#10753=ORIENTED_EDGE('',*,*,#10691,.F.); +#10754=EDGE_LOOP('',(#10749,#10751,#10752,#10753)); +#10755=FACE_OUTER_BOUND('',#10754,.F.); +#10757=CARTESIAN_POINT('',(1.62052E1,-2.62509E0,-1.397E1)); +#10758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10759=DIRECTION('',(0.E0,1.E0,0.E0)); +#10760=AXIS2_PLACEMENT_3D('',#10757,#10758,#10759); +#10761=PLANE('',#10760); +#10763=ORIENTED_EDGE('',*,*,#10762,.F.); +#10765=ORIENTED_EDGE('',*,*,#10764,.T.); +#10766=ORIENTED_EDGE('',*,*,#10733,.T.); +#10768=ORIENTED_EDGE('',*,*,#10767,.F.); +#10769=EDGE_LOOP('',(#10763,#10765,#10766,#10768)); +#10770=FACE_OUTER_BOUND('',#10769,.F.); +#10772=CARTESIAN_POINT('',(1.58003875E1,-2.62509E0,-1.397E1)); +#10773=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10774=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#10775=AXIS2_PLACEMENT_3D('',#10772,#10773,#10774); +#10776=PLANE('',#10775); +#10778=ORIENTED_EDGE('',*,*,#10777,.T.); +#10779=ORIENTED_EDGE('',*,*,#10762,.T.); +#10781=ORIENTED_EDGE('',*,*,#10780,.F.); +#10782=ORIENTED_EDGE('',*,*,#6788,.T.); +#10783=EDGE_LOOP('',(#10778,#10779,#10781,#10782)); +#10784=FACE_OUTER_BOUND('',#10783,.F.); +#10786=CARTESIAN_POINT('',(1.68148E1,-2.62509E0,-1.397E1)); +#10787=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10788=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10789=AXIS2_PLACEMENT_3D('',#10786,#10787,#10788); +#10790=PLANE('',#10789); +#10791=ORIENTED_EDGE('',*,*,#6786,.T.); +#10793=ORIENTED_EDGE('',*,*,#10792,.F.); +#10795=ORIENTED_EDGE('',*,*,#10794,.T.); +#10796=ORIENTED_EDGE('',*,*,#10735,.T.); +#10797=ORIENTED_EDGE('',*,*,#10764,.F.); +#10798=ORIENTED_EDGE('',*,*,#10777,.F.); +#10799=EDGE_LOOP('',(#10791,#10793,#10795,#10796,#10797,#10798)); +#10800=FACE_OUTER_BOUND('',#10799,.F.); +#10802=CARTESIAN_POINT('',(1.68148E1,-2.62509E0,-1.5494E1)); +#10803=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10804=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#10805=AXIS2_PLACEMENT_3D('',#10802,#10803,#10804); +#10806=PLANE('',#10805); +#10807=ORIENTED_EDGE('',*,*,#10792,.T.); +#10808=ORIENTED_EDGE('',*,*,#6792,.F.); +#10810=ORIENTED_EDGE('',*,*,#10809,.F.); +#10812=ORIENTED_EDGE('',*,*,#10811,.T.); +#10813=EDGE_LOOP('',(#10807,#10808,#10810,#10812)); +#10814=FACE_OUTER_BOUND('',#10813,.F.); +#10816=CARTESIAN_POINT('',(1.62052E1,-2.22631E0,-1.397E1)); +#10817=DIRECTION('',(0.E0,1.E0,0.E0)); +#10818=DIRECTION('',(1.E0,0.E0,0.E0)); +#10819=AXIS2_PLACEMENT_3D('',#10816,#10817,#10818); +#10820=PLANE('',#10819); +#10822=ORIENTED_EDGE('',*,*,#10821,.F.); +#10823=ORIENTED_EDGE('',*,*,#10809,.T.); +#10824=ORIENTED_EDGE('',*,*,#6790,.T.); +#10825=ORIENTED_EDGE('',*,*,#10780,.T.); +#10826=ORIENTED_EDGE('',*,*,#10767,.T.); +#10827=ORIENTED_EDGE('',*,*,#10739,.T.); +#10828=EDGE_LOOP('',(#10822,#10823,#10824,#10825,#10826,#10827)); +#10829=FACE_OUTER_BOUND('',#10828,.F.); +#10831=CARTESIAN_POINT('',(1.68148E1,-2.22631E0,-1.397E1)); +#10832=DIRECTION('',(1.E0,0.E0,0.E0)); +#10833=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10834=AXIS2_PLACEMENT_3D('',#10831,#10832,#10833); +#10835=PLANE('',#10834); +#10836=ORIENTED_EDGE('',*,*,#10811,.F.); +#10837=ORIENTED_EDGE('',*,*,#10821,.T.); +#10838=ORIENTED_EDGE('',*,*,#10737,.T.); +#10839=ORIENTED_EDGE('',*,*,#10794,.F.); +#10840=EDGE_LOOP('',(#10836,#10837,#10838,#10839)); +#10841=FACE_OUTER_BOUND('',#10840,.F.); +#10843=CARTESIAN_POINT('',(1.62052E1,2.22631E0,-1.397E1)); +#10844=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10845=DIRECTION('',(0.E0,1.E0,0.E0)); +#10846=AXIS2_PLACEMENT_3D('',#10843,#10844,#10845); +#10847=PLANE('',#10846); +#10849=ORIENTED_EDGE('',*,*,#10848,.F.); +#10850=ORIENTED_EDGE('',*,*,#10721,.T.); +#10851=ORIENTED_EDGE('',*,*,#10750,.T.); +#10852=ORIENTED_EDGE('',*,*,#10676,.F.); +#10853=EDGE_LOOP('',(#10849,#10850,#10851,#10852)); +#10854=FACE_OUTER_BOUND('',#10853,.F.); +#10856=CARTESIAN_POINT('',(1.62052E1,2.62509E0,-1.5494E1)); +#10857=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10858=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#10859=AXIS2_PLACEMENT_3D('',#10856,#10857,#10858); +#10860=PLANE('',#10859); +#10861=ORIENTED_EDGE('',*,*,#10674,.T.); +#10862=ORIENTED_EDGE('',*,*,#6782,.F.); +#10863=ORIENTED_EDGE('',*,*,#10723,.F.); +#10864=ORIENTED_EDGE('',*,*,#10848,.T.); +#10865=EDGE_LOOP('',(#10861,#10862,#10863,#10864)); +#10866=FACE_OUTER_BOUND('',#10865,.F.); +#10868=CARTESIAN_POINT('',(1.87452E1,2.62509E0,-1.397E1)); +#10869=DIRECTION('',(0.E0,1.E0,0.E0)); +#10870=DIRECTION('',(1.E0,0.E0,0.E0)); +#10871=AXIS2_PLACEMENT_3D('',#10868,#10869,#10870); +#10872=PLANE('',#10871); +#10874=ORIENTED_EDGE('',*,*,#10873,.F.); +#10876=ORIENTED_EDGE('',*,*,#10875,.F.); +#10877=ORIENTED_EDGE('',*,*,#6796,.T.); +#10879=ORIENTED_EDGE('',*,*,#10878,.F.); +#10881=ORIENTED_EDGE('',*,*,#10880,.T.); +#10883=ORIENTED_EDGE('',*,*,#10882,.T.); +#10884=EDGE_LOOP('',(#10874,#10876,#10877,#10879,#10881,#10883)); +#10885=FACE_OUTER_BOUND('',#10884,.F.); +#10887=CARTESIAN_POINT('',(1.93548E1,2.62509E0,-1.397E1)); +#10888=DIRECTION('',(1.E0,0.E0,0.E0)); +#10889=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10890=AXIS2_PLACEMENT_3D('',#10887,#10888,#10889); +#10891=PLANE('',#10890); +#10893=ORIENTED_EDGE('',*,*,#10892,.F.); +#10894=ORIENTED_EDGE('',*,*,#10873,.T.); +#10896=ORIENTED_EDGE('',*,*,#10895,.T.); +#10898=ORIENTED_EDGE('',*,*,#10897,.F.); +#10899=EDGE_LOOP('',(#10893,#10894,#10896,#10898)); +#10900=FACE_OUTER_BOUND('',#10899,.F.); +#10902=CARTESIAN_POINT('',(1.97596125E1,2.62509E0,-1.397E1)); +#10903=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#10904=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#10905=AXIS2_PLACEMENT_3D('',#10902,#10903,#10904); +#10906=PLANE('',#10905); +#10907=ORIENTED_EDGE('',*,*,#10875,.T.); +#10908=ORIENTED_EDGE('',*,*,#10892,.T.); +#10910=ORIENTED_EDGE('',*,*,#10909,.F.); +#10911=ORIENTED_EDGE('',*,*,#6798,.T.); +#10912=EDGE_LOOP('',(#10907,#10908,#10910,#10911)); +#10913=FACE_OUTER_BOUND('',#10912,.F.); +#10915=CARTESIAN_POINT('',(1.93548E1,2.22631E0,-1.397E1)); +#10916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10917=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10918=AXIS2_PLACEMENT_3D('',#10915,#10916,#10917); +#10919=PLANE('',#10918); +#10920=ORIENTED_EDGE('',*,*,#6800,.T.); +#10921=ORIENTED_EDGE('',*,*,#10909,.T.); +#10922=ORIENTED_EDGE('',*,*,#10897,.T.); +#10924=ORIENTED_EDGE('',*,*,#10923,.T.); +#10926=ORIENTED_EDGE('',*,*,#10925,.F.); +#10928=ORIENTED_EDGE('',*,*,#10927,.T.); +#10929=EDGE_LOOP('',(#10920,#10921,#10922,#10924,#10926,#10928)); +#10930=FACE_OUTER_BOUND('',#10929,.F.); +#10932=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10933=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10934=DIRECTION('',(1.E0,0.E0,0.E0)); +#10935=AXIS2_PLACEMENT_3D('',#10932,#10933,#10934); +#10936=PLANE('',#10935); +#10938=ORIENTED_EDGE('',*,*,#10937,.F.); +#10940=ORIENTED_EDGE('',*,*,#10939,.F.); +#10942=ORIENTED_EDGE('',*,*,#10941,.F.); +#10944=ORIENTED_EDGE('',*,*,#10943,.F.); +#10945=EDGE_LOOP('',(#10938,#10940,#10942,#10944)); +#10946=FACE_OUTER_BOUND('',#10945,.F.); +#10948=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#10949=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10950=DIRECTION('',(1.E0,0.E0,0.E0)); +#10951=AXIS2_PLACEMENT_3D('',#10948,#10949,#10950); +#10952=PLANE('',#10951); +#10953=ORIENTED_EDGE('',*,*,#10882,.F.); +#10955=ORIENTED_EDGE('',*,*,#10954,.F.); +#10956=ORIENTED_EDGE('',*,*,#10923,.F.); +#10957=ORIENTED_EDGE('',*,*,#10895,.F.); +#10958=EDGE_LOOP('',(#10953,#10955,#10956,#10957)); +#10959=FACE_OUTER_BOUND('',#10958,.F.); +#10961=CARTESIAN_POINT('',(1.87452E1,-2.62509E0,-1.397E1)); +#10962=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10963=DIRECTION('',(0.E0,1.E0,0.E0)); +#10964=AXIS2_PLACEMENT_3D('',#10961,#10962,#10963); +#10965=PLANE('',#10964); +#10967=ORIENTED_EDGE('',*,*,#10966,.F.); +#10969=ORIENTED_EDGE('',*,*,#10968,.T.); +#10970=ORIENTED_EDGE('',*,*,#10937,.T.); +#10972=ORIENTED_EDGE('',*,*,#10971,.F.); +#10973=EDGE_LOOP('',(#10967,#10969,#10970,#10972)); +#10974=FACE_OUTER_BOUND('',#10973,.F.); +#10976=CARTESIAN_POINT('',(1.83403875E1,-2.62509E0,-1.397E1)); +#10977=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#10978=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#10979=AXIS2_PLACEMENT_3D('',#10976,#10977,#10978); +#10980=PLANE('',#10979); +#10982=ORIENTED_EDGE('',*,*,#10981,.T.); +#10983=ORIENTED_EDGE('',*,*,#10966,.T.); +#10985=ORIENTED_EDGE('',*,*,#10984,.F.); +#10986=ORIENTED_EDGE('',*,*,#6808,.T.); +#10987=EDGE_LOOP('',(#10982,#10983,#10985,#10986)); +#10988=FACE_OUTER_BOUND('',#10987,.F.); +#10990=CARTESIAN_POINT('',(1.93548E1,-2.62509E0,-1.397E1)); +#10991=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10993=AXIS2_PLACEMENT_3D('',#10990,#10991,#10992); +#10994=PLANE('',#10993); +#10995=ORIENTED_EDGE('',*,*,#6806,.T.); +#10997=ORIENTED_EDGE('',*,*,#10996,.F.); +#10999=ORIENTED_EDGE('',*,*,#10998,.T.); +#11000=ORIENTED_EDGE('',*,*,#10939,.T.); +#11001=ORIENTED_EDGE('',*,*,#10968,.F.); +#11002=ORIENTED_EDGE('',*,*,#10981,.F.); +#11003=EDGE_LOOP('',(#10995,#10997,#10999,#11000,#11001,#11002)); +#11004=FACE_OUTER_BOUND('',#11003,.F.); +#11006=CARTESIAN_POINT('',(1.93548E1,-2.62509E0,-1.5494E1)); +#11007=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11008=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#11009=AXIS2_PLACEMENT_3D('',#11006,#11007,#11008); +#11010=PLANE('',#11009); +#11011=ORIENTED_EDGE('',*,*,#10996,.T.); +#11012=ORIENTED_EDGE('',*,*,#6812,.F.); +#11014=ORIENTED_EDGE('',*,*,#11013,.F.); +#11016=ORIENTED_EDGE('',*,*,#11015,.T.); +#11017=EDGE_LOOP('',(#11011,#11012,#11014,#11016)); +#11018=FACE_OUTER_BOUND('',#11017,.F.); +#11020=CARTESIAN_POINT('',(1.87452E1,-2.22631E0,-1.397E1)); +#11021=DIRECTION('',(0.E0,1.E0,0.E0)); +#11022=DIRECTION('',(1.E0,0.E0,0.E0)); +#11023=AXIS2_PLACEMENT_3D('',#11020,#11021,#11022); +#11024=PLANE('',#11023); +#11026=ORIENTED_EDGE('',*,*,#11025,.F.); +#11027=ORIENTED_EDGE('',*,*,#11013,.T.); +#11028=ORIENTED_EDGE('',*,*,#6810,.T.); +#11029=ORIENTED_EDGE('',*,*,#10984,.T.); +#11030=ORIENTED_EDGE('',*,*,#10971,.T.); +#11031=ORIENTED_EDGE('',*,*,#10943,.T.); +#11032=EDGE_LOOP('',(#11026,#11027,#11028,#11029,#11030,#11031)); +#11033=FACE_OUTER_BOUND('',#11032,.F.); +#11035=CARTESIAN_POINT('',(1.93548E1,-2.22631E0,-1.397E1)); +#11036=DIRECTION('',(1.E0,0.E0,0.E0)); +#11037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11038=AXIS2_PLACEMENT_3D('',#11035,#11036,#11037); +#11039=PLANE('',#11038); +#11040=ORIENTED_EDGE('',*,*,#11015,.F.); +#11041=ORIENTED_EDGE('',*,*,#11025,.T.); +#11042=ORIENTED_EDGE('',*,*,#10941,.T.); +#11043=ORIENTED_EDGE('',*,*,#10998,.F.); +#11044=EDGE_LOOP('',(#11040,#11041,#11042,#11043)); +#11045=FACE_OUTER_BOUND('',#11044,.F.); +#11047=CARTESIAN_POINT('',(1.87452E1,2.22631E0,-1.397E1)); +#11048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11049=DIRECTION('',(0.E0,1.E0,0.E0)); +#11050=AXIS2_PLACEMENT_3D('',#11047,#11048,#11049); +#11051=PLANE('',#11050); +#11053=ORIENTED_EDGE('',*,*,#11052,.F.); +#11054=ORIENTED_EDGE('',*,*,#10925,.T.); +#11055=ORIENTED_EDGE('',*,*,#10954,.T.); +#11056=ORIENTED_EDGE('',*,*,#10880,.F.); +#11057=EDGE_LOOP('',(#11053,#11054,#11055,#11056)); +#11058=FACE_OUTER_BOUND('',#11057,.F.); +#11060=CARTESIAN_POINT('',(1.87452E1,2.62509E0,-1.5494E1)); +#11061=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#11062=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#11063=AXIS2_PLACEMENT_3D('',#11060,#11061,#11062); +#11064=PLANE('',#11063); +#11065=ORIENTED_EDGE('',*,*,#10878,.T.); +#11066=ORIENTED_EDGE('',*,*,#6802,.F.); +#11067=ORIENTED_EDGE('',*,*,#10927,.F.); +#11068=ORIENTED_EDGE('',*,*,#11052,.T.); +#11069=EDGE_LOOP('',(#11065,#11066,#11067,#11068)); +#11070=FACE_OUTER_BOUND('',#11069,.F.); +#11072=CARTESIAN_POINT('',(2.12852E1,2.62509E0,-1.397E1)); +#11073=DIRECTION('',(0.E0,1.E0,0.E0)); +#11074=DIRECTION('',(1.E0,0.E0,0.E0)); +#11075=AXIS2_PLACEMENT_3D('',#11072,#11073,#11074); +#11076=PLANE('',#11075); +#11078=ORIENTED_EDGE('',*,*,#11077,.F.); +#11080=ORIENTED_EDGE('',*,*,#11079,.F.); +#11081=ORIENTED_EDGE('',*,*,#6816,.T.); +#11083=ORIENTED_EDGE('',*,*,#11082,.F.); +#11085=ORIENTED_EDGE('',*,*,#11084,.T.); +#11087=ORIENTED_EDGE('',*,*,#11086,.T.); +#11088=EDGE_LOOP('',(#11078,#11080,#11081,#11083,#11085,#11087)); +#11089=FACE_OUTER_BOUND('',#11088,.F.); +#11091=CARTESIAN_POINT('',(2.18948E1,2.62509E0,-1.397E1)); +#11092=DIRECTION('',(1.E0,0.E0,0.E0)); +#11093=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11094=AXIS2_PLACEMENT_3D('',#11091,#11092,#11093); +#11095=PLANE('',#11094); +#11097=ORIENTED_EDGE('',*,*,#11096,.F.); +#11098=ORIENTED_EDGE('',*,*,#11077,.T.); +#11100=ORIENTED_EDGE('',*,*,#11099,.T.); +#11102=ORIENTED_EDGE('',*,*,#11101,.F.); +#11103=EDGE_LOOP('',(#11097,#11098,#11100,#11102)); +#11104=FACE_OUTER_BOUND('',#11103,.F.); +#11106=CARTESIAN_POINT('',(2.22996125E1,2.62509E0,-1.397E1)); +#11107=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11108=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#11109=AXIS2_PLACEMENT_3D('',#11106,#11107,#11108); +#11110=PLANE('',#11109); +#11111=ORIENTED_EDGE('',*,*,#11079,.T.); +#11112=ORIENTED_EDGE('',*,*,#11096,.T.); +#11114=ORIENTED_EDGE('',*,*,#11113,.F.); +#11115=ORIENTED_EDGE('',*,*,#6818,.T.); +#11116=EDGE_LOOP('',(#11111,#11112,#11114,#11115)); +#11117=FACE_OUTER_BOUND('',#11116,.F.); +#11119=CARTESIAN_POINT('',(2.18948E1,2.22631E0,-1.397E1)); +#11120=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11121=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11122=AXIS2_PLACEMENT_3D('',#11119,#11120,#11121); +#11123=PLANE('',#11122); +#11124=ORIENTED_EDGE('',*,*,#6820,.T.); +#11125=ORIENTED_EDGE('',*,*,#11113,.T.); +#11126=ORIENTED_EDGE('',*,*,#11101,.T.); +#11128=ORIENTED_EDGE('',*,*,#11127,.T.); +#11130=ORIENTED_EDGE('',*,*,#11129,.F.); +#11132=ORIENTED_EDGE('',*,*,#11131,.T.); +#11133=EDGE_LOOP('',(#11124,#11125,#11126,#11128,#11130,#11132)); +#11134=FACE_OUTER_BOUND('',#11133,.F.); +#11136=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#11137=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11138=DIRECTION('',(1.E0,0.E0,0.E0)); +#11139=AXIS2_PLACEMENT_3D('',#11136,#11137,#11138); +#11140=PLANE('',#11139); +#11142=ORIENTED_EDGE('',*,*,#11141,.F.); +#11144=ORIENTED_EDGE('',*,*,#11143,.F.); +#11146=ORIENTED_EDGE('',*,*,#11145,.F.); +#11148=ORIENTED_EDGE('',*,*,#11147,.F.); +#11149=EDGE_LOOP('',(#11142,#11144,#11146,#11148)); +#11150=FACE_OUTER_BOUND('',#11149,.F.); +#11152=CARTESIAN_POINT('',(-2.6162E1,0.E0,-1.8669E1)); +#11153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11154=DIRECTION('',(1.E0,0.E0,0.E0)); +#11155=AXIS2_PLACEMENT_3D('',#11152,#11153,#11154); +#11156=PLANE('',#11155); +#11157=ORIENTED_EDGE('',*,*,#11086,.F.); +#11159=ORIENTED_EDGE('',*,*,#11158,.F.); +#11160=ORIENTED_EDGE('',*,*,#11127,.F.); +#11161=ORIENTED_EDGE('',*,*,#11099,.F.); +#11162=EDGE_LOOP('',(#11157,#11159,#11160,#11161)); +#11163=FACE_OUTER_BOUND('',#11162,.F.); +#11165=CARTESIAN_POINT('',(2.12852E1,-2.62509E0,-1.397E1)); +#11166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11167=DIRECTION('',(0.E0,1.E0,0.E0)); +#11168=AXIS2_PLACEMENT_3D('',#11165,#11166,#11167); +#11169=PLANE('',#11168); +#11171=ORIENTED_EDGE('',*,*,#11170,.F.); +#11173=ORIENTED_EDGE('',*,*,#11172,.T.); +#11174=ORIENTED_EDGE('',*,*,#11141,.T.); +#11176=ORIENTED_EDGE('',*,*,#11175,.F.); +#11177=EDGE_LOOP('',(#11171,#11173,#11174,#11176)); +#11178=FACE_OUTER_BOUND('',#11177,.F.); +#11180=CARTESIAN_POINT('',(2.08803875E1,-2.62509E0,-1.397E1)); +#11181=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11182=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#11183=AXIS2_PLACEMENT_3D('',#11180,#11181,#11182); +#11184=PLANE('',#11183); +#11186=ORIENTED_EDGE('',*,*,#11185,.T.); +#11187=ORIENTED_EDGE('',*,*,#11170,.T.); +#11189=ORIENTED_EDGE('',*,*,#11188,.F.); +#11190=ORIENTED_EDGE('',*,*,#6828,.T.); +#11191=EDGE_LOOP('',(#11186,#11187,#11189,#11190)); +#11192=FACE_OUTER_BOUND('',#11191,.F.); +#11194=CARTESIAN_POINT('',(2.18948E1,-2.62509E0,-1.397E1)); +#11195=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11196=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11197=AXIS2_PLACEMENT_3D('',#11194,#11195,#11196); +#11198=PLANE('',#11197); +#11199=ORIENTED_EDGE('',*,*,#6826,.T.); +#11201=ORIENTED_EDGE('',*,*,#11200,.F.); +#11203=ORIENTED_EDGE('',*,*,#11202,.T.); +#11204=ORIENTED_EDGE('',*,*,#11143,.T.); +#11205=ORIENTED_EDGE('',*,*,#11172,.F.); +#11206=ORIENTED_EDGE('',*,*,#11185,.F.); +#11207=EDGE_LOOP('',(#11199,#11201,#11203,#11204,#11205,#11206)); +#11208=FACE_OUTER_BOUND('',#11207,.F.); +#11210=CARTESIAN_POINT('',(2.18948E1,-2.62509E0,-1.5494E1)); +#11211=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11212=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#11213=AXIS2_PLACEMENT_3D('',#11210,#11211,#11212); +#11214=PLANE('',#11213); +#11215=ORIENTED_EDGE('',*,*,#11200,.T.); +#11216=ORIENTED_EDGE('',*,*,#6832,.F.); +#11218=ORIENTED_EDGE('',*,*,#11217,.F.); +#11220=ORIENTED_EDGE('',*,*,#11219,.T.); +#11221=EDGE_LOOP('',(#11215,#11216,#11218,#11220)); +#11222=FACE_OUTER_BOUND('',#11221,.F.); +#11224=CARTESIAN_POINT('',(2.12852E1,-2.22631E0,-1.397E1)); +#11225=DIRECTION('',(0.E0,1.E0,0.E0)); +#11226=DIRECTION('',(1.E0,0.E0,0.E0)); +#11227=AXIS2_PLACEMENT_3D('',#11224,#11225,#11226); +#11228=PLANE('',#11227); +#11230=ORIENTED_EDGE('',*,*,#11229,.F.); +#11231=ORIENTED_EDGE('',*,*,#11217,.T.); +#11232=ORIENTED_EDGE('',*,*,#6830,.T.); +#11233=ORIENTED_EDGE('',*,*,#11188,.T.); +#11234=ORIENTED_EDGE('',*,*,#11175,.T.); +#11235=ORIENTED_EDGE('',*,*,#11147,.T.); +#11236=EDGE_LOOP('',(#11230,#11231,#11232,#11233,#11234,#11235)); +#11237=FACE_OUTER_BOUND('',#11236,.F.); +#11239=CARTESIAN_POINT('',(2.18948E1,-2.22631E0,-1.397E1)); +#11240=DIRECTION('',(1.E0,0.E0,0.E0)); +#11241=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11242=AXIS2_PLACEMENT_3D('',#11239,#11240,#11241); +#11243=PLANE('',#11242); +#11244=ORIENTED_EDGE('',*,*,#11219,.F.); +#11245=ORIENTED_EDGE('',*,*,#11229,.T.); +#11246=ORIENTED_EDGE('',*,*,#11145,.T.); +#11247=ORIENTED_EDGE('',*,*,#11202,.F.); +#11248=EDGE_LOOP('',(#11244,#11245,#11246,#11247)); +#11249=FACE_OUTER_BOUND('',#11248,.F.); +#11251=CARTESIAN_POINT('',(2.12852E1,2.22631E0,-1.397E1)); +#11252=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11253=DIRECTION('',(0.E0,1.E0,0.E0)); +#11254=AXIS2_PLACEMENT_3D('',#11251,#11252,#11253); +#11255=PLANE('',#11254); +#11257=ORIENTED_EDGE('',*,*,#11256,.F.); +#11258=ORIENTED_EDGE('',*,*,#11129,.T.); +#11259=ORIENTED_EDGE('',*,*,#11158,.T.); +#11260=ORIENTED_EDGE('',*,*,#11084,.F.); +#11261=EDGE_LOOP('',(#11257,#11258,#11259,#11260)); +#11262=FACE_OUTER_BOUND('',#11261,.F.); +#11264=CARTESIAN_POINT('',(2.12852E1,2.62509E0,-1.5494E1)); +#11265=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#11266=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#11267=AXIS2_PLACEMENT_3D('',#11264,#11265,#11266); +#11268=PLANE('',#11267); +#11269=ORIENTED_EDGE('',*,*,#11082,.T.); +#11270=ORIENTED_EDGE('',*,*,#6822,.F.); +#11271=ORIENTED_EDGE('',*,*,#11131,.F.); +#11272=ORIENTED_EDGE('',*,*,#11256,.T.); +#11273=EDGE_LOOP('',(#11269,#11270,#11271,#11272)); +#11274=FACE_OUTER_BOUND('',#11273,.F.); +#11276=CARTESIAN_POINT('',(-2.54E1,-3.048E0,2.237107039386E-2)); +#11277=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11278=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11279=AXIS2_PLACEMENT_3D('',#11276,#11277,#11278); +#11280=CYLINDRICAL_SURFACE('',#11279,7.62E-1); +#11281=ORIENTED_EDGE('',*,*,#6109,.T.); +#11282=ORIENTED_EDGE('',*,*,#6268,.T.); +#11283=ORIENTED_EDGE('',*,*,#6185,.T.); +#11284=ORIENTED_EDGE('',*,*,#6062,.F.); +#11285=EDGE_LOOP('',(#11281,#11282,#11283,#11284)); +#11286=FACE_OUTER_BOUND('',#11285,.F.); +#11288=CARTESIAN_POINT('',(2.54E1,-3.9116E0,8.348864031529E-3)); +#11289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11290=DIRECTION('',(1.E0,0.E0,0.E0)); +#11291=AXIS2_PLACEMENT_3D('',#11288,#11289,#11290); +#11292=CYLINDRICAL_SURFACE('',#11291,7.62E-1); +#11293=ORIENTED_EDGE('',*,*,#6116,.T.); +#11295=ORIENTED_EDGE('',*,*,#11294,.F.); +#11297=ORIENTED_EDGE('',*,*,#11296,.T.); +#11298=ORIENTED_EDGE('',*,*,#6213,.T.); +#11299=EDGE_LOOP('',(#11293,#11295,#11297,#11298)); +#11300=FACE_OUTER_BOUND('',#11299,.F.); +#11302=CARTESIAN_POINT('',(-2.6162E1,-4.6736E0,0.E0)); +#11303=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11304=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11305=AXIS2_PLACEMENT_3D('',#11302,#11303,#11304); +#11306=PLANE('',#11305); +#11308=ORIENTED_EDGE('',*,*,#11307,.T.); +#11310=ORIENTED_EDGE('',*,*,#11309,.T.); +#11311=ORIENTED_EDGE('',*,*,#11294,.T.); +#11312=ORIENTED_EDGE('',*,*,#6114,.F.); +#11313=EDGE_LOOP('',(#11308,#11310,#11311,#11312)); +#11314=FACE_OUTER_BOUND('',#11313,.F.); +#11316=CARTESIAN_POINT('',(-2.54E1,-3.9116E0,-8.902093130577E0)); +#11317=DIRECTION('',(0.E0,0.E0,1.E0)); +#11318=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11319=AXIS2_PLACEMENT_3D('',#11316,#11317,#11318); +#11320=CYLINDRICAL_SURFACE('',#11319,7.62E-1); +#11322=ORIENTED_EDGE('',*,*,#11321,.T.); +#11323=ORIENTED_EDGE('',*,*,#11307,.F.); +#11324=ORIENTED_EDGE('',*,*,#6112,.T.); +#11325=ORIENTED_EDGE('',*,*,#6074,.T.); +#11326=EDGE_LOOP('',(#11322,#11323,#11324,#11325)); +#11327=FACE_OUTER_BOUND('',#11326,.F.); +#11329=CARTESIAN_POINT('',(-2.6162E1,4.6736E0,0.E0)); +#11330=DIRECTION('',(0.E0,0.E0,1.E0)); +#11331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11332=AXIS2_PLACEMENT_3D('',#11329,#11330,#11331); +#11333=PLANE('',#11332); +#11334=ORIENTED_EDGE('',*,*,#11321,.F.); +#11335=ORIENTED_EDGE('',*,*,#6072,.F.); +#11337=ORIENTED_EDGE('',*,*,#11336,.F.); +#11339=ORIENTED_EDGE('',*,*,#11338,.T.); +#11341=ORIENTED_EDGE('',*,*,#11340,.F.); +#11342=ORIENTED_EDGE('',*,*,#6215,.T.); +#11343=ORIENTED_EDGE('',*,*,#11296,.F.); +#11344=ORIENTED_EDGE('',*,*,#11309,.F.); +#11345=EDGE_LOOP('',(#11334,#11335,#11337,#11339,#11341,#11342,#11343,#11344)); +#11346=FACE_OUTER_BOUND('',#11345,.F.); +#11348=ORIENTED_EDGE('',*,*,#11347,.F.); +#11350=ORIENTED_EDGE('',*,*,#11349,.F.); +#11352=ORIENTED_EDGE('',*,*,#11351,.F.); +#11354=ORIENTED_EDGE('',*,*,#11353,.F.); +#11355=EDGE_LOOP('',(#11348,#11350,#11352,#11354)); +#11356=FACE_BOUND('',#11355,.F.); +#11358=CARTESIAN_POINT('',(-2.622808100023E1,2.5146E0,-1.524E0)); +#11359=DIRECTION('',(1.E0,0.E0,0.E0)); +#11360=DIRECTION('',(0.E0,0.E0,1.E0)); +#11361=AXIS2_PLACEMENT_3D('',#11358,#11359,#11360); +#11362=CYLINDRICAL_SURFACE('',#11361,1.524E0); +#11364=ORIENTED_EDGE('',*,*,#11363,.F.); +#11366=ORIENTED_EDGE('',*,*,#11365,.T.); +#11367=ORIENTED_EDGE('',*,*,#11347,.T.); +#11369=ORIENTED_EDGE('',*,*,#11368,.T.); +#11370=EDGE_LOOP('',(#11364,#11366,#11367,#11369)); +#11371=FACE_OUTER_BOUND('',#11370,.F.); +#11373=CARTESIAN_POINT('',(-2.6162E1,9.906E-1,0.E0)); +#11374=DIRECTION('',(0.E0,1.E0,0.E0)); +#11375=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11376=AXIS2_PLACEMENT_3D('',#11373,#11374,#11375); +#11377=PLANE('',#11376); +#11378=ORIENTED_EDGE('',*,*,#11363,.T.); +#11380=ORIENTED_EDGE('',*,*,#11379,.T.); +#11382=ORIENTED_EDGE('',*,*,#11381,.T.); +#11384=ORIENTED_EDGE('',*,*,#11383,.T.); +#11385=EDGE_LOOP('',(#11378,#11380,#11382,#11384)); +#11386=FACE_OUTER_BOUND('',#11385,.F.); +#11388=ORIENTED_EDGE('',*,*,#11387,.F.); +#11390=ORIENTED_EDGE('',*,*,#11389,.F.); +#11392=ORIENTED_EDGE('',*,*,#11391,.T.); +#11394=ORIENTED_EDGE('',*,*,#11393,.T.); +#11395=EDGE_LOOP('',(#11388,#11390,#11392,#11394)); +#11396=FACE_BOUND('',#11395,.F.); +#11398=ORIENTED_EDGE('',*,*,#11397,.F.); +#11400=ORIENTED_EDGE('',*,*,#11399,.F.); +#11402=ORIENTED_EDGE('',*,*,#11401,.T.); +#11404=ORIENTED_EDGE('',*,*,#11403,.T.); +#11405=EDGE_LOOP('',(#11398,#11400,#11402,#11404)); +#11406=FACE_BOUND('',#11405,.F.); +#11408=ORIENTED_EDGE('',*,*,#11407,.F.); +#11410=ORIENTED_EDGE('',*,*,#11409,.F.); +#11412=ORIENTED_EDGE('',*,*,#11411,.T.); +#11414=ORIENTED_EDGE('',*,*,#11413,.T.); +#11415=EDGE_LOOP('',(#11408,#11410,#11412,#11414)); +#11416=FACE_BOUND('',#11415,.F.); +#11418=ORIENTED_EDGE('',*,*,#11417,.F.); +#11420=ORIENTED_EDGE('',*,*,#11419,.F.); +#11422=ORIENTED_EDGE('',*,*,#11421,.T.); +#11424=ORIENTED_EDGE('',*,*,#11423,.T.); +#11425=EDGE_LOOP('',(#11418,#11420,#11422,#11424)); +#11426=FACE_BOUND('',#11425,.F.); +#11428=ORIENTED_EDGE('',*,*,#11427,.F.); +#11430=ORIENTED_EDGE('',*,*,#11429,.F.); +#11432=ORIENTED_EDGE('',*,*,#11431,.T.); +#11434=ORIENTED_EDGE('',*,*,#11433,.T.); +#11435=EDGE_LOOP('',(#11428,#11430,#11432,#11434)); +#11436=FACE_BOUND('',#11435,.F.); +#11438=ORIENTED_EDGE('',*,*,#11437,.F.); +#11440=ORIENTED_EDGE('',*,*,#11439,.F.); +#11442=ORIENTED_EDGE('',*,*,#11441,.T.); +#11444=ORIENTED_EDGE('',*,*,#11443,.T.); +#11445=EDGE_LOOP('',(#11438,#11440,#11442,#11444)); +#11446=FACE_BOUND('',#11445,.F.); +#11448=ORIENTED_EDGE('',*,*,#11447,.T.); +#11450=ORIENTED_EDGE('',*,*,#11449,.F.); +#11452=ORIENTED_EDGE('',*,*,#11451,.T.); +#11454=ORIENTED_EDGE('',*,*,#11453,.T.); +#11455=EDGE_LOOP('',(#11448,#11450,#11452,#11454)); +#11456=FACE_BOUND('',#11455,.F.); +#11458=ORIENTED_EDGE('',*,*,#11457,.T.); +#11460=ORIENTED_EDGE('',*,*,#11459,.F.); +#11462=ORIENTED_EDGE('',*,*,#11461,.T.); +#11464=ORIENTED_EDGE('',*,*,#11463,.T.); +#11465=EDGE_LOOP('',(#11458,#11460,#11462,#11464)); +#11466=FACE_BOUND('',#11465,.F.); +#11468=ORIENTED_EDGE('',*,*,#11467,.T.); +#11470=ORIENTED_EDGE('',*,*,#11469,.F.); +#11472=ORIENTED_EDGE('',*,*,#11471,.T.); +#11474=ORIENTED_EDGE('',*,*,#11473,.T.); +#11475=EDGE_LOOP('',(#11468,#11470,#11472,#11474)); +#11476=FACE_BOUND('',#11475,.F.); +#11478=ORIENTED_EDGE('',*,*,#11477,.T.); +#11480=ORIENTED_EDGE('',*,*,#11479,.F.); +#11482=ORIENTED_EDGE('',*,*,#11481,.T.); +#11484=ORIENTED_EDGE('',*,*,#11483,.T.); +#11485=EDGE_LOOP('',(#11478,#11480,#11482,#11484)); +#11486=FACE_BOUND('',#11485,.F.); +#11488=ORIENTED_EDGE('',*,*,#11487,.T.); +#11490=ORIENTED_EDGE('',*,*,#11489,.F.); +#11492=ORIENTED_EDGE('',*,*,#11491,.T.); +#11494=ORIENTED_EDGE('',*,*,#11493,.T.); +#11495=EDGE_LOOP('',(#11488,#11490,#11492,#11494)); +#11496=FACE_BOUND('',#11495,.F.); +#11498=ORIENTED_EDGE('',*,*,#11497,.T.); +#11500=ORIENTED_EDGE('',*,*,#11499,.F.); +#11502=ORIENTED_EDGE('',*,*,#11501,.T.); +#11504=ORIENTED_EDGE('',*,*,#11503,.T.); +#11505=EDGE_LOOP('',(#11498,#11500,#11502,#11504)); +#11506=FACE_BOUND('',#11505,.F.); +#11508=ORIENTED_EDGE('',*,*,#11507,.T.); +#11510=ORIENTED_EDGE('',*,*,#11509,.F.); +#11512=ORIENTED_EDGE('',*,*,#11511,.T.); +#11514=ORIENTED_EDGE('',*,*,#11513,.T.); +#11515=EDGE_LOOP('',(#11508,#11510,#11512,#11514)); +#11516=FACE_BOUND('',#11515,.F.); +#11518=ORIENTED_EDGE('',*,*,#11517,.T.); +#11520=ORIENTED_EDGE('',*,*,#11519,.F.); +#11522=ORIENTED_EDGE('',*,*,#11521,.T.); +#11524=ORIENTED_EDGE('',*,*,#11523,.T.); +#11525=EDGE_LOOP('',(#11518,#11520,#11522,#11524)); +#11526=FACE_BOUND('',#11525,.F.); +#11528=ORIENTED_EDGE('',*,*,#11527,.T.); +#11530=ORIENTED_EDGE('',*,*,#11529,.F.); +#11532=ORIENTED_EDGE('',*,*,#11531,.T.); +#11534=ORIENTED_EDGE('',*,*,#11533,.T.); +#11535=EDGE_LOOP('',(#11528,#11530,#11532,#11534)); +#11536=FACE_BOUND('',#11535,.F.); +#11538=ORIENTED_EDGE('',*,*,#11537,.T.); +#11540=ORIENTED_EDGE('',*,*,#11539,.F.); +#11542=ORIENTED_EDGE('',*,*,#11541,.T.); +#11544=ORIENTED_EDGE('',*,*,#11543,.T.); +#11545=EDGE_LOOP('',(#11538,#11540,#11542,#11544)); +#11546=FACE_BOUND('',#11545,.F.); +#11548=ORIENTED_EDGE('',*,*,#11547,.T.); +#11550=ORIENTED_EDGE('',*,*,#11549,.F.); +#11552=ORIENTED_EDGE('',*,*,#11551,.T.); +#11554=ORIENTED_EDGE('',*,*,#11553,.T.); +#11555=EDGE_LOOP('',(#11548,#11550,#11552,#11554)); +#11556=FACE_BOUND('',#11555,.F.); +#11558=ORIENTED_EDGE('',*,*,#11557,.T.); +#11560=ORIENTED_EDGE('',*,*,#11559,.F.); +#11562=ORIENTED_EDGE('',*,*,#11561,.T.); +#11564=ORIENTED_EDGE('',*,*,#11563,.T.); +#11565=EDGE_LOOP('',(#11558,#11560,#11562,#11564)); +#11566=FACE_BOUND('',#11565,.F.); +#11568=CARTESIAN_POINT('',(2.413E1,9.906E-1,-1.524E0)); +#11569=DIRECTION('',(1.E0,0.E0,0.E0)); +#11570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11571=AXIS2_PLACEMENT_3D('',#11568,#11569,#11570); +#11572=PLANE('',#11571); +#11573=ORIENTED_EDGE('',*,*,#11379,.F.); +#11575=ORIENTED_EDGE('',*,*,#11574,.T.); +#11577=ORIENTED_EDGE('',*,*,#11576,.T.); +#11579=ORIENTED_EDGE('',*,*,#11578,.F.); +#11580=EDGE_LOOP('',(#11573,#11575,#11577,#11579)); +#11581=FACE_OUTER_BOUND('',#11580,.F.); +#11583=CARTESIAN_POINT('',(2.5654E1,9.906E-1,0.E0)); +#11584=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#11585=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#11586=AXIS2_PLACEMENT_3D('',#11583,#11584,#11585); +#11587=PLANE('',#11586); +#11588=ORIENTED_EDGE('',*,*,#11574,.F.); +#11589=ORIENTED_EDGE('',*,*,#11368,.F.); +#11590=ORIENTED_EDGE('',*,*,#11353,.T.); +#11592=ORIENTED_EDGE('',*,*,#11591,.F.); +#11593=EDGE_LOOP('',(#11588,#11589,#11590,#11592)); +#11594=FACE_OUTER_BOUND('',#11593,.F.); +#11596=CARTESIAN_POINT('',(2.624880823160E1,-2.5146E0,-1.524E0)); +#11597=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11598=DIRECTION('',(0.E0,0.E0,1.E0)); +#11599=AXIS2_PLACEMENT_3D('',#11596,#11597,#11598); +#11600=CYLINDRICAL_SURFACE('',#11599,1.524E0); +#11602=ORIENTED_EDGE('',*,*,#11601,.F.); +#11603=ORIENTED_EDGE('',*,*,#11591,.T.); +#11604=ORIENTED_EDGE('',*,*,#11351,.T.); +#11606=ORIENTED_EDGE('',*,*,#11605,.T.); +#11607=EDGE_LOOP('',(#11602,#11603,#11604,#11606)); +#11608=FACE_OUTER_BOUND('',#11607,.F.); +#11610=CARTESIAN_POINT('',(-2.6162E1,-9.906E-1,0.E0)); +#11611=DIRECTION('',(0.E0,1.E0,0.E0)); +#11612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11613=AXIS2_PLACEMENT_3D('',#11610,#11611,#11612); +#11614=PLANE('',#11613); +#11615=ORIENTED_EDGE('',*,*,#11601,.T.); +#11617=ORIENTED_EDGE('',*,*,#11616,.F.); +#11619=ORIENTED_EDGE('',*,*,#11618,.F.); +#11620=ORIENTED_EDGE('',*,*,#11576,.F.); +#11621=EDGE_LOOP('',(#11615,#11617,#11619,#11620)); +#11622=FACE_OUTER_BOUND('',#11621,.F.); +#11624=ORIENTED_EDGE('',*,*,#11623,.T.); +#11626=ORIENTED_EDGE('',*,*,#11625,.F.); +#11628=ORIENTED_EDGE('',*,*,#11627,.F.); +#11630=ORIENTED_EDGE('',*,*,#11629,.T.); +#11631=EDGE_LOOP('',(#11624,#11626,#11628,#11630)); +#11632=FACE_BOUND('',#11631,.F.); +#11634=ORIENTED_EDGE('',*,*,#11633,.T.); +#11636=ORIENTED_EDGE('',*,*,#11635,.F.); +#11638=ORIENTED_EDGE('',*,*,#11637,.F.); +#11640=ORIENTED_EDGE('',*,*,#11639,.T.); +#11641=EDGE_LOOP('',(#11634,#11636,#11638,#11640)); +#11642=FACE_BOUND('',#11641,.F.); +#11644=ORIENTED_EDGE('',*,*,#11643,.T.); +#11646=ORIENTED_EDGE('',*,*,#11645,.F.); +#11648=ORIENTED_EDGE('',*,*,#11647,.F.); +#11650=ORIENTED_EDGE('',*,*,#11649,.T.); +#11651=EDGE_LOOP('',(#11644,#11646,#11648,#11650)); +#11652=FACE_BOUND('',#11651,.F.); +#11654=ORIENTED_EDGE('',*,*,#11653,.T.); +#11656=ORIENTED_EDGE('',*,*,#11655,.F.); +#11658=ORIENTED_EDGE('',*,*,#11657,.F.); +#11660=ORIENTED_EDGE('',*,*,#11659,.T.); +#11661=EDGE_LOOP('',(#11654,#11656,#11658,#11660)); +#11662=FACE_BOUND('',#11661,.F.); +#11664=ORIENTED_EDGE('',*,*,#11663,.T.); +#11666=ORIENTED_EDGE('',*,*,#11665,.F.); +#11668=ORIENTED_EDGE('',*,*,#11667,.F.); +#11670=ORIENTED_EDGE('',*,*,#11669,.T.); +#11671=EDGE_LOOP('',(#11664,#11666,#11668,#11670)); +#11672=FACE_BOUND('',#11671,.F.); +#11674=ORIENTED_EDGE('',*,*,#11673,.T.); +#11676=ORIENTED_EDGE('',*,*,#11675,.F.); +#11678=ORIENTED_EDGE('',*,*,#11677,.F.); +#11680=ORIENTED_EDGE('',*,*,#11679,.T.); +#11681=EDGE_LOOP('',(#11674,#11676,#11678,#11680)); +#11682=FACE_BOUND('',#11681,.F.); +#11684=ORIENTED_EDGE('',*,*,#11683,.T.); +#11686=ORIENTED_EDGE('',*,*,#11685,.F.); +#11688=ORIENTED_EDGE('',*,*,#11687,.T.); +#11690=ORIENTED_EDGE('',*,*,#11689,.T.); +#11691=EDGE_LOOP('',(#11684,#11686,#11688,#11690)); +#11692=FACE_BOUND('',#11691,.F.); +#11694=ORIENTED_EDGE('',*,*,#11693,.T.); +#11696=ORIENTED_EDGE('',*,*,#11695,.F.); +#11698=ORIENTED_EDGE('',*,*,#11697,.T.); +#11700=ORIENTED_EDGE('',*,*,#11699,.T.); +#11701=EDGE_LOOP('',(#11694,#11696,#11698,#11700)); +#11702=FACE_BOUND('',#11701,.F.); +#11704=ORIENTED_EDGE('',*,*,#11703,.T.); +#11706=ORIENTED_EDGE('',*,*,#11705,.F.); +#11708=ORIENTED_EDGE('',*,*,#11707,.T.); +#11710=ORIENTED_EDGE('',*,*,#11709,.T.); +#11711=EDGE_LOOP('',(#11704,#11706,#11708,#11710)); +#11712=FACE_BOUND('',#11711,.F.); +#11714=ORIENTED_EDGE('',*,*,#11713,.T.); +#11716=ORIENTED_EDGE('',*,*,#11715,.F.); +#11718=ORIENTED_EDGE('',*,*,#11717,.T.); +#11720=ORIENTED_EDGE('',*,*,#11719,.T.); +#11721=EDGE_LOOP('',(#11714,#11716,#11718,#11720)); +#11722=FACE_BOUND('',#11721,.F.); +#11724=ORIENTED_EDGE('',*,*,#11723,.T.); +#11726=ORIENTED_EDGE('',*,*,#11725,.F.); +#11728=ORIENTED_EDGE('',*,*,#11727,.T.); +#11730=ORIENTED_EDGE('',*,*,#11729,.T.); +#11731=EDGE_LOOP('',(#11724,#11726,#11728,#11730)); +#11732=FACE_BOUND('',#11731,.F.); +#11734=ORIENTED_EDGE('',*,*,#11733,.T.); +#11736=ORIENTED_EDGE('',*,*,#11735,.F.); +#11738=ORIENTED_EDGE('',*,*,#11737,.T.); +#11740=ORIENTED_EDGE('',*,*,#11739,.T.); +#11741=EDGE_LOOP('',(#11734,#11736,#11738,#11740)); +#11742=FACE_BOUND('',#11741,.F.); +#11744=ORIENTED_EDGE('',*,*,#11743,.T.); +#11746=ORIENTED_EDGE('',*,*,#11745,.F.); +#11748=ORIENTED_EDGE('',*,*,#11747,.T.); +#11750=ORIENTED_EDGE('',*,*,#11749,.T.); +#11751=EDGE_LOOP('',(#11744,#11746,#11748,#11750)); +#11752=FACE_BOUND('',#11751,.F.); +#11754=ORIENTED_EDGE('',*,*,#11753,.T.); +#11756=ORIENTED_EDGE('',*,*,#11755,.F.); +#11758=ORIENTED_EDGE('',*,*,#11757,.T.); +#11760=ORIENTED_EDGE('',*,*,#11759,.T.); +#11761=EDGE_LOOP('',(#11754,#11756,#11758,#11760)); +#11762=FACE_BOUND('',#11761,.F.); +#11764=ORIENTED_EDGE('',*,*,#11763,.T.); +#11766=ORIENTED_EDGE('',*,*,#11765,.F.); +#11768=ORIENTED_EDGE('',*,*,#11767,.T.); +#11770=ORIENTED_EDGE('',*,*,#11769,.T.); +#11771=EDGE_LOOP('',(#11764,#11766,#11768,#11770)); +#11772=FACE_BOUND('',#11771,.F.); +#11774=ORIENTED_EDGE('',*,*,#11773,.T.); +#11776=ORIENTED_EDGE('',*,*,#11775,.F.); +#11778=ORIENTED_EDGE('',*,*,#11777,.T.); +#11780=ORIENTED_EDGE('',*,*,#11779,.T.); +#11781=EDGE_LOOP('',(#11774,#11776,#11778,#11780)); +#11782=FACE_BOUND('',#11781,.F.); +#11784=ORIENTED_EDGE('',*,*,#11783,.T.); +#11786=ORIENTED_EDGE('',*,*,#11785,.F.); +#11788=ORIENTED_EDGE('',*,*,#11787,.T.); +#11790=ORIENTED_EDGE('',*,*,#11789,.T.); +#11791=EDGE_LOOP('',(#11784,#11786,#11788,#11790)); +#11792=FACE_BOUND('',#11791,.F.); +#11794=ORIENTED_EDGE('',*,*,#11793,.T.); +#11796=ORIENTED_EDGE('',*,*,#11795,.F.); +#11798=ORIENTED_EDGE('',*,*,#11797,.T.); +#11800=ORIENTED_EDGE('',*,*,#11799,.T.); +#11801=EDGE_LOOP('',(#11794,#11796,#11798,#11800)); +#11802=FACE_BOUND('',#11801,.F.); +#11804=CARTESIAN_POINT('',(-2.413E1,9.906E-1,-7.493E0)); +#11805=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11806=DIRECTION('',(0.E0,0.E0,1.E0)); +#11807=AXIS2_PLACEMENT_3D('',#11804,#11805,#11806); +#11808=PLANE('',#11807); +#11809=ORIENTED_EDGE('',*,*,#11383,.F.); +#11811=ORIENTED_EDGE('',*,*,#11810,.T.); +#11812=ORIENTED_EDGE('',*,*,#11616,.T.); +#11814=ORIENTED_EDGE('',*,*,#11813,.F.); +#11815=EDGE_LOOP('',(#11809,#11811,#11812,#11814)); +#11816=FACE_OUTER_BOUND('',#11815,.F.); +#11818=CARTESIAN_POINT('',(2.413E1,9.906E-1,-7.493E0)); +#11819=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11820=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11821=AXIS2_PLACEMENT_3D('',#11818,#11819,#11820); +#11822=PLANE('',#11821); +#11823=ORIENTED_EDGE('',*,*,#11381,.F.); +#11824=ORIENTED_EDGE('',*,*,#11578,.T.); +#11825=ORIENTED_EDGE('',*,*,#11618,.T.); +#11826=ORIENTED_EDGE('',*,*,#11810,.F.); +#11827=EDGE_LOOP('',(#11823,#11824,#11825,#11826)); +#11828=FACE_OUTER_BOUND('',#11827,.F.); +#11830=CARTESIAN_POINT('',(-2.413E1,9.906E-1,-1.524E0)); +#11831=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#11832=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#11833=AXIS2_PLACEMENT_3D('',#11830,#11831,#11832); +#11834=PLANE('',#11833); +#11835=ORIENTED_EDGE('',*,*,#11349,.T.); +#11836=ORIENTED_EDGE('',*,*,#11365,.F.); +#11837=ORIENTED_EDGE('',*,*,#11813,.T.); +#11838=ORIENTED_EDGE('',*,*,#11605,.F.); +#11839=EDGE_LOOP('',(#11835,#11836,#11837,#11838)); +#11840=FACE_OUTER_BOUND('',#11839,.F.); +#11842=CARTESIAN_POINT('',(-2.232025E1,0.E0,0.E0)); +#11843=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11845=AXIS2_PLACEMENT_3D('',#11842,#11843,#11844); +#11846=PLANE('',#11845); +#11847=ORIENTED_EDGE('',*,*,#11623,.F.); +#11849=ORIENTED_EDGE('',*,*,#11848,.F.); +#11850=EDGE_LOOP('',(#11847,#11849)); +#11851=FACE_OUTER_BOUND('',#11850,.F.); +#11853=CARTESIAN_POINT('',(-2.232025E1,0.E0,0.E0)); +#11854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11855=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11856=AXIS2_PLACEMENT_3D('',#11853,#11854,#11855); +#11857=PLANE('',#11856); +#11858=ORIENTED_EDGE('',*,*,#11387,.T.); +#11860=ORIENTED_EDGE('',*,*,#11859,.F.); +#11861=EDGE_LOOP('',(#11858,#11860)); +#11862=FACE_OUTER_BOUND('',#11861,.F.); +#11864=CARTESIAN_POINT('',(-2.232025E1,-2.4384E0,-3.556E0)); +#11865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11866=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11867=AXIS2_PLACEMENT_3D('',#11864,#11865,#11866); +#11868=CYLINDRICAL_SURFACE('',#11867,2.159E0); +#11869=ORIENTED_EDGE('',*,*,#11625,.T.); +#11870=ORIENTED_EDGE('',*,*,#11848,.T.); +#11871=ORIENTED_EDGE('',*,*,#11629,.F.); +#11873=ORIENTED_EDGE('',*,*,#11872,.F.); +#11874=EDGE_LOOP('',(#11869,#11870,#11871,#11873)); +#11875=FACE_OUTER_BOUND('',#11874,.F.); +#11877=CARTESIAN_POINT('',(-2.085975E1,0.E0,0.E0)); +#11878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11880=AXIS2_PLACEMENT_3D('',#11877,#11878,#11879); +#11881=PLANE('',#11880); +#11882=ORIENTED_EDGE('',*,*,#11627,.T.); +#11883=ORIENTED_EDGE('',*,*,#11872,.T.); +#11884=EDGE_LOOP('',(#11882,#11883)); +#11885=FACE_OUTER_BOUND('',#11884,.F.); +#11887=CARTESIAN_POINT('',(-2.085975E1,0.E0,0.E0)); +#11888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11889=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11890=AXIS2_PLACEMENT_3D('',#11887,#11888,#11889); +#11891=PLANE('',#11890); +#11892=ORIENTED_EDGE('',*,*,#11391,.F.); +#11894=ORIENTED_EDGE('',*,*,#11893,.T.); +#11895=EDGE_LOOP('',(#11892,#11894)); +#11896=FACE_OUTER_BOUND('',#11895,.F.); +#11898=CARTESIAN_POINT('',(-2.232025E1,2.4384E0,-3.556E0)); +#11899=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11901=AXIS2_PLACEMENT_3D('',#11898,#11899,#11900); +#11902=CYLINDRICAL_SURFACE('',#11901,2.159E0); +#11903=ORIENTED_EDGE('',*,*,#11389,.T.); +#11904=ORIENTED_EDGE('',*,*,#11859,.T.); +#11905=ORIENTED_EDGE('',*,*,#11393,.F.); +#11906=ORIENTED_EDGE('',*,*,#11893,.F.); +#11907=EDGE_LOOP('',(#11903,#11904,#11905,#11906)); +#11908=FACE_OUTER_BOUND('',#11907,.F.); +#11910=CARTESIAN_POINT('',(-1.978025E1,0.E0,0.E0)); +#11911=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11913=AXIS2_PLACEMENT_3D('',#11910,#11911,#11912); +#11914=PLANE('',#11913); +#11915=ORIENTED_EDGE('',*,*,#11633,.F.); +#11917=ORIENTED_EDGE('',*,*,#11916,.F.); +#11918=EDGE_LOOP('',(#11915,#11917)); +#11919=FACE_OUTER_BOUND('',#11918,.F.); +#11921=CARTESIAN_POINT('',(-1.978025E1,0.E0,0.E0)); +#11922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11923=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11924=AXIS2_PLACEMENT_3D('',#11921,#11922,#11923); +#11925=PLANE('',#11924); +#11926=ORIENTED_EDGE('',*,*,#11397,.T.); +#11928=ORIENTED_EDGE('',*,*,#11927,.F.); +#11929=EDGE_LOOP('',(#11926,#11928)); +#11930=FACE_OUTER_BOUND('',#11929,.F.); +#11932=CARTESIAN_POINT('',(-1.978025E1,-2.4384E0,-3.556E0)); +#11933=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11934=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11935=AXIS2_PLACEMENT_3D('',#11932,#11933,#11934); +#11936=CYLINDRICAL_SURFACE('',#11935,2.159E0); +#11937=ORIENTED_EDGE('',*,*,#11635,.T.); +#11938=ORIENTED_EDGE('',*,*,#11916,.T.); +#11939=ORIENTED_EDGE('',*,*,#11639,.F.); +#11941=ORIENTED_EDGE('',*,*,#11940,.F.); +#11942=EDGE_LOOP('',(#11937,#11938,#11939,#11941)); +#11943=FACE_OUTER_BOUND('',#11942,.F.); +#11945=CARTESIAN_POINT('',(-1.831975E1,0.E0,0.E0)); +#11946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11948=AXIS2_PLACEMENT_3D('',#11945,#11946,#11947); +#11949=PLANE('',#11948); +#11950=ORIENTED_EDGE('',*,*,#11637,.T.); +#11951=ORIENTED_EDGE('',*,*,#11940,.T.); +#11952=EDGE_LOOP('',(#11950,#11951)); +#11953=FACE_OUTER_BOUND('',#11952,.F.); +#11955=CARTESIAN_POINT('',(-1.831975E1,0.E0,0.E0)); +#11956=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11957=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11958=AXIS2_PLACEMENT_3D('',#11955,#11956,#11957); +#11959=PLANE('',#11958); +#11960=ORIENTED_EDGE('',*,*,#11401,.F.); +#11962=ORIENTED_EDGE('',*,*,#11961,.T.); +#11963=EDGE_LOOP('',(#11960,#11962)); +#11964=FACE_OUTER_BOUND('',#11963,.F.); +#11966=CARTESIAN_POINT('',(-1.978025E1,2.4384E0,-3.556E0)); +#11967=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11968=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11969=AXIS2_PLACEMENT_3D('',#11966,#11967,#11968); +#11970=CYLINDRICAL_SURFACE('',#11969,2.159E0); +#11971=ORIENTED_EDGE('',*,*,#11399,.T.); +#11972=ORIENTED_EDGE('',*,*,#11927,.T.); +#11973=ORIENTED_EDGE('',*,*,#11403,.F.); +#11974=ORIENTED_EDGE('',*,*,#11961,.F.); +#11975=EDGE_LOOP('',(#11971,#11972,#11973,#11974)); +#11976=FACE_OUTER_BOUND('',#11975,.F.); +#11978=CARTESIAN_POINT('',(-1.724025E1,0.E0,0.E0)); +#11979=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11980=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11981=AXIS2_PLACEMENT_3D('',#11978,#11979,#11980); +#11982=PLANE('',#11981); +#11983=ORIENTED_EDGE('',*,*,#11643,.F.); +#11985=ORIENTED_EDGE('',*,*,#11984,.F.); +#11986=EDGE_LOOP('',(#11983,#11985)); +#11987=FACE_OUTER_BOUND('',#11986,.F.); +#11989=CARTESIAN_POINT('',(-1.724025E1,0.E0,0.E0)); +#11990=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11991=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11992=AXIS2_PLACEMENT_3D('',#11989,#11990,#11991); +#11993=PLANE('',#11992); +#11994=ORIENTED_EDGE('',*,*,#11407,.T.); +#11996=ORIENTED_EDGE('',*,*,#11995,.F.); +#11997=EDGE_LOOP('',(#11994,#11996)); +#11998=FACE_OUTER_BOUND('',#11997,.F.); +#12000=CARTESIAN_POINT('',(-1.724025E1,-2.4384E0,-3.556E0)); +#12001=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12002=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12003=AXIS2_PLACEMENT_3D('',#12000,#12001,#12002); +#12004=CYLINDRICAL_SURFACE('',#12003,2.159E0); +#12005=ORIENTED_EDGE('',*,*,#11645,.T.); +#12006=ORIENTED_EDGE('',*,*,#11984,.T.); +#12007=ORIENTED_EDGE('',*,*,#11649,.F.); +#12009=ORIENTED_EDGE('',*,*,#12008,.F.); +#12010=EDGE_LOOP('',(#12005,#12006,#12007,#12009)); +#12011=FACE_OUTER_BOUND('',#12010,.F.); +#12013=CARTESIAN_POINT('',(-1.577975E1,0.E0,0.E0)); +#12014=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12016=AXIS2_PLACEMENT_3D('',#12013,#12014,#12015); +#12017=PLANE('',#12016); +#12018=ORIENTED_EDGE('',*,*,#11647,.T.); +#12019=ORIENTED_EDGE('',*,*,#12008,.T.); +#12020=EDGE_LOOP('',(#12018,#12019)); +#12021=FACE_OUTER_BOUND('',#12020,.F.); +#12023=CARTESIAN_POINT('',(-1.577975E1,0.E0,0.E0)); +#12024=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12026=AXIS2_PLACEMENT_3D('',#12023,#12024,#12025); +#12027=PLANE('',#12026); +#12028=ORIENTED_EDGE('',*,*,#11411,.F.); +#12030=ORIENTED_EDGE('',*,*,#12029,.T.); +#12031=EDGE_LOOP('',(#12028,#12030)); +#12032=FACE_OUTER_BOUND('',#12031,.F.); +#12034=CARTESIAN_POINT('',(-1.724025E1,2.4384E0,-3.556E0)); +#12035=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12037=AXIS2_PLACEMENT_3D('',#12034,#12035,#12036); +#12038=CYLINDRICAL_SURFACE('',#12037,2.159E0); +#12039=ORIENTED_EDGE('',*,*,#11409,.T.); +#12040=ORIENTED_EDGE('',*,*,#11995,.T.); +#12041=ORIENTED_EDGE('',*,*,#11413,.F.); +#12042=ORIENTED_EDGE('',*,*,#12029,.F.); +#12043=EDGE_LOOP('',(#12039,#12040,#12041,#12042)); +#12044=FACE_OUTER_BOUND('',#12043,.F.); +#12046=CARTESIAN_POINT('',(-1.470025E1,0.E0,0.E0)); +#12047=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12048=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12049=AXIS2_PLACEMENT_3D('',#12046,#12047,#12048); +#12050=PLANE('',#12049); +#12051=ORIENTED_EDGE('',*,*,#11653,.F.); +#12053=ORIENTED_EDGE('',*,*,#12052,.F.); +#12054=EDGE_LOOP('',(#12051,#12053)); +#12055=FACE_OUTER_BOUND('',#12054,.F.); +#12057=CARTESIAN_POINT('',(-1.470025E1,0.E0,0.E0)); +#12058=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12059=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12060=AXIS2_PLACEMENT_3D('',#12057,#12058,#12059); +#12061=PLANE('',#12060); +#12062=ORIENTED_EDGE('',*,*,#11417,.T.); +#12064=ORIENTED_EDGE('',*,*,#12063,.F.); +#12065=EDGE_LOOP('',(#12062,#12064)); +#12066=FACE_OUTER_BOUND('',#12065,.F.); +#12068=CARTESIAN_POINT('',(-1.470025E1,-2.4384E0,-3.556E0)); +#12069=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12070=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12071=AXIS2_PLACEMENT_3D('',#12068,#12069,#12070); +#12072=CYLINDRICAL_SURFACE('',#12071,2.159E0); +#12073=ORIENTED_EDGE('',*,*,#11655,.T.); +#12074=ORIENTED_EDGE('',*,*,#12052,.T.); +#12075=ORIENTED_EDGE('',*,*,#11659,.F.); +#12077=ORIENTED_EDGE('',*,*,#12076,.F.); +#12078=EDGE_LOOP('',(#12073,#12074,#12075,#12077)); +#12079=FACE_OUTER_BOUND('',#12078,.F.); +#12081=CARTESIAN_POINT('',(-1.323975E1,0.E0,0.E0)); +#12082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12084=AXIS2_PLACEMENT_3D('',#12081,#12082,#12083); +#12085=PLANE('',#12084); +#12086=ORIENTED_EDGE('',*,*,#11657,.T.); +#12087=ORIENTED_EDGE('',*,*,#12076,.T.); +#12088=EDGE_LOOP('',(#12086,#12087)); +#12089=FACE_OUTER_BOUND('',#12088,.F.); +#12091=CARTESIAN_POINT('',(-1.323975E1,0.E0,0.E0)); +#12092=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12093=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12094=AXIS2_PLACEMENT_3D('',#12091,#12092,#12093); +#12095=PLANE('',#12094); +#12096=ORIENTED_EDGE('',*,*,#11421,.F.); +#12098=ORIENTED_EDGE('',*,*,#12097,.T.); +#12099=EDGE_LOOP('',(#12096,#12098)); +#12100=FACE_OUTER_BOUND('',#12099,.F.); +#12102=CARTESIAN_POINT('',(-1.470025E1,2.4384E0,-3.556E0)); +#12103=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12105=AXIS2_PLACEMENT_3D('',#12102,#12103,#12104); +#12106=CYLINDRICAL_SURFACE('',#12105,2.159E0); +#12107=ORIENTED_EDGE('',*,*,#11419,.T.); +#12108=ORIENTED_EDGE('',*,*,#12063,.T.); +#12109=ORIENTED_EDGE('',*,*,#11423,.F.); +#12110=ORIENTED_EDGE('',*,*,#12097,.F.); +#12111=EDGE_LOOP('',(#12107,#12108,#12109,#12110)); +#12112=FACE_OUTER_BOUND('',#12111,.F.); +#12114=CARTESIAN_POINT('',(-1.216025E1,0.E0,0.E0)); +#12115=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12117=AXIS2_PLACEMENT_3D('',#12114,#12115,#12116); +#12118=PLANE('',#12117); +#12119=ORIENTED_EDGE('',*,*,#11663,.F.); +#12121=ORIENTED_EDGE('',*,*,#12120,.F.); +#12122=EDGE_LOOP('',(#12119,#12121)); +#12123=FACE_OUTER_BOUND('',#12122,.F.); +#12125=CARTESIAN_POINT('',(-1.216025E1,0.E0,0.E0)); +#12126=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12127=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12128=AXIS2_PLACEMENT_3D('',#12125,#12126,#12127); +#12129=PLANE('',#12128); +#12130=ORIENTED_EDGE('',*,*,#11427,.T.); +#12132=ORIENTED_EDGE('',*,*,#12131,.F.); +#12133=EDGE_LOOP('',(#12130,#12132)); +#12134=FACE_OUTER_BOUND('',#12133,.F.); +#12136=CARTESIAN_POINT('',(-1.216025E1,-2.4384E0,-3.556E0)); +#12137=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12138=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12139=AXIS2_PLACEMENT_3D('',#12136,#12137,#12138); +#12140=CYLINDRICAL_SURFACE('',#12139,2.159E0); +#12141=ORIENTED_EDGE('',*,*,#11665,.T.); +#12142=ORIENTED_EDGE('',*,*,#12120,.T.); +#12143=ORIENTED_EDGE('',*,*,#11669,.F.); +#12145=ORIENTED_EDGE('',*,*,#12144,.F.); +#12146=EDGE_LOOP('',(#12141,#12142,#12143,#12145)); +#12147=FACE_OUTER_BOUND('',#12146,.F.); +#12149=CARTESIAN_POINT('',(-1.069975E1,0.E0,0.E0)); +#12150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12151=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12152=AXIS2_PLACEMENT_3D('',#12149,#12150,#12151); +#12153=PLANE('',#12152); +#12154=ORIENTED_EDGE('',*,*,#11667,.T.); +#12155=ORIENTED_EDGE('',*,*,#12144,.T.); +#12156=EDGE_LOOP('',(#12154,#12155)); +#12157=FACE_OUTER_BOUND('',#12156,.F.); +#12159=CARTESIAN_POINT('',(-1.069975E1,0.E0,0.E0)); +#12160=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12161=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12162=AXIS2_PLACEMENT_3D('',#12159,#12160,#12161); +#12163=PLANE('',#12162); +#12164=ORIENTED_EDGE('',*,*,#11431,.F.); +#12166=ORIENTED_EDGE('',*,*,#12165,.T.); +#12167=EDGE_LOOP('',(#12164,#12166)); +#12168=FACE_OUTER_BOUND('',#12167,.F.); +#12170=CARTESIAN_POINT('',(-1.216025E1,2.4384E0,-3.556E0)); +#12171=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12172=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12173=AXIS2_PLACEMENT_3D('',#12170,#12171,#12172); +#12174=CYLINDRICAL_SURFACE('',#12173,2.159E0); +#12175=ORIENTED_EDGE('',*,*,#11429,.T.); +#12176=ORIENTED_EDGE('',*,*,#12131,.T.); +#12177=ORIENTED_EDGE('',*,*,#11433,.F.); +#12178=ORIENTED_EDGE('',*,*,#12165,.F.); +#12179=EDGE_LOOP('',(#12175,#12176,#12177,#12178)); +#12180=FACE_OUTER_BOUND('',#12179,.F.); +#12182=CARTESIAN_POINT('',(-9.62025E0,0.E0,0.E0)); +#12183=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12185=AXIS2_PLACEMENT_3D('',#12182,#12183,#12184); +#12186=PLANE('',#12185); +#12187=ORIENTED_EDGE('',*,*,#11673,.F.); +#12189=ORIENTED_EDGE('',*,*,#12188,.F.); +#12190=EDGE_LOOP('',(#12187,#12189)); +#12191=FACE_OUTER_BOUND('',#12190,.F.); +#12193=CARTESIAN_POINT('',(-9.62025E0,0.E0,0.E0)); +#12194=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12195=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12196=AXIS2_PLACEMENT_3D('',#12193,#12194,#12195); +#12197=PLANE('',#12196); +#12198=ORIENTED_EDGE('',*,*,#11437,.T.); +#12200=ORIENTED_EDGE('',*,*,#12199,.F.); +#12201=EDGE_LOOP('',(#12198,#12200)); +#12202=FACE_OUTER_BOUND('',#12201,.F.); +#12204=CARTESIAN_POINT('',(-9.62025E0,-2.4384E0,-3.556E0)); +#12205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12206=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12207=AXIS2_PLACEMENT_3D('',#12204,#12205,#12206); +#12208=CYLINDRICAL_SURFACE('',#12207,2.159E0); +#12209=ORIENTED_EDGE('',*,*,#11675,.T.); +#12210=ORIENTED_EDGE('',*,*,#12188,.T.); +#12211=ORIENTED_EDGE('',*,*,#11679,.F.); +#12213=ORIENTED_EDGE('',*,*,#12212,.F.); +#12214=EDGE_LOOP('',(#12209,#12210,#12211,#12213)); +#12215=FACE_OUTER_BOUND('',#12214,.F.); +#12217=CARTESIAN_POINT('',(-8.15975E0,0.E0,0.E0)); +#12218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12220=AXIS2_PLACEMENT_3D('',#12217,#12218,#12219); +#12221=PLANE('',#12220); +#12222=ORIENTED_EDGE('',*,*,#11677,.T.); +#12223=ORIENTED_EDGE('',*,*,#12212,.T.); +#12224=EDGE_LOOP('',(#12222,#12223)); +#12225=FACE_OUTER_BOUND('',#12224,.F.); +#12227=CARTESIAN_POINT('',(-8.15975E0,0.E0,0.E0)); +#12228=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12229=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12230=AXIS2_PLACEMENT_3D('',#12227,#12228,#12229); +#12231=PLANE('',#12230); +#12232=ORIENTED_EDGE('',*,*,#11441,.F.); +#12234=ORIENTED_EDGE('',*,*,#12233,.T.); +#12235=EDGE_LOOP('',(#12232,#12234)); +#12236=FACE_OUTER_BOUND('',#12235,.F.); +#12238=CARTESIAN_POINT('',(-9.62025E0,2.4384E0,-3.556E0)); +#12239=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12240=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12241=AXIS2_PLACEMENT_3D('',#12238,#12239,#12240); +#12242=CYLINDRICAL_SURFACE('',#12241,2.159E0); +#12243=ORIENTED_EDGE('',*,*,#11439,.T.); +#12244=ORIENTED_EDGE('',*,*,#12199,.T.); +#12245=ORIENTED_EDGE('',*,*,#11443,.F.); +#12246=ORIENTED_EDGE('',*,*,#12233,.F.); +#12247=EDGE_LOOP('',(#12243,#12244,#12245,#12246)); +#12248=FACE_OUTER_BOUND('',#12247,.F.); +#12250=CARTESIAN_POINT('',(-7.08025E0,0.E0,0.E0)); +#12251=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12252=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12253=AXIS2_PLACEMENT_3D('',#12250,#12251,#12252); +#12254=PLANE('',#12253); +#12255=ORIENTED_EDGE('',*,*,#11447,.F.); +#12257=ORIENTED_EDGE('',*,*,#12256,.F.); +#12258=EDGE_LOOP('',(#12255,#12257)); +#12259=FACE_OUTER_BOUND('',#12258,.F.); +#12261=CARTESIAN_POINT('',(-7.08025E0,0.E0,0.E0)); +#12262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12263=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12264=AXIS2_PLACEMENT_3D('',#12261,#12262,#12263); +#12265=PLANE('',#12264); +#12266=ORIENTED_EDGE('',*,*,#11683,.F.); +#12268=ORIENTED_EDGE('',*,*,#12267,.F.); +#12269=EDGE_LOOP('',(#12266,#12268)); +#12270=FACE_OUTER_BOUND('',#12269,.F.); +#12272=CARTESIAN_POINT('',(-7.08025E0,2.4384E0,-3.556E0)); +#12273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12275=AXIS2_PLACEMENT_3D('',#12272,#12273,#12274); +#12276=CYLINDRICAL_SURFACE('',#12275,2.159E0); +#12277=ORIENTED_EDGE('',*,*,#11449,.T.); +#12278=ORIENTED_EDGE('',*,*,#12256,.T.); +#12279=ORIENTED_EDGE('',*,*,#11453,.F.); +#12281=ORIENTED_EDGE('',*,*,#12280,.F.); +#12282=EDGE_LOOP('',(#12277,#12278,#12279,#12281)); +#12283=FACE_OUTER_BOUND('',#12282,.F.); +#12285=CARTESIAN_POINT('',(-5.61975E0,0.E0,0.E0)); +#12286=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12288=AXIS2_PLACEMENT_3D('',#12285,#12286,#12287); +#12289=PLANE('',#12288); +#12290=ORIENTED_EDGE('',*,*,#11451,.F.); +#12291=ORIENTED_EDGE('',*,*,#12280,.T.); +#12292=EDGE_LOOP('',(#12290,#12291)); +#12293=FACE_OUTER_BOUND('',#12292,.F.); +#12295=CARTESIAN_POINT('',(-5.61975E0,0.E0,0.E0)); +#12296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12297=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12298=AXIS2_PLACEMENT_3D('',#12295,#12296,#12297); +#12299=PLANE('',#12298); +#12300=ORIENTED_EDGE('',*,*,#11687,.F.); +#12302=ORIENTED_EDGE('',*,*,#12301,.T.); +#12303=EDGE_LOOP('',(#12300,#12302)); +#12304=FACE_OUTER_BOUND('',#12303,.F.); +#12306=CARTESIAN_POINT('',(-7.08025E0,-2.4384E0,-3.556E0)); +#12307=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12308=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12309=AXIS2_PLACEMENT_3D('',#12306,#12307,#12308); +#12310=CYLINDRICAL_SURFACE('',#12309,2.159E0); +#12311=ORIENTED_EDGE('',*,*,#11685,.T.); +#12312=ORIENTED_EDGE('',*,*,#12267,.T.); +#12313=ORIENTED_EDGE('',*,*,#11689,.F.); +#12314=ORIENTED_EDGE('',*,*,#12301,.F.); +#12315=EDGE_LOOP('',(#12311,#12312,#12313,#12314)); +#12316=FACE_OUTER_BOUND('',#12315,.F.); +#12318=CARTESIAN_POINT('',(-4.54025E0,0.E0,0.E0)); +#12319=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12320=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12321=AXIS2_PLACEMENT_3D('',#12318,#12319,#12320); +#12322=PLANE('',#12321); +#12323=ORIENTED_EDGE('',*,*,#11457,.F.); +#12325=ORIENTED_EDGE('',*,*,#12324,.F.); +#12326=EDGE_LOOP('',(#12323,#12325)); +#12327=FACE_OUTER_BOUND('',#12326,.F.); +#12329=CARTESIAN_POINT('',(-4.54025E0,0.E0,0.E0)); +#12330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12332=AXIS2_PLACEMENT_3D('',#12329,#12330,#12331); +#12333=PLANE('',#12332); +#12334=ORIENTED_EDGE('',*,*,#11693,.F.); +#12336=ORIENTED_EDGE('',*,*,#12335,.F.); +#12337=EDGE_LOOP('',(#12334,#12336)); +#12338=FACE_OUTER_BOUND('',#12337,.F.); +#12340=CARTESIAN_POINT('',(-4.54025E0,2.4384E0,-3.556E0)); +#12341=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12342=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12343=AXIS2_PLACEMENT_3D('',#12340,#12341,#12342); +#12344=CYLINDRICAL_SURFACE('',#12343,2.159E0); +#12345=ORIENTED_EDGE('',*,*,#11459,.T.); +#12346=ORIENTED_EDGE('',*,*,#12324,.T.); +#12347=ORIENTED_EDGE('',*,*,#11463,.F.); +#12349=ORIENTED_EDGE('',*,*,#12348,.F.); +#12350=EDGE_LOOP('',(#12345,#12346,#12347,#12349)); +#12351=FACE_OUTER_BOUND('',#12350,.F.); +#12353=CARTESIAN_POINT('',(-3.07975E0,0.E0,0.E0)); +#12354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12356=AXIS2_PLACEMENT_3D('',#12353,#12354,#12355); +#12357=PLANE('',#12356); +#12358=ORIENTED_EDGE('',*,*,#11461,.F.); +#12359=ORIENTED_EDGE('',*,*,#12348,.T.); +#12360=EDGE_LOOP('',(#12358,#12359)); +#12361=FACE_OUTER_BOUND('',#12360,.F.); +#12363=CARTESIAN_POINT('',(-3.07975E0,0.E0,0.E0)); +#12364=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12366=AXIS2_PLACEMENT_3D('',#12363,#12364,#12365); +#12367=PLANE('',#12366); +#12368=ORIENTED_EDGE('',*,*,#11697,.F.); +#12370=ORIENTED_EDGE('',*,*,#12369,.T.); +#12371=EDGE_LOOP('',(#12368,#12370)); +#12372=FACE_OUTER_BOUND('',#12371,.F.); +#12374=CARTESIAN_POINT('',(-4.54025E0,-2.4384E0,-3.556E0)); +#12375=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12376=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12377=AXIS2_PLACEMENT_3D('',#12374,#12375,#12376); +#12378=CYLINDRICAL_SURFACE('',#12377,2.159E0); +#12379=ORIENTED_EDGE('',*,*,#11695,.T.); +#12380=ORIENTED_EDGE('',*,*,#12335,.T.); +#12381=ORIENTED_EDGE('',*,*,#11699,.F.); +#12382=ORIENTED_EDGE('',*,*,#12369,.F.); +#12383=EDGE_LOOP('',(#12379,#12380,#12381,#12382)); +#12384=FACE_OUTER_BOUND('',#12383,.F.); +#12386=CARTESIAN_POINT('',(-2.00025E0,0.E0,0.E0)); +#12387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12389=AXIS2_PLACEMENT_3D('',#12386,#12387,#12388); +#12390=PLANE('',#12389); +#12391=ORIENTED_EDGE('',*,*,#11467,.F.); +#12393=ORIENTED_EDGE('',*,*,#12392,.F.); +#12394=EDGE_LOOP('',(#12391,#12393)); +#12395=FACE_OUTER_BOUND('',#12394,.F.); +#12397=CARTESIAN_POINT('',(-2.00025E0,0.E0,0.E0)); +#12398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12399=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12400=AXIS2_PLACEMENT_3D('',#12397,#12398,#12399); +#12401=PLANE('',#12400); +#12402=ORIENTED_EDGE('',*,*,#11703,.F.); +#12404=ORIENTED_EDGE('',*,*,#12403,.F.); +#12405=EDGE_LOOP('',(#12402,#12404)); +#12406=FACE_OUTER_BOUND('',#12405,.F.); +#12408=CARTESIAN_POINT('',(-2.00025E0,2.4384E0,-3.556E0)); +#12409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12411=AXIS2_PLACEMENT_3D('',#12408,#12409,#12410); +#12412=CYLINDRICAL_SURFACE('',#12411,2.159E0); +#12413=ORIENTED_EDGE('',*,*,#11469,.T.); +#12414=ORIENTED_EDGE('',*,*,#12392,.T.); +#12415=ORIENTED_EDGE('',*,*,#11473,.F.); +#12417=ORIENTED_EDGE('',*,*,#12416,.F.); +#12418=EDGE_LOOP('',(#12413,#12414,#12415,#12417)); +#12419=FACE_OUTER_BOUND('',#12418,.F.); +#12421=CARTESIAN_POINT('',(-5.3975E-1,0.E0,0.E0)); +#12422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12424=AXIS2_PLACEMENT_3D('',#12421,#12422,#12423); +#12425=PLANE('',#12424); +#12426=ORIENTED_EDGE('',*,*,#11471,.F.); +#12427=ORIENTED_EDGE('',*,*,#12416,.T.); +#12428=EDGE_LOOP('',(#12426,#12427)); +#12429=FACE_OUTER_BOUND('',#12428,.F.); +#12431=CARTESIAN_POINT('',(-5.3975E-1,0.E0,0.E0)); +#12432=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12433=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12434=AXIS2_PLACEMENT_3D('',#12431,#12432,#12433); +#12435=PLANE('',#12434); +#12436=ORIENTED_EDGE('',*,*,#11707,.F.); +#12438=ORIENTED_EDGE('',*,*,#12437,.T.); +#12439=EDGE_LOOP('',(#12436,#12438)); +#12440=FACE_OUTER_BOUND('',#12439,.F.); +#12442=CARTESIAN_POINT('',(-2.00025E0,-2.4384E0,-3.556E0)); +#12443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12444=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12445=AXIS2_PLACEMENT_3D('',#12442,#12443,#12444); +#12446=CYLINDRICAL_SURFACE('',#12445,2.159E0); +#12447=ORIENTED_EDGE('',*,*,#11705,.T.); +#12448=ORIENTED_EDGE('',*,*,#12403,.T.); +#12449=ORIENTED_EDGE('',*,*,#11709,.F.); +#12450=ORIENTED_EDGE('',*,*,#12437,.F.); +#12451=EDGE_LOOP('',(#12447,#12448,#12449,#12450)); +#12452=FACE_OUTER_BOUND('',#12451,.F.); +#12454=CARTESIAN_POINT('',(5.3975E-1,0.E0,0.E0)); +#12455=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12457=AXIS2_PLACEMENT_3D('',#12454,#12455,#12456); +#12458=PLANE('',#12457); +#12459=ORIENTED_EDGE('',*,*,#11477,.F.); +#12461=ORIENTED_EDGE('',*,*,#12460,.F.); +#12462=EDGE_LOOP('',(#12459,#12461)); +#12463=FACE_OUTER_BOUND('',#12462,.F.); +#12465=CARTESIAN_POINT('',(5.3975E-1,0.E0,0.E0)); +#12466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12468=AXIS2_PLACEMENT_3D('',#12465,#12466,#12467); +#12469=PLANE('',#12468); +#12470=ORIENTED_EDGE('',*,*,#11713,.F.); +#12472=ORIENTED_EDGE('',*,*,#12471,.F.); +#12473=EDGE_LOOP('',(#12470,#12472)); +#12474=FACE_OUTER_BOUND('',#12473,.F.); +#12476=CARTESIAN_POINT('',(5.3975E-1,2.4384E0,-3.556E0)); +#12477=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12479=AXIS2_PLACEMENT_3D('',#12476,#12477,#12478); +#12480=CYLINDRICAL_SURFACE('',#12479,2.159E0); +#12481=ORIENTED_EDGE('',*,*,#11479,.T.); +#12482=ORIENTED_EDGE('',*,*,#12460,.T.); +#12483=ORIENTED_EDGE('',*,*,#11483,.F.); +#12485=ORIENTED_EDGE('',*,*,#12484,.F.); +#12486=EDGE_LOOP('',(#12481,#12482,#12483,#12485)); +#12487=FACE_OUTER_BOUND('',#12486,.F.); +#12489=CARTESIAN_POINT('',(2.00025E0,0.E0,0.E0)); +#12490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12491=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12492=AXIS2_PLACEMENT_3D('',#12489,#12490,#12491); +#12493=PLANE('',#12492); +#12494=ORIENTED_EDGE('',*,*,#11481,.F.); +#12495=ORIENTED_EDGE('',*,*,#12484,.T.); +#12496=EDGE_LOOP('',(#12494,#12495)); +#12497=FACE_OUTER_BOUND('',#12496,.F.); +#12499=CARTESIAN_POINT('',(2.00025E0,0.E0,0.E0)); +#12500=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12501=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12502=AXIS2_PLACEMENT_3D('',#12499,#12500,#12501); +#12503=PLANE('',#12502); +#12504=ORIENTED_EDGE('',*,*,#11717,.F.); +#12506=ORIENTED_EDGE('',*,*,#12505,.T.); +#12507=EDGE_LOOP('',(#12504,#12506)); +#12508=FACE_OUTER_BOUND('',#12507,.F.); +#12510=CARTESIAN_POINT('',(5.3975E-1,-2.4384E0,-3.556E0)); +#12511=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12513=AXIS2_PLACEMENT_3D('',#12510,#12511,#12512); +#12514=CYLINDRICAL_SURFACE('',#12513,2.159E0); +#12515=ORIENTED_EDGE('',*,*,#11715,.T.); +#12516=ORIENTED_EDGE('',*,*,#12471,.T.); +#12517=ORIENTED_EDGE('',*,*,#11719,.F.); +#12518=ORIENTED_EDGE('',*,*,#12505,.F.); +#12519=EDGE_LOOP('',(#12515,#12516,#12517,#12518)); +#12520=FACE_OUTER_BOUND('',#12519,.F.); +#12522=CARTESIAN_POINT('',(3.07975E0,0.E0,0.E0)); +#12523=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12524=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12525=AXIS2_PLACEMENT_3D('',#12522,#12523,#12524); +#12526=PLANE('',#12525); +#12527=ORIENTED_EDGE('',*,*,#11487,.F.); +#12529=ORIENTED_EDGE('',*,*,#12528,.F.); +#12530=EDGE_LOOP('',(#12527,#12529)); +#12531=FACE_OUTER_BOUND('',#12530,.F.); +#12533=CARTESIAN_POINT('',(3.07975E0,0.E0,0.E0)); +#12534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12536=AXIS2_PLACEMENT_3D('',#12533,#12534,#12535); +#12537=PLANE('',#12536); +#12538=ORIENTED_EDGE('',*,*,#11723,.F.); +#12540=ORIENTED_EDGE('',*,*,#12539,.F.); +#12541=EDGE_LOOP('',(#12538,#12540)); +#12542=FACE_OUTER_BOUND('',#12541,.F.); +#12544=CARTESIAN_POINT('',(3.07975E0,2.4384E0,-3.556E0)); +#12545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12546=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12547=AXIS2_PLACEMENT_3D('',#12544,#12545,#12546); +#12548=CYLINDRICAL_SURFACE('',#12547,2.159E0); +#12549=ORIENTED_EDGE('',*,*,#11489,.T.); +#12550=ORIENTED_EDGE('',*,*,#12528,.T.); +#12551=ORIENTED_EDGE('',*,*,#11493,.F.); +#12553=ORIENTED_EDGE('',*,*,#12552,.F.); +#12554=EDGE_LOOP('',(#12549,#12550,#12551,#12553)); +#12555=FACE_OUTER_BOUND('',#12554,.F.); +#12557=CARTESIAN_POINT('',(4.54025E0,0.E0,0.E0)); +#12558=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12559=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12560=AXIS2_PLACEMENT_3D('',#12557,#12558,#12559); +#12561=PLANE('',#12560); +#12562=ORIENTED_EDGE('',*,*,#11491,.F.); +#12563=ORIENTED_EDGE('',*,*,#12552,.T.); +#12564=EDGE_LOOP('',(#12562,#12563)); +#12565=FACE_OUTER_BOUND('',#12564,.F.); +#12567=CARTESIAN_POINT('',(4.54025E0,0.E0,0.E0)); +#12568=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12569=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12570=AXIS2_PLACEMENT_3D('',#12567,#12568,#12569); +#12571=PLANE('',#12570); +#12572=ORIENTED_EDGE('',*,*,#11727,.F.); +#12574=ORIENTED_EDGE('',*,*,#12573,.T.); +#12575=EDGE_LOOP('',(#12572,#12574)); +#12576=FACE_OUTER_BOUND('',#12575,.F.); +#12578=CARTESIAN_POINT('',(3.07975E0,-2.4384E0,-3.556E0)); +#12579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12581=AXIS2_PLACEMENT_3D('',#12578,#12579,#12580); +#12582=CYLINDRICAL_SURFACE('',#12581,2.159E0); +#12583=ORIENTED_EDGE('',*,*,#11725,.T.); +#12584=ORIENTED_EDGE('',*,*,#12539,.T.); +#12585=ORIENTED_EDGE('',*,*,#11729,.F.); +#12586=ORIENTED_EDGE('',*,*,#12573,.F.); +#12587=EDGE_LOOP('',(#12583,#12584,#12585,#12586)); +#12588=FACE_OUTER_BOUND('',#12587,.F.); +#12590=CARTESIAN_POINT('',(5.61975E0,0.E0,0.E0)); +#12591=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12593=AXIS2_PLACEMENT_3D('',#12590,#12591,#12592); +#12594=PLANE('',#12593); +#12595=ORIENTED_EDGE('',*,*,#11497,.F.); +#12597=ORIENTED_EDGE('',*,*,#12596,.F.); +#12598=EDGE_LOOP('',(#12595,#12597)); +#12599=FACE_OUTER_BOUND('',#12598,.F.); +#12601=CARTESIAN_POINT('',(5.61975E0,0.E0,0.E0)); +#12602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12603=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12604=AXIS2_PLACEMENT_3D('',#12601,#12602,#12603); +#12605=PLANE('',#12604); +#12606=ORIENTED_EDGE('',*,*,#11733,.F.); +#12608=ORIENTED_EDGE('',*,*,#12607,.F.); +#12609=EDGE_LOOP('',(#12606,#12608)); +#12610=FACE_OUTER_BOUND('',#12609,.F.); +#12612=CARTESIAN_POINT('',(5.61975E0,2.4384E0,-3.556E0)); +#12613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12614=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12615=AXIS2_PLACEMENT_3D('',#12612,#12613,#12614); +#12616=CYLINDRICAL_SURFACE('',#12615,2.159E0); +#12617=ORIENTED_EDGE('',*,*,#11499,.T.); +#12618=ORIENTED_EDGE('',*,*,#12596,.T.); +#12619=ORIENTED_EDGE('',*,*,#11503,.F.); +#12621=ORIENTED_EDGE('',*,*,#12620,.F.); +#12622=EDGE_LOOP('',(#12617,#12618,#12619,#12621)); +#12623=FACE_OUTER_BOUND('',#12622,.F.); +#12625=CARTESIAN_POINT('',(7.08025E0,0.E0,0.E0)); +#12626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12628=AXIS2_PLACEMENT_3D('',#12625,#12626,#12627); +#12629=PLANE('',#12628); +#12630=ORIENTED_EDGE('',*,*,#11501,.F.); +#12631=ORIENTED_EDGE('',*,*,#12620,.T.); +#12632=EDGE_LOOP('',(#12630,#12631)); +#12633=FACE_OUTER_BOUND('',#12632,.F.); +#12635=CARTESIAN_POINT('',(7.08025E0,0.E0,0.E0)); +#12636=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12637=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12638=AXIS2_PLACEMENT_3D('',#12635,#12636,#12637); +#12639=PLANE('',#12638); +#12640=ORIENTED_EDGE('',*,*,#11737,.F.); +#12642=ORIENTED_EDGE('',*,*,#12641,.T.); +#12643=EDGE_LOOP('',(#12640,#12642)); +#12644=FACE_OUTER_BOUND('',#12643,.F.); +#12646=CARTESIAN_POINT('',(5.61975E0,-2.4384E0,-3.556E0)); +#12647=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12648=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12649=AXIS2_PLACEMENT_3D('',#12646,#12647,#12648); +#12650=CYLINDRICAL_SURFACE('',#12649,2.159E0); +#12651=ORIENTED_EDGE('',*,*,#11735,.T.); +#12652=ORIENTED_EDGE('',*,*,#12607,.T.); +#12653=ORIENTED_EDGE('',*,*,#11739,.F.); +#12654=ORIENTED_EDGE('',*,*,#12641,.F.); +#12655=EDGE_LOOP('',(#12651,#12652,#12653,#12654)); +#12656=FACE_OUTER_BOUND('',#12655,.F.); +#12658=CARTESIAN_POINT('',(8.15975E0,0.E0,0.E0)); +#12659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12660=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12661=AXIS2_PLACEMENT_3D('',#12658,#12659,#12660); +#12662=PLANE('',#12661); +#12663=ORIENTED_EDGE('',*,*,#11507,.F.); +#12665=ORIENTED_EDGE('',*,*,#12664,.F.); +#12666=EDGE_LOOP('',(#12663,#12665)); +#12667=FACE_OUTER_BOUND('',#12666,.F.); +#12669=CARTESIAN_POINT('',(8.15975E0,0.E0,0.E0)); +#12670=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12671=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12672=AXIS2_PLACEMENT_3D('',#12669,#12670,#12671); +#12673=PLANE('',#12672); +#12674=ORIENTED_EDGE('',*,*,#11743,.F.); +#12676=ORIENTED_EDGE('',*,*,#12675,.F.); +#12677=EDGE_LOOP('',(#12674,#12676)); +#12678=FACE_OUTER_BOUND('',#12677,.F.); +#12680=CARTESIAN_POINT('',(8.15975E0,2.4384E0,-3.556E0)); +#12681=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12682=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12683=AXIS2_PLACEMENT_3D('',#12680,#12681,#12682); +#12684=CYLINDRICAL_SURFACE('',#12683,2.159E0); +#12685=ORIENTED_EDGE('',*,*,#11509,.T.); +#12686=ORIENTED_EDGE('',*,*,#12664,.T.); +#12687=ORIENTED_EDGE('',*,*,#11513,.F.); +#12689=ORIENTED_EDGE('',*,*,#12688,.F.); +#12690=EDGE_LOOP('',(#12685,#12686,#12687,#12689)); +#12691=FACE_OUTER_BOUND('',#12690,.F.); +#12693=CARTESIAN_POINT('',(9.62025E0,0.E0,0.E0)); +#12694=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12696=AXIS2_PLACEMENT_3D('',#12693,#12694,#12695); +#12697=PLANE('',#12696); +#12698=ORIENTED_EDGE('',*,*,#11511,.F.); +#12699=ORIENTED_EDGE('',*,*,#12688,.T.); +#12700=EDGE_LOOP('',(#12698,#12699)); +#12701=FACE_OUTER_BOUND('',#12700,.F.); +#12703=CARTESIAN_POINT('',(9.62025E0,0.E0,0.E0)); +#12704=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12705=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12706=AXIS2_PLACEMENT_3D('',#12703,#12704,#12705); +#12707=PLANE('',#12706); +#12708=ORIENTED_EDGE('',*,*,#11747,.F.); +#12710=ORIENTED_EDGE('',*,*,#12709,.T.); +#12711=EDGE_LOOP('',(#12708,#12710)); +#12712=FACE_OUTER_BOUND('',#12711,.F.); +#12714=CARTESIAN_POINT('',(8.15975E0,-2.4384E0,-3.556E0)); +#12715=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12716=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12717=AXIS2_PLACEMENT_3D('',#12714,#12715,#12716); +#12718=CYLINDRICAL_SURFACE('',#12717,2.159E0); +#12719=ORIENTED_EDGE('',*,*,#11745,.T.); +#12720=ORIENTED_EDGE('',*,*,#12675,.T.); +#12721=ORIENTED_EDGE('',*,*,#11749,.F.); +#12722=ORIENTED_EDGE('',*,*,#12709,.F.); +#12723=EDGE_LOOP('',(#12719,#12720,#12721,#12722)); +#12724=FACE_OUTER_BOUND('',#12723,.F.); +#12726=CARTESIAN_POINT('',(1.069975E1,0.E0,0.E0)); +#12727=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12729=AXIS2_PLACEMENT_3D('',#12726,#12727,#12728); +#12730=PLANE('',#12729); +#12731=ORIENTED_EDGE('',*,*,#11517,.F.); +#12733=ORIENTED_EDGE('',*,*,#12732,.F.); +#12734=EDGE_LOOP('',(#12731,#12733)); +#12735=FACE_OUTER_BOUND('',#12734,.F.); +#12737=CARTESIAN_POINT('',(1.069975E1,0.E0,0.E0)); +#12738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12740=AXIS2_PLACEMENT_3D('',#12737,#12738,#12739); +#12741=PLANE('',#12740); +#12742=ORIENTED_EDGE('',*,*,#11753,.F.); +#12744=ORIENTED_EDGE('',*,*,#12743,.F.); +#12745=EDGE_LOOP('',(#12742,#12744)); +#12746=FACE_OUTER_BOUND('',#12745,.F.); +#12748=CARTESIAN_POINT('',(1.069975E1,2.4384E0,-3.556E0)); +#12749=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12750=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12751=AXIS2_PLACEMENT_3D('',#12748,#12749,#12750); +#12752=CYLINDRICAL_SURFACE('',#12751,2.159E0); +#12753=ORIENTED_EDGE('',*,*,#11519,.T.); +#12754=ORIENTED_EDGE('',*,*,#12732,.T.); +#12755=ORIENTED_EDGE('',*,*,#11523,.F.); +#12757=ORIENTED_EDGE('',*,*,#12756,.F.); +#12758=EDGE_LOOP('',(#12753,#12754,#12755,#12757)); +#12759=FACE_OUTER_BOUND('',#12758,.F.); +#12761=CARTESIAN_POINT('',(1.216025E1,0.E0,0.E0)); +#12762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12763=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12764=AXIS2_PLACEMENT_3D('',#12761,#12762,#12763); +#12765=PLANE('',#12764); +#12766=ORIENTED_EDGE('',*,*,#11521,.F.); +#12767=ORIENTED_EDGE('',*,*,#12756,.T.); +#12768=EDGE_LOOP('',(#12766,#12767)); +#12769=FACE_OUTER_BOUND('',#12768,.F.); +#12771=CARTESIAN_POINT('',(1.216025E1,0.E0,0.E0)); +#12772=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12773=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12774=AXIS2_PLACEMENT_3D('',#12771,#12772,#12773); +#12775=PLANE('',#12774); +#12776=ORIENTED_EDGE('',*,*,#11757,.F.); +#12778=ORIENTED_EDGE('',*,*,#12777,.T.); +#12779=EDGE_LOOP('',(#12776,#12778)); +#12780=FACE_OUTER_BOUND('',#12779,.F.); +#12782=CARTESIAN_POINT('',(1.069975E1,-2.4384E0,-3.556E0)); +#12783=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12784=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12785=AXIS2_PLACEMENT_3D('',#12782,#12783,#12784); +#12786=CYLINDRICAL_SURFACE('',#12785,2.159E0); +#12787=ORIENTED_EDGE('',*,*,#11755,.T.); +#12788=ORIENTED_EDGE('',*,*,#12743,.T.); +#12789=ORIENTED_EDGE('',*,*,#11759,.F.); +#12790=ORIENTED_EDGE('',*,*,#12777,.F.); +#12791=EDGE_LOOP('',(#12787,#12788,#12789,#12790)); +#12792=FACE_OUTER_BOUND('',#12791,.F.); +#12794=CARTESIAN_POINT('',(1.323975E1,0.E0,0.E0)); +#12795=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12796=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12797=AXIS2_PLACEMENT_3D('',#12794,#12795,#12796); +#12798=PLANE('',#12797); +#12799=ORIENTED_EDGE('',*,*,#11527,.F.); +#12801=ORIENTED_EDGE('',*,*,#12800,.F.); +#12802=EDGE_LOOP('',(#12799,#12801)); +#12803=FACE_OUTER_BOUND('',#12802,.F.); +#12805=CARTESIAN_POINT('',(1.323975E1,0.E0,0.E0)); +#12806=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12807=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12808=AXIS2_PLACEMENT_3D('',#12805,#12806,#12807); +#12809=PLANE('',#12808); +#12810=ORIENTED_EDGE('',*,*,#11763,.F.); +#12812=ORIENTED_EDGE('',*,*,#12811,.F.); +#12813=EDGE_LOOP('',(#12810,#12812)); +#12814=FACE_OUTER_BOUND('',#12813,.F.); +#12816=CARTESIAN_POINT('',(1.323975E1,2.4384E0,-3.556E0)); +#12817=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12818=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12819=AXIS2_PLACEMENT_3D('',#12816,#12817,#12818); +#12820=CYLINDRICAL_SURFACE('',#12819,2.159E0); +#12821=ORIENTED_EDGE('',*,*,#11529,.T.); +#12822=ORIENTED_EDGE('',*,*,#12800,.T.); +#12823=ORIENTED_EDGE('',*,*,#11533,.F.); +#12825=ORIENTED_EDGE('',*,*,#12824,.F.); +#12826=EDGE_LOOP('',(#12821,#12822,#12823,#12825)); +#12827=FACE_OUTER_BOUND('',#12826,.F.); +#12829=CARTESIAN_POINT('',(1.470025E1,0.E0,0.E0)); +#12830=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12832=AXIS2_PLACEMENT_3D('',#12829,#12830,#12831); +#12833=PLANE('',#12832); +#12834=ORIENTED_EDGE('',*,*,#11531,.F.); +#12835=ORIENTED_EDGE('',*,*,#12824,.T.); +#12836=EDGE_LOOP('',(#12834,#12835)); +#12837=FACE_OUTER_BOUND('',#12836,.F.); +#12839=CARTESIAN_POINT('',(1.470025E1,0.E0,0.E0)); +#12840=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12841=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12842=AXIS2_PLACEMENT_3D('',#12839,#12840,#12841); +#12843=PLANE('',#12842); +#12844=ORIENTED_EDGE('',*,*,#11767,.F.); +#12846=ORIENTED_EDGE('',*,*,#12845,.T.); +#12847=EDGE_LOOP('',(#12844,#12846)); +#12848=FACE_OUTER_BOUND('',#12847,.F.); +#12850=CARTESIAN_POINT('',(1.323975E1,-2.4384E0,-3.556E0)); +#12851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12852=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12853=AXIS2_PLACEMENT_3D('',#12850,#12851,#12852); +#12854=CYLINDRICAL_SURFACE('',#12853,2.159E0); +#12855=ORIENTED_EDGE('',*,*,#11765,.T.); +#12856=ORIENTED_EDGE('',*,*,#12811,.T.); +#12857=ORIENTED_EDGE('',*,*,#11769,.F.); +#12858=ORIENTED_EDGE('',*,*,#12845,.F.); +#12859=EDGE_LOOP('',(#12855,#12856,#12857,#12858)); +#12860=FACE_OUTER_BOUND('',#12859,.F.); +#12862=CARTESIAN_POINT('',(1.577975E1,0.E0,0.E0)); +#12863=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12864=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12865=AXIS2_PLACEMENT_3D('',#12862,#12863,#12864); +#12866=PLANE('',#12865); +#12867=ORIENTED_EDGE('',*,*,#11537,.F.); +#12869=ORIENTED_EDGE('',*,*,#12868,.F.); +#12870=EDGE_LOOP('',(#12867,#12869)); +#12871=FACE_OUTER_BOUND('',#12870,.F.); +#12873=CARTESIAN_POINT('',(1.577975E1,0.E0,0.E0)); +#12874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12875=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12876=AXIS2_PLACEMENT_3D('',#12873,#12874,#12875); +#12877=PLANE('',#12876); +#12878=ORIENTED_EDGE('',*,*,#11773,.F.); +#12880=ORIENTED_EDGE('',*,*,#12879,.F.); +#12881=EDGE_LOOP('',(#12878,#12880)); +#12882=FACE_OUTER_BOUND('',#12881,.F.); +#12884=CARTESIAN_POINT('',(1.577975E1,2.4384E0,-3.556E0)); +#12885=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12886=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12887=AXIS2_PLACEMENT_3D('',#12884,#12885,#12886); +#12888=CYLINDRICAL_SURFACE('',#12887,2.159E0); +#12889=ORIENTED_EDGE('',*,*,#11539,.T.); +#12890=ORIENTED_EDGE('',*,*,#12868,.T.); +#12891=ORIENTED_EDGE('',*,*,#11543,.F.); +#12893=ORIENTED_EDGE('',*,*,#12892,.F.); +#12894=EDGE_LOOP('',(#12889,#12890,#12891,#12893)); +#12895=FACE_OUTER_BOUND('',#12894,.F.); +#12897=CARTESIAN_POINT('',(1.724025E1,0.E0,0.E0)); +#12898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12899=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12900=AXIS2_PLACEMENT_3D('',#12897,#12898,#12899); +#12901=PLANE('',#12900); +#12902=ORIENTED_EDGE('',*,*,#11541,.F.); +#12903=ORIENTED_EDGE('',*,*,#12892,.T.); +#12904=EDGE_LOOP('',(#12902,#12903)); +#12905=FACE_OUTER_BOUND('',#12904,.F.); +#12907=CARTESIAN_POINT('',(1.724025E1,0.E0,0.E0)); +#12908=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12909=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12910=AXIS2_PLACEMENT_3D('',#12907,#12908,#12909); +#12911=PLANE('',#12910); +#12912=ORIENTED_EDGE('',*,*,#11777,.F.); +#12914=ORIENTED_EDGE('',*,*,#12913,.T.); +#12915=EDGE_LOOP('',(#12912,#12914)); +#12916=FACE_OUTER_BOUND('',#12915,.F.); +#12918=CARTESIAN_POINT('',(1.577975E1,-2.4384E0,-3.556E0)); +#12919=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12921=AXIS2_PLACEMENT_3D('',#12918,#12919,#12920); +#12922=CYLINDRICAL_SURFACE('',#12921,2.159E0); +#12923=ORIENTED_EDGE('',*,*,#11775,.T.); +#12924=ORIENTED_EDGE('',*,*,#12879,.T.); +#12925=ORIENTED_EDGE('',*,*,#11779,.F.); +#12926=ORIENTED_EDGE('',*,*,#12913,.F.); +#12927=EDGE_LOOP('',(#12923,#12924,#12925,#12926)); +#12928=FACE_OUTER_BOUND('',#12927,.F.); +#12930=CARTESIAN_POINT('',(1.831975E1,0.E0,0.E0)); +#12931=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12933=AXIS2_PLACEMENT_3D('',#12930,#12931,#12932); +#12934=PLANE('',#12933); +#12935=ORIENTED_EDGE('',*,*,#11547,.F.); +#12937=ORIENTED_EDGE('',*,*,#12936,.F.); +#12938=EDGE_LOOP('',(#12935,#12937)); +#12939=FACE_OUTER_BOUND('',#12938,.F.); +#12941=CARTESIAN_POINT('',(1.831975E1,0.E0,0.E0)); +#12942=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12943=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12944=AXIS2_PLACEMENT_3D('',#12941,#12942,#12943); +#12945=PLANE('',#12944); +#12946=ORIENTED_EDGE('',*,*,#11783,.F.); +#12948=ORIENTED_EDGE('',*,*,#12947,.F.); +#12949=EDGE_LOOP('',(#12946,#12948)); +#12950=FACE_OUTER_BOUND('',#12949,.F.); +#12952=CARTESIAN_POINT('',(1.831975E1,2.4384E0,-3.556E0)); +#12953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12954=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12955=AXIS2_PLACEMENT_3D('',#12952,#12953,#12954); +#12956=CYLINDRICAL_SURFACE('',#12955,2.159E0); +#12957=ORIENTED_EDGE('',*,*,#11549,.T.); +#12958=ORIENTED_EDGE('',*,*,#12936,.T.); +#12959=ORIENTED_EDGE('',*,*,#11553,.F.); +#12961=ORIENTED_EDGE('',*,*,#12960,.F.); +#12962=EDGE_LOOP('',(#12957,#12958,#12959,#12961)); +#12963=FACE_OUTER_BOUND('',#12962,.F.); +#12965=CARTESIAN_POINT('',(1.978025E1,0.E0,0.E0)); +#12966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12967=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12968=AXIS2_PLACEMENT_3D('',#12965,#12966,#12967); +#12969=PLANE('',#12968); +#12970=ORIENTED_EDGE('',*,*,#11551,.F.); +#12971=ORIENTED_EDGE('',*,*,#12960,.T.); +#12972=EDGE_LOOP('',(#12970,#12971)); +#12973=FACE_OUTER_BOUND('',#12972,.F.); +#12975=CARTESIAN_POINT('',(1.978025E1,0.E0,0.E0)); +#12976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12977=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12978=AXIS2_PLACEMENT_3D('',#12975,#12976,#12977); +#12979=PLANE('',#12978); +#12980=ORIENTED_EDGE('',*,*,#11787,.F.); +#12982=ORIENTED_EDGE('',*,*,#12981,.T.); +#12983=EDGE_LOOP('',(#12980,#12982)); +#12984=FACE_OUTER_BOUND('',#12983,.F.); +#12986=CARTESIAN_POINT('',(1.831975E1,-2.4384E0,-3.556E0)); +#12987=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12989=AXIS2_PLACEMENT_3D('',#12986,#12987,#12988); +#12990=CYLINDRICAL_SURFACE('',#12989,2.159E0); +#12991=ORIENTED_EDGE('',*,*,#11785,.T.); +#12992=ORIENTED_EDGE('',*,*,#12947,.T.); +#12993=ORIENTED_EDGE('',*,*,#11789,.F.); +#12994=ORIENTED_EDGE('',*,*,#12981,.F.); +#12995=EDGE_LOOP('',(#12991,#12992,#12993,#12994)); +#12996=FACE_OUTER_BOUND('',#12995,.F.); +#12998=CARTESIAN_POINT('',(2.085975E1,0.E0,0.E0)); +#12999=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13000=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13001=AXIS2_PLACEMENT_3D('',#12998,#12999,#13000); +#13002=PLANE('',#13001); +#13003=ORIENTED_EDGE('',*,*,#11557,.F.); +#13005=ORIENTED_EDGE('',*,*,#13004,.F.); +#13006=EDGE_LOOP('',(#13003,#13005)); +#13007=FACE_OUTER_BOUND('',#13006,.F.); +#13009=CARTESIAN_POINT('',(2.085975E1,0.E0,0.E0)); +#13010=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13011=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13012=AXIS2_PLACEMENT_3D('',#13009,#13010,#13011); +#13013=PLANE('',#13012); +#13014=ORIENTED_EDGE('',*,*,#11793,.F.); +#13016=ORIENTED_EDGE('',*,*,#13015,.F.); +#13017=EDGE_LOOP('',(#13014,#13016)); +#13018=FACE_OUTER_BOUND('',#13017,.F.); +#13020=CARTESIAN_POINT('',(2.085975E1,2.4384E0,-3.556E0)); +#13021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13023=AXIS2_PLACEMENT_3D('',#13020,#13021,#13022); +#13024=CYLINDRICAL_SURFACE('',#13023,2.159E0); +#13025=ORIENTED_EDGE('',*,*,#11559,.T.); +#13026=ORIENTED_EDGE('',*,*,#13004,.T.); +#13027=ORIENTED_EDGE('',*,*,#11563,.F.); +#13029=ORIENTED_EDGE('',*,*,#13028,.F.); +#13030=EDGE_LOOP('',(#13025,#13026,#13027,#13029)); +#13031=FACE_OUTER_BOUND('',#13030,.F.); +#13033=CARTESIAN_POINT('',(2.232025E1,0.E0,0.E0)); +#13034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13035=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13036=AXIS2_PLACEMENT_3D('',#13033,#13034,#13035); +#13037=PLANE('',#13036); +#13038=ORIENTED_EDGE('',*,*,#11561,.F.); +#13039=ORIENTED_EDGE('',*,*,#13028,.T.); +#13040=EDGE_LOOP('',(#13038,#13039)); +#13041=FACE_OUTER_BOUND('',#13040,.F.); +#13043=CARTESIAN_POINT('',(2.232025E1,0.E0,0.E0)); +#13044=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13046=AXIS2_PLACEMENT_3D('',#13043,#13044,#13045); +#13047=PLANE('',#13046); +#13048=ORIENTED_EDGE('',*,*,#11797,.F.); +#13050=ORIENTED_EDGE('',*,*,#13049,.T.); +#13051=EDGE_LOOP('',(#13048,#13050)); +#13052=FACE_OUTER_BOUND('',#13051,.F.); +#13054=CARTESIAN_POINT('',(2.085975E1,-2.4384E0,-3.556E0)); +#13055=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13056=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13057=AXIS2_PLACEMENT_3D('',#13054,#13055,#13056); +#13058=CYLINDRICAL_SURFACE('',#13057,2.159E0); +#13059=ORIENTED_EDGE('',*,*,#11795,.T.); +#13060=ORIENTED_EDGE('',*,*,#13015,.T.); +#13061=ORIENTED_EDGE('',*,*,#11799,.F.); +#13062=ORIENTED_EDGE('',*,*,#13049,.F.); +#13063=EDGE_LOOP('',(#13059,#13060,#13061,#13062)); +#13064=FACE_OUTER_BOUND('',#13063,.F.); +#13066=CARTESIAN_POINT('',(-2.54E1,3.9116E0,8.348864031529E-3)); +#13067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13068=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13069=AXIS2_PLACEMENT_3D('',#13066,#13067,#13068); +#13070=CYLINDRICAL_SURFACE('',#13069,7.62E-1); +#13071=ORIENTED_EDGE('',*,*,#6094,.T.); +#13073=ORIENTED_EDGE('',*,*,#13072,.F.); +#13074=ORIENTED_EDGE('',*,*,#11336,.T.); +#13075=ORIENTED_EDGE('',*,*,#6070,.T.); +#13076=EDGE_LOOP('',(#13071,#13073,#13074,#13075)); +#13077=FACE_OUTER_BOUND('',#13076,.F.); +#13079=CARTESIAN_POINT('',(-2.6162E1,4.6736E0,-8.89E0)); +#13080=DIRECTION('',(0.E0,1.E0,0.E0)); +#13081=DIRECTION('',(0.E0,0.E0,1.E0)); +#13082=AXIS2_PLACEMENT_3D('',#13079,#13080,#13081); +#13083=PLANE('',#13082); +#13084=ORIENTED_EDGE('',*,*,#13072,.T.); +#13085=ORIENTED_EDGE('',*,*,#6092,.T.); +#13087=ORIENTED_EDGE('',*,*,#13086,.T.); +#13088=ORIENTED_EDGE('',*,*,#11338,.F.); +#13089=EDGE_LOOP('',(#13084,#13085,#13087,#13088)); +#13090=FACE_OUTER_BOUND('',#13089,.F.); +#13092=CARTESIAN_POINT('',(2.54E1,3.9116E0,-8.898512958041E0)); +#13093=DIRECTION('',(0.E0,0.E0,1.E0)); +#13094=DIRECTION('',(1.E0,0.E0,0.E0)); +#13095=AXIS2_PLACEMENT_3D('',#13092,#13093,#13094); +#13096=CYLINDRICAL_SURFACE('',#13095,7.62E-1); +#13097=ORIENTED_EDGE('',*,*,#11340,.T.); +#13098=ORIENTED_EDGE('',*,*,#13086,.F.); +#13099=ORIENTED_EDGE('',*,*,#6090,.T.); +#13100=ORIENTED_EDGE('',*,*,#6217,.T.); +#13101=EDGE_LOOP('',(#13097,#13098,#13099,#13100)); +#13102=FACE_OUTER_BOUND('',#13101,.F.); +#13104=CARTESIAN_POINT('',(-2.54E1,3.048E0,2.041459422867E-2)); +#13105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13107=AXIS2_PLACEMENT_3D('',#13104,#13105,#13106); +#13108=CYLINDRICAL_SURFACE('',#13107,7.62E-1); +#13109=ORIENTED_EDGE('',*,*,#6097,.T.); +#13110=ORIENTED_EDGE('',*,*,#6066,.T.); +#13111=ORIENTED_EDGE('',*,*,#6196,.T.); +#13112=ORIENTED_EDGE('',*,*,#6135,.F.); +#13113=EDGE_LOOP('',(#13109,#13110,#13111,#13112)); +#13114=FACE_OUTER_BOUND('',#13113,.F.); +#13116=CLOSED_SHELL('',(#6078,#6101,#6124,#6155,#6178,#6200,#6221,#6233,#6246, +#6274,#6288,#6314,#6327,#6342,#6358,#6375,#6836,#6852,#6868,#6884,#6897,#6921, +#6934,#6948,#6961,#6973,#6986,#6998,#7011,#7024,#7037,#7050,#7062,#7077,#7091, +#7105,#7119,#7133,#7147,#7160,#7175,#7239,#7253,#7266,#7279,#7292,#7305,#7318, +#7331,#7344,#7357,#7370,#7383,#7396,#7409,#7422,#7435,#7448,#7461,#7474,#7487, +#7500,#7513,#7526,#7539,#7552,#7565,#7578,#7591,#7603,#7622,#7637,#7650,#7667, +#7681,#7693,#7709,#7721,#7736,#7750,#7766,#7780,#7795,#7807,#7826,#7841,#7854, +#7871,#7887,#7900,#7915,#7929,#7945,#7959,#7974,#7986,#7999,#8011,#8030,#8045, +#8058,#8075,#8091,#8104,#8119,#8133,#8149,#8163,#8178,#8190,#8203,#8215,#8234, +#8249,#8262,#8279,#8295,#8308,#8323,#8337,#8353,#8367,#8382,#8394,#8407,#8419, +#8438,#8453,#8466,#8483,#8499,#8512,#8527,#8541,#8557,#8571,#8586,#8598,#8611, +#8623,#8642,#8657,#8670,#8687,#8703,#8716,#8731,#8745,#8761,#8775,#8790,#8802, +#8815,#8827,#8846,#8861,#8874,#8891,#8907,#8920,#8935,#8949,#8965,#8979,#8994, +#9006,#9019,#9031,#9050,#9065,#9078,#9095,#9111,#9124,#9139,#9153,#9169,#9183, +#9198,#9210,#9223,#9235,#9254,#9269,#9282,#9299,#9315,#9328,#9343,#9357,#9373, +#9387,#9402,#9414,#9427,#9439,#9458,#9473,#9486,#9503,#9519,#9532,#9547,#9561, +#9577,#9591,#9606,#9618,#9631,#9643,#9662,#9677,#9690,#9707,#9723,#9736,#9751, +#9765,#9781,#9795,#9810,#9822,#9835,#9847,#9866,#9881,#9894,#9911,#9927,#9940, +#9955,#9969,#9985,#9999,#10014,#10026,#10039,#10051,#10070,#10085,#10098,#10115, +#10131,#10144,#10159,#10173,#10189,#10203,#10218,#10230,#10243,#10255,#10274, +#10289,#10302,#10319,#10335,#10348,#10363,#10377,#10393,#10407,#10422,#10434, +#10447,#10459,#10478,#10493,#10506,#10523,#10539,#10552,#10567,#10581,#10597, +#10611,#10626,#10638,#10651,#10663,#10682,#10697,#10710,#10727,#10743,#10756, +#10771,#10785,#10801,#10815,#10830,#10842,#10855,#10867,#10886,#10901,#10914, +#10931,#10947,#10960,#10975,#10989,#11005,#11019,#11034,#11046,#11059,#11071, +#11090,#11105,#11118,#11135,#11151,#11164,#11179,#11193,#11209,#11223,#11238, +#11250,#11263,#11275,#11287,#11301,#11315,#11328,#11357,#11372,#11567,#11582, +#11595,#11609,#11803,#11817,#11829,#11841,#11852,#11863,#11876,#11886,#11897, +#11909,#11920,#11931,#11944,#11954,#11965,#11977,#11988,#11999,#12012,#12022, +#12033,#12045,#12056,#12067,#12080,#12090,#12101,#12113,#12124,#12135,#12148, +#12158,#12169,#12181,#12192,#12203,#12216,#12226,#12237,#12249,#12260,#12271, +#12284,#12294,#12305,#12317,#12328,#12339,#12352,#12362,#12373,#12385,#12396, +#12407,#12420,#12430,#12441,#12453,#12464,#12475,#12488,#12498,#12509,#12521, +#12532,#12543,#12556,#12566,#12577,#12589,#12600,#12611,#12624,#12634,#12645, +#12657,#12668,#12679,#12692,#12702,#12713,#12725,#12736,#12747,#12760,#12770, +#12781,#12793,#12804,#12815,#12828,#12838,#12849,#12861,#12872,#12883,#12896, +#12906,#12917,#12929,#12940,#12951,#12964,#12974,#12985,#12997,#13008,#13019, +#13032,#13042,#13053,#13065,#13078,#13091,#13103,#13115)); +#13117=MANIFOLD_SOLID_BREP('',#13116); +#13120=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#13119); +#13121=(CONVERSION_BASED_UNIT('DEGREE',#13120)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#13123=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(5.633337193621E-4),#13118, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#13126=APPLICATION_CONTEXT('automotive_design'); +#13127=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#13126); +#13128=PRODUCT_DEFINITION_CONTEXT('part definition',#13126,'design'); +#13129=PRODUCT_CONTEXT('',#13126,'mechanical'); +#13130=PRODUCT('C-2-5530843-7','C-2-5530843-7','NOT SPECIFIED',(#13129)); +#13131=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#13130); +#13139=DERIVED_UNIT_ELEMENT(#13138,2.E0); +#13140=DERIVED_UNIT((#13139)); +#13141=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +3.883857242392E3),#13140); +#13146=DERIVED_UNIT_ELEMENT(#13145,3.E0); +#13147=DERIVED_UNIT((#13146)); +#13148=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +5.680474251242E3),#13147); +#13152=CARTESIAN_POINT('centre point',(-2.086644312606E-4,4.182704244959E-6, +-7.089058428303E0)); +#13157=DERIVED_UNIT_ELEMENT(#13156,2.E0); +#13158=DERIVED_UNIT((#13157)); +#13159=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +3.883857242392E3),#13158); +#13164=DERIVED_UNIT_ELEMENT(#13163,3.E0); +#13165=DERIVED_UNIT((#13164)); +#13166=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +5.680474251242E3),#13165); +#13170=CARTESIAN_POINT('centre point',(-2.086644312606E-4,4.182704244959E-6, +-7.089058428303E0)); +#13175=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#13130)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#2=COLOUR_RGB('',1.E0,0.E0,2.E-1); +#31=CIRCLE('',#30,7.62E-1); +#36=CIRCLE('',#35,7.62E-1); +#49=CIRCLE('',#48,7.62E-1); +#58=CIRCLE('',#57,7.62E-1); +#87=CIRCLE('',#86,7.62E-1); +#100=CIRCLE('',#99,7.62E-1); +#109=CIRCLE('',#108,7.62E-1); +#122=CIRCLE('',#121,7.62E-1); +#147=CIRCLE('',#146,7.62E-1); +#152=CIRCLE('',#151,7.62E-1); +#181=CIRCLE('',#180,7.62E-1); +#194=CIRCLE('',#193,6.35E-1); +#199=CIRCLE('',#198,6.35E-1); +#224=CIRCLE('',#223,7.62E-1); +#229=CIRCLE('',#228,7.62E-1); +#238=CIRCLE('',#237,6.35E-1); +#267=CIRCLE('',#266,6.35E-1); +#760=CIRCLE('',#759,6.35E-1); +#765=CIRCLE('',#764,6.35E-1); +#782=CIRCLE('',#781,7.62E-1); +#799=CIRCLE('',#798,7.62E-1); +#812=CIRCLE('',#811,6.35E-1); +#817=CIRCLE('',#816,6.35E-1); +#842=CIRCLE('',#841,7.62E-1); +#847=CIRCLE('',#846,7.62E-1); +#876=CIRCLE('',#875,7.62E-1); +#3473=CIRCLE('',#3472,7.62E-1); +#3498=CIRCLE('',#3497,7.62E-1); +#3503=CIRCLE('',#3502,7.62E-1); +#3512=CIRCLE('',#3511,7.62E-1); +#3517=CIRCLE('',#3516,7.62E-1); +#3530=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3518,#3519,#3520,#3521,#3522,#3523,#3524, +#3525,#3526,#3527,#3528,#3529),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1,1.E0), +.UNSPECIFIED.); +#3543=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3531,#3532,#3533,#3534,#3535,#3536,#3537, +#3538,#3539,#3540,#3541,#3542),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0), +.UNSPECIFIED.); +#3868=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3856,#3857,#3858,#3859,#3860,#3861,#3862, +#3863,#3864,#3865,#3866,#3867),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1,1.E0), +.UNSPECIFIED.); +#3881=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3869,#3870,#3871,#3872,#3873,#3874,#3875, +#3876,#3877,#3878,#3879,#3880),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4), +(0.E0,6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0), +.UNSPECIFIED.); +#4206=CIRCLE('',#4205,2.159E0); +#4211=CIRCLE('',#4210,2.159E0); +#4216=CIRCLE('',#4215,2.159E0); +#4221=CIRCLE('',#4220,2.159E0); +#4226=CIRCLE('',#4225,2.159E0); +#4231=CIRCLE('',#4230,2.159E0); +#4236=CIRCLE('',#4235,2.159E0); +#4241=CIRCLE('',#4240,2.159E0); +#4246=CIRCLE('',#4245,2.159E0); +#4251=CIRCLE('',#4250,2.159E0); +#4256=CIRCLE('',#4255,2.159E0); +#4261=CIRCLE('',#4260,2.159E0); +#4266=CIRCLE('',#4265,2.159E0); +#4271=CIRCLE('',#4270,2.159E0); +#4276=CIRCLE('',#4275,2.159E0); +#4281=CIRCLE('',#4280,2.159E0); +#4286=CIRCLE('',#4285,2.159E0); +#4291=CIRCLE('',#4290,2.159E0); +#4296=CIRCLE('',#4295,2.159E0); +#4301=CIRCLE('',#4300,2.159E0); +#4306=CIRCLE('',#4305,2.159E0); +#4311=CIRCLE('',#4310,2.159E0); +#4316=CIRCLE('',#4315,2.159E0); +#4321=CIRCLE('',#4320,2.159E0); +#4326=CIRCLE('',#4325,2.159E0); +#4331=CIRCLE('',#4330,2.159E0); +#4336=CIRCLE('',#4335,2.159E0); +#4341=CIRCLE('',#4340,2.159E0); +#4346=CIRCLE('',#4345,2.159E0); +#4351=CIRCLE('',#4350,2.159E0); +#4356=CIRCLE('',#4355,2.159E0); +#4361=CIRCLE('',#4360,2.159E0); +#4366=CIRCLE('',#4365,2.159E0); +#4371=CIRCLE('',#4370,2.159E0); +#4376=CIRCLE('',#4375,2.159E0); +#4381=CIRCLE('',#4380,2.159E0); +#4386=CIRCLE('',#4385,2.159E0); +#4391=CIRCLE('',#4390,2.159E0); +#4396=CIRCLE('',#4395,2.159E0); +#4401=CIRCLE('',#4400,2.159E0); +#4406=CIRCLE('',#4405,2.159E0); +#4411=CIRCLE('',#4410,2.159E0); +#4416=CIRCLE('',#4415,2.159E0); +#4421=CIRCLE('',#4420,2.159E0); +#4426=CIRCLE('',#4425,2.159E0); +#4431=CIRCLE('',#4430,2.159E0); +#4436=CIRCLE('',#4435,2.159E0); +#4441=CIRCLE('',#4440,2.159E0); +#4446=CIRCLE('',#4445,2.159E0); +#4451=CIRCLE('',#4450,2.159E0); +#4456=CIRCLE('',#4455,2.159E0); +#4461=CIRCLE('',#4460,2.159E0); +#4466=CIRCLE('',#4465,2.159E0); +#4471=CIRCLE('',#4470,2.159E0); +#4476=CIRCLE('',#4475,2.159E0); +#4481=CIRCLE('',#4480,2.159E0); +#4486=CIRCLE('',#4485,2.159E0); +#4491=CIRCLE('',#4490,2.159E0); +#4496=CIRCLE('',#4495,2.159E0); +#4501=CIRCLE('',#4500,2.159E0); +#4506=CIRCLE('',#4505,2.159E0); +#4511=CIRCLE('',#4510,2.159E0); +#4516=CIRCLE('',#4515,2.159E0); +#4521=CIRCLE('',#4520,2.159E0); +#4526=CIRCLE('',#4525,2.159E0); +#4531=CIRCLE('',#4530,2.159E0); +#4536=CIRCLE('',#4535,2.159E0); +#4541=CIRCLE('',#4540,2.159E0); +#4546=CIRCLE('',#4545,2.159E0); +#4551=CIRCLE('',#4550,2.159E0); +#4556=CIRCLE('',#4555,2.159E0); +#4561=CIRCLE('',#4560,2.159E0); +#4578=CIRCLE('',#4577,7.62E-1); +#6060=EDGE_CURVE('',#5261,#5214,#44,.T.); +#6062=EDGE_CURVE('',#5261,#5245,#6,.T.); +#6064=EDGE_CURVE('',#5245,#5246,#104,.T.); +#6066=EDGE_CURVE('',#5258,#5246,#10,.T.); +#6068=EDGE_CURVE('',#5217,#5258,#40,.T.); +#6070=EDGE_CURVE('',#5222,#5217,#14,.T.); +#6072=EDGE_CURVE('',#5222,#5209,#18,.T.); +#6074=EDGE_CURVE('',#5214,#5209,#22,.T.); +#6078=ADVANCED_FACE('',(#6077),#6059,.F.); +#6084=EDGE_CURVE('',#5266,#5257,#70,.T.); +#6086=EDGE_CURVE('',#5265,#5266,#147,.T.); +#6088=EDGE_CURVE('',#5265,#5238,#26,.T.); +#6090=EDGE_CURVE('',#5236,#5238,#31,.T.); +#6092=EDGE_CURVE('',#5218,#5236,#4569,.T.); +#6094=EDGE_CURVE('',#5217,#5218,#36,.T.); +#6097=EDGE_CURVE('',#5257,#5258,#4578,.T.); +#6101=ADVANCED_FACE('',(#6100),#6083,.T.); +#6107=EDGE_CURVE('',#5262,#5269,#164,.T.); +#6109=EDGE_CURVE('',#5261,#5262,#3473,.T.); +#6112=EDGE_CURVE('',#5212,#5214,#49,.T.); +#6114=EDGE_CURVE('',#5212,#5226,#53,.T.); +#6116=EDGE_CURVE('',#5225,#5226,#58,.T.); +#6118=EDGE_CURVE('',#5225,#5270,#62,.T.); +#6120=EDGE_CURVE('',#5269,#5270,#152,.T.); +#6124=ADVANCED_FACE('',(#6123),#6106,.T.); +#6130=EDGE_CURVE('',#5250,#5204,#91,.T.); +#6132=EDGE_CURVE('',#5266,#5250,#66,.T.); +#6135=EDGE_CURVE('',#5257,#5252,#74,.T.); +#6137=EDGE_CURVE('',#5202,#5252,#117,.T.); +#6139=EDGE_CURVE('',#5478,#5202,#794,.T.); +#6141=EDGE_CURVE('',#5478,#5177,#786,.T.); +#6143=EDGE_CURVE('',#5189,#5177,#747,.T.); +#6145=EDGE_CURVE('',#5189,#5161,#315,.T.); +#6147=EDGE_CURVE('',#5144,#5161,#250,.T.); +#6149=EDGE_CURVE('',#5465,#5144,#78,.T.); +#6151=EDGE_CURVE('',#5204,#5465,#215,.T.); +#6155=ADVANCED_FACE('',(#6154),#6129,.T.); +#6161=EDGE_CURVE('',#5241,#5242,#82,.T.); +#6163=EDGE_CURVE('',#5250,#5241,#87,.T.); +#6166=EDGE_CURVE('',#5204,#4585,#224,.T.); +#6168=EDGE_CURVE('',#4585,#4586,#211,.T.); +#6170=EDGE_CURVE('',#4586,#5206,#181,.T.); +#6172=EDGE_CURVE('',#5206,#5248,#95,.T.); +#6174=EDGE_CURVE('',#5242,#5248,#100,.T.); +#6178=ADVANCED_FACE('',(#6177),#6160,.F.); +#6185=EDGE_CURVE('',#5254,#5245,#109,.T.); +#6187=EDGE_CURVE('',#5254,#5200,#113,.T.); +#6189=EDGE_CURVE('',#5200,#4582,#842,.T.); +#6191=EDGE_CURVE('',#4581,#4582,#829,.T.); +#6193=EDGE_CURVE('',#4581,#5202,#799,.T.); +#6196=EDGE_CURVE('',#5246,#5252,#122,.T.); +#6200=ADVANCED_FACE('',(#6199),#6183,.F.); +#6207=EDGE_CURVE('',#5265,#5241,#126,.T.); +#6210=EDGE_CURVE('',#5270,#5242,#130,.T.); +#6213=EDGE_CURVE('',#5230,#5225,#134,.T.); +#6215=EDGE_CURVE('',#5233,#5230,#138,.T.); +#6217=EDGE_CURVE('',#5238,#5233,#142,.T.); +#6221=ADVANCED_FACE('',(#6220),#6205,.T.); +#6233=ADVANCED_FACE('',(#6232),#6226,.T.); +#6242=EDGE_CURVE('',#5269,#5248,#168,.T.); +#6246=ADVANCED_FACE('',(#6245),#6238,.T.); +#6253=EDGE_CURVE('',#5470,#5206,#176,.T.); +#6255=EDGE_CURVE('',#5470,#5155,#880,.T.); +#6257=EDGE_CURVE('',#5166,#5155,#254,.T.); +#6259=EDGE_CURVE('',#5166,#5194,#291,.T.); +#6261=EDGE_CURVE('',#5184,#5194,#777,.T.); +#6263=EDGE_CURVE('',#5473,#5184,#156,.T.); +#6265=EDGE_CURVE('',#5200,#5473,#833,.T.); +#6268=EDGE_CURVE('',#5262,#5254,#160,.T.); +#6274=ADVANCED_FACE('',(#6273),#6251,.T.); +#6280=EDGE_CURVE('',#4586,#5469,#172,.T.); +#6282=EDGE_CURVE('',#5469,#5470,#876,.T.); +#6288=ADVANCED_FACE('',(#6287),#6279,.T.); +#6294=EDGE_CURVE('',#5466,#4585,#219,.T.); +#6296=EDGE_CURVE('',#5138,#5466,#185,.T.); +#6298=EDGE_CURVE('',#5137,#5138,#189,.T.); +#6300=EDGE_CURVE('',#5147,#5137,#194,.T.); +#6302=EDGE_CURVE('',#5147,#5148,#303,.T.); +#6304=EDGE_CURVE('',#5152,#5148,#199,.T.); +#6306=EDGE_CURVE('',#5151,#5152,#203,.T.); +#6308=EDGE_CURVE('',#5469,#5151,#207,.T.); +#6314=ADVANCED_FACE('',(#6313),#6293,.T.); +#6321=EDGE_CURVE('',#5465,#5466,#229,.T.); +#6327=ADVANCED_FACE('',(#6326),#6319,.T.); +#6335=EDGE_CURVE('',#5144,#5141,#246,.T.); +#6337=EDGE_CURVE('',#5141,#5138,#233,.T.); +#6342=ADVANCED_FACE('',(#6341),#6332,.T.); +#6348=EDGE_CURVE('',#5161,#5162,#311,.T.); +#6350=EDGE_CURVE('',#5162,#5142,#238,.T.); +#6352=EDGE_CURVE('',#5141,#5142,#242,.T.); +#6358=ADVANCED_FACE('',(#6357),#6347,.F.); +#6364=EDGE_CURVE('',#5165,#5166,#295,.T.); +#6367=EDGE_CURVE('',#5155,#5156,#258,.T.); +#6369=EDGE_CURVE('',#5156,#5158,#262,.T.); +#6371=EDGE_CURVE('',#5158,#5165,#267,.T.); +#6375=ADVANCED_FACE('',(#6374),#6363,.F.); +#6381=EDGE_CURVE('',#5189,#5190,#271,.T.); +#6383=EDGE_CURVE('',#5190,#5198,#275,.T.); +#6385=EDGE_CURVE('',#5197,#5198,#279,.T.); +#6387=EDGE_CURVE('',#5193,#5197,#283,.T.); +#6389=EDGE_CURVE('',#5193,#5194,#287,.T.); +#6393=EDGE_CURVE('',#5165,#5148,#299,.T.); +#6396=EDGE_CURVE('',#5162,#5147,#307,.T.); +#6402=EDGE_CURVE('',#4683,#4684,#319,.T.); +#6404=EDGE_CURVE('',#4684,#4686,#323,.T.); +#6406=EDGE_CURVE('',#4686,#4688,#327,.T.); +#6408=EDGE_CURVE('',#4688,#4690,#331,.T.); +#6410=EDGE_CURVE('',#4690,#4692,#335,.T.); +#6412=EDGE_CURVE('',#4692,#4694,#339,.T.); +#6414=EDGE_CURVE('',#4694,#4683,#343,.T.); +#6418=EDGE_CURVE('',#4697,#4698,#347,.T.); +#6420=EDGE_CURVE('',#4698,#4700,#351,.T.); +#6422=EDGE_CURVE('',#4700,#4702,#355,.T.); +#6424=EDGE_CURVE('',#4702,#4704,#359,.T.); +#6426=EDGE_CURVE('',#4704,#4706,#363,.T.); +#6428=EDGE_CURVE('',#4706,#4708,#367,.T.); +#6430=EDGE_CURVE('',#4708,#4710,#371,.T.); +#6432=EDGE_CURVE('',#4710,#4712,#375,.T.); +#6434=EDGE_CURVE('',#4712,#4714,#379,.T.); +#6436=EDGE_CURVE('',#4714,#4716,#383,.T.); +#6438=EDGE_CURVE('',#4716,#4718,#387,.T.); +#6440=EDGE_CURVE('',#4718,#4720,#391,.T.); +#6442=EDGE_CURVE('',#4720,#4722,#395,.T.); +#6444=EDGE_CURVE('',#4722,#4724,#399,.T.); +#6446=EDGE_CURVE('',#4724,#4726,#403,.T.); +#6448=EDGE_CURVE('',#4726,#4728,#407,.T.); +#6450=EDGE_CURVE('',#4728,#4730,#411,.T.); +#6452=EDGE_CURVE('',#4730,#4732,#415,.T.); +#6454=EDGE_CURVE('',#4732,#4734,#419,.T.); +#6456=EDGE_CURVE('',#4734,#4736,#423,.T.); +#6458=EDGE_CURVE('',#4736,#4738,#427,.T.); +#6460=EDGE_CURVE('',#4738,#4740,#431,.T.); +#6462=EDGE_CURVE('',#4740,#4742,#435,.T.); +#6464=EDGE_CURVE('',#4742,#4744,#439,.T.); +#6466=EDGE_CURVE('',#4744,#4746,#443,.T.); +#6468=EDGE_CURVE('',#4746,#4748,#447,.T.); +#6470=EDGE_CURVE('',#4748,#4750,#451,.T.); +#6472=EDGE_CURVE('',#4750,#4697,#455,.T.); +#6476=EDGE_CURVE('',#5486,#5482,#1172,.T.); +#6478=EDGE_CURVE('',#5485,#5486,#459,.T.); +#6480=EDGE_CURVE('',#5481,#5485,#1196,.T.); +#6482=EDGE_CURVE('',#5481,#5482,#463,.T.); +#6486=EDGE_CURVE('',#5498,#5502,#1260,.T.); +#6488=EDGE_CURVE('',#5497,#5498,#467,.T.); +#6490=EDGE_CURVE('',#5501,#5497,#1276,.T.); +#6492=EDGE_CURVE('',#5501,#5502,#471,.T.); +#6496=EDGE_CURVE('',#5517,#5514,#1300,.T.); +#6498=EDGE_CURVE('',#5525,#5517,#475,.T.); +#6500=EDGE_CURVE('',#5522,#5525,#1320,.T.); +#6502=EDGE_CURVE('',#5522,#5514,#479,.T.); +#6506=EDGE_CURVE('',#5529,#5534,#1376,.T.); +#6508=EDGE_CURVE('',#5537,#5529,#483,.T.); +#6510=EDGE_CURVE('',#5542,#5537,#1396,.T.); +#6512=EDGE_CURVE('',#5542,#5534,#487,.T.); +#6516=EDGE_CURVE('',#5549,#5546,#1428,.T.); +#6518=EDGE_CURVE('',#5557,#5549,#491,.T.); +#6520=EDGE_CURVE('',#5554,#5557,#1448,.T.); +#6522=EDGE_CURVE('',#5554,#5546,#495,.T.); +#6526=EDGE_CURVE('',#5561,#5566,#1504,.T.); +#6528=EDGE_CURVE('',#5569,#5561,#499,.T.); +#6530=EDGE_CURVE('',#5574,#5569,#1524,.T.); +#6532=EDGE_CURVE('',#5574,#5566,#503,.T.); +#6536=EDGE_CURVE('',#5581,#5578,#1556,.T.); +#6538=EDGE_CURVE('',#5589,#5581,#507,.T.); +#6540=EDGE_CURVE('',#5586,#5589,#1576,.T.); +#6542=EDGE_CURVE('',#5586,#5578,#511,.T.); +#6546=EDGE_CURVE('',#5593,#5598,#1632,.T.); +#6548=EDGE_CURVE('',#5601,#5593,#515,.T.); +#6550=EDGE_CURVE('',#5606,#5601,#1652,.T.); +#6552=EDGE_CURVE('',#5606,#5598,#519,.T.); +#6556=EDGE_CURVE('',#5613,#5610,#1684,.T.); +#6558=EDGE_CURVE('',#5621,#5613,#523,.T.); +#6560=EDGE_CURVE('',#5618,#5621,#1704,.T.); +#6562=EDGE_CURVE('',#5618,#5610,#527,.T.); +#6566=EDGE_CURVE('',#5625,#5630,#1760,.T.); +#6568=EDGE_CURVE('',#5633,#5625,#531,.T.); +#6570=EDGE_CURVE('',#5638,#5633,#1780,.T.); +#6572=EDGE_CURVE('',#5638,#5630,#535,.T.); +#6576=EDGE_CURVE('',#5645,#5642,#1812,.T.); +#6578=EDGE_CURVE('',#5653,#5645,#539,.T.); +#6580=EDGE_CURVE('',#5650,#5653,#1832,.T.); +#6582=EDGE_CURVE('',#5650,#5642,#543,.T.); +#6586=EDGE_CURVE('',#5657,#5662,#1888,.T.); +#6588=EDGE_CURVE('',#5665,#5657,#547,.T.); +#6590=EDGE_CURVE('',#5670,#5665,#1908,.T.); +#6592=EDGE_CURVE('',#5670,#5662,#551,.T.); +#6596=EDGE_CURVE('',#5677,#5674,#1940,.T.); +#6598=EDGE_CURVE('',#5685,#5677,#555,.T.); +#6600=EDGE_CURVE('',#5682,#5685,#1960,.T.); +#6602=EDGE_CURVE('',#5682,#5674,#559,.T.); +#6606=EDGE_CURVE('',#5689,#5694,#2016,.T.); +#6608=EDGE_CURVE('',#5697,#5689,#563,.T.); +#6610=EDGE_CURVE('',#5702,#5697,#2036,.T.); +#6612=EDGE_CURVE('',#5702,#5694,#567,.T.); +#6616=EDGE_CURVE('',#5709,#5706,#2068,.T.); +#6618=EDGE_CURVE('',#5717,#5709,#571,.T.); +#6620=EDGE_CURVE('',#5714,#5717,#2088,.T.); +#6622=EDGE_CURVE('',#5714,#5706,#575,.T.); +#6626=EDGE_CURVE('',#5721,#5726,#2144,.T.); +#6628=EDGE_CURVE('',#5729,#5721,#579,.T.); +#6630=EDGE_CURVE('',#5734,#5729,#2164,.T.); +#6632=EDGE_CURVE('',#5734,#5726,#583,.T.); +#6636=EDGE_CURVE('',#5741,#5738,#2196,.T.); +#6638=EDGE_CURVE('',#5749,#5741,#587,.T.); +#6640=EDGE_CURVE('',#5746,#5749,#2216,.T.); +#6642=EDGE_CURVE('',#5746,#5738,#591,.T.); +#6646=EDGE_CURVE('',#5753,#5758,#2272,.T.); +#6648=EDGE_CURVE('',#5761,#5753,#595,.T.); +#6650=EDGE_CURVE('',#5766,#5761,#2292,.T.); +#6652=EDGE_CURVE('',#5766,#5758,#599,.T.); +#6656=EDGE_CURVE('',#5773,#5770,#2324,.T.); +#6658=EDGE_CURVE('',#5781,#5773,#603,.T.); +#6660=EDGE_CURVE('',#5778,#5781,#2344,.T.); +#6662=EDGE_CURVE('',#5778,#5770,#607,.T.); +#6666=EDGE_CURVE('',#5785,#5790,#2400,.T.); +#6668=EDGE_CURVE('',#5793,#5785,#611,.T.); +#6670=EDGE_CURVE('',#5798,#5793,#2420,.T.); +#6672=EDGE_CURVE('',#5798,#5790,#615,.T.); +#6676=EDGE_CURVE('',#5805,#5802,#2452,.T.); +#6678=EDGE_CURVE('',#5813,#5805,#619,.T.); +#6680=EDGE_CURVE('',#5810,#5813,#2472,.T.); +#6682=EDGE_CURVE('',#5810,#5802,#623,.T.); +#6686=EDGE_CURVE('',#5817,#5822,#2528,.T.); +#6688=EDGE_CURVE('',#5825,#5817,#627,.T.); +#6690=EDGE_CURVE('',#5830,#5825,#2548,.T.); +#6692=EDGE_CURVE('',#5830,#5822,#631,.T.); +#6696=EDGE_CURVE('',#5837,#5834,#2580,.T.); +#6698=EDGE_CURVE('',#5845,#5837,#635,.T.); +#6700=EDGE_CURVE('',#5842,#5845,#2600,.T.); +#6702=EDGE_CURVE('',#5842,#5834,#639,.T.); +#6706=EDGE_CURVE('',#5849,#5854,#2656,.T.); +#6708=EDGE_CURVE('',#5857,#5849,#643,.T.); +#6710=EDGE_CURVE('',#5862,#5857,#2676,.T.); +#6712=EDGE_CURVE('',#5862,#5854,#647,.T.); +#6716=EDGE_CURVE('',#5869,#5866,#2708,.T.); +#6718=EDGE_CURVE('',#5877,#5869,#651,.T.); +#6720=EDGE_CURVE('',#5874,#5877,#2728,.T.); +#6722=EDGE_CURVE('',#5874,#5866,#655,.T.); +#6726=EDGE_CURVE('',#5881,#5886,#2784,.T.); +#6728=EDGE_CURVE('',#5889,#5881,#659,.T.); +#6730=EDGE_CURVE('',#5894,#5889,#2804,.T.); +#6732=EDGE_CURVE('',#5894,#5886,#663,.T.); +#6736=EDGE_CURVE('',#5901,#5898,#2836,.T.); +#6738=EDGE_CURVE('',#5909,#5901,#667,.T.); +#6740=EDGE_CURVE('',#5906,#5909,#2856,.T.); +#6742=EDGE_CURVE('',#5906,#5898,#671,.T.); +#6746=EDGE_CURVE('',#5913,#5918,#2912,.T.); +#6748=EDGE_CURVE('',#5921,#5913,#675,.T.); +#6750=EDGE_CURVE('',#5926,#5921,#2932,.T.); +#6752=EDGE_CURVE('',#5926,#5918,#679,.T.); +#6756=EDGE_CURVE('',#5933,#5930,#2964,.T.); +#6758=EDGE_CURVE('',#5941,#5933,#683,.T.); +#6760=EDGE_CURVE('',#5938,#5941,#2984,.T.); +#6762=EDGE_CURVE('',#5938,#5930,#687,.T.); +#6766=EDGE_CURVE('',#5945,#5950,#3040,.T.); +#6768=EDGE_CURVE('',#5953,#5945,#691,.T.); +#6770=EDGE_CURVE('',#5958,#5953,#3060,.T.); +#6772=EDGE_CURVE('',#5958,#5950,#695,.T.); +#6776=EDGE_CURVE('',#5965,#5962,#3092,.T.); +#6778=EDGE_CURVE('',#5973,#5965,#699,.T.); +#6780=EDGE_CURVE('',#5970,#5973,#3112,.T.); +#6782=EDGE_CURVE('',#5970,#5962,#703,.T.); +#6786=EDGE_CURVE('',#5977,#5982,#3168,.T.); +#6788=EDGE_CURVE('',#5985,#5977,#707,.T.); +#6790=EDGE_CURVE('',#5990,#5985,#3188,.T.); +#6792=EDGE_CURVE('',#5990,#5982,#711,.T.); +#6796=EDGE_CURVE('',#5997,#5994,#3220,.T.); +#6798=EDGE_CURVE('',#6005,#5997,#715,.T.); +#6800=EDGE_CURVE('',#6002,#6005,#3240,.T.); +#6802=EDGE_CURVE('',#6002,#5994,#719,.T.); +#6806=EDGE_CURVE('',#6009,#6014,#3296,.T.); +#6808=EDGE_CURVE('',#6017,#6009,#723,.T.); +#6810=EDGE_CURVE('',#6022,#6017,#3316,.T.); +#6812=EDGE_CURVE('',#6022,#6014,#727,.T.); +#6816=EDGE_CURVE('',#6029,#6026,#3348,.T.); +#6818=EDGE_CURVE('',#6037,#6029,#731,.T.); +#6820=EDGE_CURVE('',#6034,#6037,#3368,.T.); +#6822=EDGE_CURVE('',#6034,#6026,#735,.T.); +#6826=EDGE_CURVE('',#6041,#6046,#3424,.T.); +#6828=EDGE_CURVE('',#6049,#6041,#739,.T.); +#6830=EDGE_CURVE('',#6054,#6049,#3444,.T.); +#6832=EDGE_CURVE('',#6054,#6046,#743,.T.); +#6836=ADVANCED_FACE('',(#6401,#6417,#6475,#6485,#6495,#6505,#6515,#6525,#6535, +#6545,#6555,#6565,#6575,#6585,#6595,#6605,#6615,#6625,#6635,#6645,#6655,#6665, +#6675,#6685,#6695,#6705,#6715,#6725,#6735,#6745,#6755,#6765,#6775,#6785,#6795, +#6805,#6815,#6825,#6835),#6380,.T.); +#6844=EDGE_CURVE('',#5177,#5178,#751,.T.); +#6846=EDGE_CURVE('',#5178,#5180,#755,.T.); +#6848=EDGE_CURVE('',#5180,#5190,#760,.T.); +#6852=ADVANCED_FACE('',(#6851),#6841,.F.); +#6859=EDGE_CURVE('',#5193,#5186,#765,.T.); +#6861=EDGE_CURVE('',#5186,#5183,#769,.T.); +#6863=EDGE_CURVE('',#5183,#5184,#773,.T.); +#6868=ADVANCED_FACE('',(#6867),#6857,.F.); +#6874=EDGE_CURVE('',#5477,#5478,#782,.T.); +#6876=EDGE_CURVE('',#5477,#5169,#825,.T.); +#6878=EDGE_CURVE('',#5169,#5178,#863,.T.); +#6884=ADVANCED_FACE('',(#6883),#6873,.T.); +#6890=EDGE_CURVE('',#4581,#5477,#790,.T.); +#6897=ADVANCED_FACE('',(#6896),#6889,.T.); +#6903=EDGE_CURVE('',#5474,#4582,#837,.T.); +#6905=EDGE_CURVE('',#5174,#5474,#803,.T.); +#6907=EDGE_CURVE('',#5173,#5174,#807,.T.); +#6909=EDGE_CURVE('',#5197,#5173,#812,.T.); +#6912=EDGE_CURVE('',#5170,#5198,#817,.T.); +#6914=EDGE_CURVE('',#5169,#5170,#821,.T.); +#6921=ADVANCED_FACE('',(#6920),#6902,.T.); +#6928=EDGE_CURVE('',#5473,#5474,#847,.T.); +#6934=ADVANCED_FACE('',(#6933),#6926,.T.); +#6943=EDGE_CURVE('',#5174,#5183,#851,.T.); +#6948=ADVANCED_FACE('',(#6947),#6939,.T.); +#6957=EDGE_CURVE('',#5173,#5186,#855,.T.); +#6961=ADVANCED_FACE('',(#6960),#6953,.T.); +#6973=ADVANCED_FACE('',(#6972),#6966,.F.); +#6981=EDGE_CURVE('',#5170,#5180,#859,.T.); +#6986=ADVANCED_FACE('',(#6985),#6978,.F.); +#6998=ADVANCED_FACE('',(#6997),#6991,.T.); +#7006=EDGE_CURVE('',#5152,#5158,#867,.T.); +#7011=ADVANCED_FACE('',(#7010),#7003,.F.); +#7020=EDGE_CURVE('',#5151,#5156,#871,.T.); +#7024=ADVANCED_FACE('',(#7023),#7016,.T.); +#7037=ADVANCED_FACE('',(#7036),#7029,.T.); +#7045=EDGE_CURVE('',#5137,#5142,#884,.T.); +#7050=ADVANCED_FACE('',(#7049),#7042,.F.); +#7062=ADVANCED_FACE('',(#7061),#7055,.T.); +#7069=EDGE_CURVE('',#4683,#4613,#888,.T.); +#7071=EDGE_CURVE('',#4613,#4614,#916,.T.); +#7073=EDGE_CURVE('',#4684,#4614,#912,.T.); +#7077=ADVANCED_FACE('',(#7076),#7067,.T.); +#7084=EDGE_CURVE('',#4694,#4624,#892,.T.); +#7086=EDGE_CURVE('',#4624,#4613,#920,.T.); +#7091=ADVANCED_FACE('',(#7090),#7082,.T.); +#7098=EDGE_CURVE('',#4692,#4622,#896,.T.); +#7100=EDGE_CURVE('',#4622,#4624,#924,.T.); +#7105=ADVANCED_FACE('',(#7104),#7096,.T.); +#7112=EDGE_CURVE('',#4690,#4620,#900,.T.); +#7114=EDGE_CURVE('',#4620,#4622,#928,.T.); +#7119=ADVANCED_FACE('',(#7118),#7110,.T.); +#7126=EDGE_CURVE('',#4688,#4618,#904,.T.); +#7128=EDGE_CURVE('',#4618,#4620,#932,.T.); +#7133=ADVANCED_FACE('',(#7132),#7124,.T.); +#7140=EDGE_CURVE('',#4686,#4616,#908,.T.); +#7142=EDGE_CURVE('',#4616,#4618,#936,.T.); +#7147=ADVANCED_FACE('',(#7146),#7138,.T.); +#7155=EDGE_CURVE('',#4614,#4616,#940,.T.); +#7160=ADVANCED_FACE('',(#7159),#7152,.T.); +#7175=ADVANCED_FACE('',(#7174),#7165,.T.); +#7181=EDGE_CURVE('',#4627,#4628,#944,.T.); +#7183=EDGE_CURVE('',#4680,#4627,#948,.T.); +#7185=EDGE_CURVE('',#4678,#4680,#952,.T.); +#7187=EDGE_CURVE('',#4676,#4678,#956,.T.); +#7189=EDGE_CURVE('',#4674,#4676,#960,.T.); +#7191=EDGE_CURVE('',#4672,#4674,#964,.T.); +#7193=EDGE_CURVE('',#4670,#4672,#968,.T.); +#7195=EDGE_CURVE('',#4668,#4670,#972,.T.); +#7197=EDGE_CURVE('',#4666,#4668,#976,.T.); +#7199=EDGE_CURVE('',#4664,#4666,#980,.T.); +#7201=EDGE_CURVE('',#4662,#4664,#984,.T.); +#7203=EDGE_CURVE('',#4660,#4662,#988,.T.); +#7205=EDGE_CURVE('',#4658,#4660,#992,.T.); +#7207=EDGE_CURVE('',#4656,#4658,#996,.T.); +#7209=EDGE_CURVE('',#4654,#4656,#1000,.T.); +#7211=EDGE_CURVE('',#4652,#4654,#1004,.T.); +#7213=EDGE_CURVE('',#4650,#4652,#1008,.T.); +#7215=EDGE_CURVE('',#4648,#4650,#1012,.T.); +#7217=EDGE_CURVE('',#4646,#4648,#1016,.T.); +#7219=EDGE_CURVE('',#4644,#4646,#1020,.T.); +#7221=EDGE_CURVE('',#4642,#4644,#1024,.T.); +#7223=EDGE_CURVE('',#4640,#4642,#1028,.T.); +#7225=EDGE_CURVE('',#4638,#4640,#1032,.T.); +#7227=EDGE_CURVE('',#4636,#4638,#1036,.T.); +#7229=EDGE_CURVE('',#4634,#4636,#1040,.T.); +#7231=EDGE_CURVE('',#4632,#4634,#1044,.T.); +#7233=EDGE_CURVE('',#4630,#4632,#1048,.T.); +#7235=EDGE_CURVE('',#4628,#4630,#1052,.T.); +#7239=ADVANCED_FACE('',(#7238),#7180,.T.); +#7246=EDGE_CURVE('',#4697,#4627,#1056,.T.); +#7249=EDGE_CURVE('',#4698,#4628,#1164,.T.); +#7253=ADVANCED_FACE('',(#7252),#7244,.T.); +#7260=EDGE_CURVE('',#4750,#4680,#1060,.T.); +#7266=ADVANCED_FACE('',(#7265),#7258,.T.); +#7273=EDGE_CURVE('',#4748,#4678,#1064,.T.); +#7279=ADVANCED_FACE('',(#7278),#7271,.T.); +#7286=EDGE_CURVE('',#4746,#4676,#1068,.T.); +#7292=ADVANCED_FACE('',(#7291),#7284,.T.); +#7299=EDGE_CURVE('',#4744,#4674,#1072,.T.); +#7305=ADVANCED_FACE('',(#7304),#7297,.T.); +#7312=EDGE_CURVE('',#4742,#4672,#1076,.T.); +#7318=ADVANCED_FACE('',(#7317),#7310,.T.); +#7325=EDGE_CURVE('',#4740,#4670,#1080,.T.); +#7331=ADVANCED_FACE('',(#7330),#7323,.T.); +#7338=EDGE_CURVE('',#4738,#4668,#1084,.T.); +#7344=ADVANCED_FACE('',(#7343),#7336,.T.); +#7351=EDGE_CURVE('',#4736,#4666,#1088,.T.); +#7357=ADVANCED_FACE('',(#7356),#7349,.T.); +#7364=EDGE_CURVE('',#4734,#4664,#1092,.T.); +#7370=ADVANCED_FACE('',(#7369),#7362,.T.); +#7377=EDGE_CURVE('',#4732,#4662,#1096,.T.); +#7383=ADVANCED_FACE('',(#7382),#7375,.T.); +#7390=EDGE_CURVE('',#4730,#4660,#1100,.T.); +#7396=ADVANCED_FACE('',(#7395),#7388,.T.); +#7403=EDGE_CURVE('',#4728,#4658,#1104,.T.); +#7409=ADVANCED_FACE('',(#7408),#7401,.T.); +#7416=EDGE_CURVE('',#4726,#4656,#1108,.T.); +#7422=ADVANCED_FACE('',(#7421),#7414,.T.); +#7429=EDGE_CURVE('',#4724,#4654,#1112,.T.); +#7435=ADVANCED_FACE('',(#7434),#7427,.T.); +#7442=EDGE_CURVE('',#4722,#4652,#1116,.T.); +#7448=ADVANCED_FACE('',(#7447),#7440,.T.); +#7455=EDGE_CURVE('',#4720,#4650,#1120,.T.); +#7461=ADVANCED_FACE('',(#7460),#7453,.T.); +#7468=EDGE_CURVE('',#4718,#4648,#1124,.T.); +#7474=ADVANCED_FACE('',(#7473),#7466,.T.); +#7481=EDGE_CURVE('',#4716,#4646,#1128,.T.); +#7487=ADVANCED_FACE('',(#7486),#7479,.T.); +#7494=EDGE_CURVE('',#4714,#4644,#1132,.T.); +#7500=ADVANCED_FACE('',(#7499),#7492,.T.); +#7507=EDGE_CURVE('',#4712,#4642,#1136,.T.); +#7513=ADVANCED_FACE('',(#7512),#7505,.T.); +#7520=EDGE_CURVE('',#4710,#4640,#1140,.T.); +#7526=ADVANCED_FACE('',(#7525),#7518,.T.); +#7533=EDGE_CURVE('',#4708,#4638,#1144,.T.); +#7539=ADVANCED_FACE('',(#7538),#7531,.T.); +#7546=EDGE_CURVE('',#4706,#4636,#1148,.T.); +#7552=ADVANCED_FACE('',(#7551),#7544,.T.); +#7559=EDGE_CURVE('',#4704,#4634,#1152,.T.); +#7565=ADVANCED_FACE('',(#7564),#7557,.T.); +#7572=EDGE_CURVE('',#4702,#4632,#1156,.T.); +#7578=ADVANCED_FACE('',(#7577),#7570,.T.); +#7585=EDGE_CURVE('',#4700,#4630,#1160,.T.); +#7591=ADVANCED_FACE('',(#7590),#7583,.T.); +#7603=ADVANCED_FACE('',(#7602),#7596,.T.); +#7609=EDGE_CURVE('',#5489,#4858,#1188,.T.); +#7611=EDGE_CURVE('',#5486,#5489,#1168,.T.); +#7614=EDGE_CURVE('',#5494,#5482,#1176,.T.); +#7616=EDGE_CURVE('',#5494,#4857,#1180,.T.); +#7618=EDGE_CURVE('',#4857,#4858,#1232,.T.); +#7622=ADVANCED_FACE('',(#7621),#7608,.T.); +#7628=EDGE_CURVE('',#5489,#5490,#1184,.T.); +#7631=EDGE_CURVE('',#4858,#4860,#1244,.T.); +#7633=EDGE_CURVE('',#5490,#4860,#1204,.T.); +#7637=ADVANCED_FACE('',(#7636),#7627,.T.); +#7646=EDGE_CURVE('',#5485,#5490,#1200,.T.); +#7650=ADVANCED_FACE('',(#7649),#7642,.T.); +#7656=EDGE_CURVE('',#5493,#4862,#1212,.T.); +#7658=EDGE_CURVE('',#5493,#5481,#1192,.T.); +#7663=EDGE_CURVE('',#4860,#4862,#1240,.T.); +#7667=ADVANCED_FACE('',(#7666),#7655,.T.); +#7673=EDGE_CURVE('',#5493,#5494,#1208,.T.); +#7676=EDGE_CURVE('',#4862,#4857,#1236,.T.); +#7681=ADVANCED_FACE('',(#7680),#7672,.T.); +#7693=ADVANCED_FACE('',(#7692),#7686,.T.); +#7699=EDGE_CURVE('',#4849,#4850,#1216,.T.); +#7701=EDGE_CURVE('',#4854,#4849,#1220,.T.); +#7703=EDGE_CURVE('',#4852,#4854,#1224,.T.); +#7705=EDGE_CURVE('',#4850,#4852,#1228,.T.); +#7709=ADVANCED_FACE('',(#7708),#7698,.T.); +#7721=ADVANCED_FACE('',(#7720),#7714,.T.); +#7727=EDGE_CURVE('',#5505,#5506,#1248,.T.); +#7729=EDGE_CURVE('',#5505,#4849,#1252,.T.); +#7732=EDGE_CURVE('',#5506,#4850,#1284,.T.); +#7736=ADVANCED_FACE('',(#7735),#7726,.T.); +#7743=EDGE_CURVE('',#5498,#5505,#1256,.T.); +#7746=EDGE_CURVE('',#5497,#5506,#1280,.T.); +#7750=ADVANCED_FACE('',(#7749),#7741,.T.); +#7759=EDGE_CURVE('',#5510,#5502,#1264,.T.); +#7761=EDGE_CURVE('',#5510,#4854,#1268,.T.); +#7766=ADVANCED_FACE('',(#7765),#7755,.T.); +#7773=EDGE_CURVE('',#5509,#5501,#1272,.T.); +#7775=EDGE_CURVE('',#5509,#5510,#1288,.T.); +#7780=ADVANCED_FACE('',(#7779),#7771,.T.); +#7786=EDGE_CURVE('',#5509,#4852,#1292,.T.); +#7795=ADVANCED_FACE('',(#7794),#7785,.T.); +#7807=ADVANCED_FACE('',(#7806),#7800,.T.); +#7813=EDGE_CURVE('',#5518,#4874,#1316,.T.); +#7815=EDGE_CURVE('',#5517,#5518,#1296,.T.); +#7818=EDGE_CURVE('',#5513,#5514,#1304,.T.); +#7820=EDGE_CURVE('',#5513,#4873,#1308,.T.); +#7822=EDGE_CURVE('',#4873,#4874,#1352,.T.); +#7826=ADVANCED_FACE('',(#7825),#7812,.T.); +#7832=EDGE_CURVE('',#5518,#5526,#1312,.T.); +#7835=EDGE_CURVE('',#4874,#4876,#1364,.T.); +#7837=EDGE_CURVE('',#5526,#4876,#1328,.T.); +#7841=ADVANCED_FACE('',(#7840),#7831,.T.); +#7849=EDGE_CURVE('',#5525,#5526,#1324,.T.); +#7854=ADVANCED_FACE('',(#7853),#7846,.T.); +#7863=EDGE_CURVE('',#4876,#4878,#1360,.T.); +#7865=EDGE_CURVE('',#5521,#4878,#1420,.T.); +#7867=EDGE_CURVE('',#5521,#5522,#1332,.T.); +#7871=ADVANCED_FACE('',(#7870),#7859,.T.); +#7877=EDGE_CURVE('',#4865,#4866,#1336,.T.); +#7879=EDGE_CURVE('',#4870,#4865,#1340,.T.); +#7881=EDGE_CURVE('',#4868,#4870,#1344,.T.); +#7883=EDGE_CURVE('',#4866,#4868,#1348,.T.); +#7887=ADVANCED_FACE('',(#7886),#7876,.T.); +#7894=EDGE_CURVE('',#4878,#4873,#1356,.T.); +#7900=ADVANCED_FACE('',(#7899),#7892,.T.); +#7906=EDGE_CURVE('',#5530,#5538,#1368,.T.); +#7908=EDGE_CURVE('',#5530,#4865,#1372,.T.); +#7911=EDGE_CURVE('',#5538,#4866,#1404,.T.); +#7915=ADVANCED_FACE('',(#7914),#7905,.T.); +#7921=EDGE_CURVE('',#5529,#5530,#1388,.T.); +#7924=EDGE_CURVE('',#5537,#5538,#1400,.T.); +#7929=ADVANCED_FACE('',(#7928),#7920,.T.); +#7936=EDGE_CURVE('',#5533,#5534,#1380,.T.); +#7938=EDGE_CURVE('',#5533,#4870,#1384,.T.); +#7945=ADVANCED_FACE('',(#7944),#7934,.T.); +#7953=EDGE_CURVE('',#5541,#5542,#1392,.T.); +#7955=EDGE_CURVE('',#5541,#5533,#1408,.T.); +#7959=ADVANCED_FACE('',(#7958),#7950,.T.); +#7965=EDGE_CURVE('',#5541,#4868,#1412,.T.); +#7974=ADVANCED_FACE('',(#7973),#7964,.T.); +#7986=ADVANCED_FACE('',(#7985),#7979,.T.); +#7992=EDGE_CURVE('',#5521,#5513,#1416,.T.); +#7999=ADVANCED_FACE('',(#7998),#7991,.T.); +#8011=ADVANCED_FACE('',(#8010),#8004,.T.); +#8017=EDGE_CURVE('',#5550,#4890,#1444,.T.); +#8019=EDGE_CURVE('',#5549,#5550,#1424,.T.); +#8022=EDGE_CURVE('',#5545,#5546,#1432,.T.); +#8024=EDGE_CURVE('',#5545,#4889,#1436,.T.); +#8026=EDGE_CURVE('',#4889,#4890,#1480,.T.); +#8030=ADVANCED_FACE('',(#8029),#8016,.T.); +#8036=EDGE_CURVE('',#5550,#5558,#1440,.T.); +#8039=EDGE_CURVE('',#4890,#4892,#1492,.T.); +#8041=EDGE_CURVE('',#5558,#4892,#1456,.T.); +#8045=ADVANCED_FACE('',(#8044),#8035,.T.); +#8053=EDGE_CURVE('',#5557,#5558,#1452,.T.); +#8058=ADVANCED_FACE('',(#8057),#8050,.T.); +#8067=EDGE_CURVE('',#4892,#4894,#1488,.T.); +#8069=EDGE_CURVE('',#5553,#4894,#1548,.T.); +#8071=EDGE_CURVE('',#5553,#5554,#1460,.T.); +#8075=ADVANCED_FACE('',(#8074),#8063,.T.); +#8081=EDGE_CURVE('',#4881,#4882,#1464,.T.); +#8083=EDGE_CURVE('',#4886,#4881,#1468,.T.); +#8085=EDGE_CURVE('',#4884,#4886,#1472,.T.); +#8087=EDGE_CURVE('',#4882,#4884,#1476,.T.); +#8091=ADVANCED_FACE('',(#8090),#8080,.T.); +#8098=EDGE_CURVE('',#4894,#4889,#1484,.T.); +#8104=ADVANCED_FACE('',(#8103),#8096,.T.); +#8110=EDGE_CURVE('',#5562,#5570,#1496,.T.); +#8112=EDGE_CURVE('',#5562,#4881,#1500,.T.); +#8115=EDGE_CURVE('',#5570,#4882,#1532,.T.); +#8119=ADVANCED_FACE('',(#8118),#8109,.T.); +#8125=EDGE_CURVE('',#5561,#5562,#1516,.T.); +#8128=EDGE_CURVE('',#5569,#5570,#1528,.T.); +#8133=ADVANCED_FACE('',(#8132),#8124,.T.); +#8140=EDGE_CURVE('',#5565,#5566,#1508,.T.); +#8142=EDGE_CURVE('',#5565,#4886,#1512,.T.); +#8149=ADVANCED_FACE('',(#8148),#8138,.T.); +#8157=EDGE_CURVE('',#5573,#5574,#1520,.T.); +#8159=EDGE_CURVE('',#5573,#5565,#1536,.T.); +#8163=ADVANCED_FACE('',(#8162),#8154,.T.); +#8169=EDGE_CURVE('',#5573,#4884,#1540,.T.); +#8178=ADVANCED_FACE('',(#8177),#8168,.T.); +#8190=ADVANCED_FACE('',(#8189),#8183,.T.); +#8196=EDGE_CURVE('',#5553,#5545,#1544,.T.); +#8203=ADVANCED_FACE('',(#8202),#8195,.T.); +#8215=ADVANCED_FACE('',(#8214),#8208,.T.); +#8221=EDGE_CURVE('',#5582,#4906,#1572,.T.); +#8223=EDGE_CURVE('',#5581,#5582,#1552,.T.); +#8226=EDGE_CURVE('',#5577,#5578,#1560,.T.); +#8228=EDGE_CURVE('',#5577,#4905,#1564,.T.); +#8230=EDGE_CURVE('',#4905,#4906,#1608,.T.); +#8234=ADVANCED_FACE('',(#8233),#8220,.T.); +#8240=EDGE_CURVE('',#5582,#5590,#1568,.T.); +#8243=EDGE_CURVE('',#4906,#4908,#1620,.T.); +#8245=EDGE_CURVE('',#5590,#4908,#1584,.T.); +#8249=ADVANCED_FACE('',(#8248),#8239,.T.); +#8257=EDGE_CURVE('',#5589,#5590,#1580,.T.); +#8262=ADVANCED_FACE('',(#8261),#8254,.T.); +#8271=EDGE_CURVE('',#4908,#4910,#1616,.T.); +#8273=EDGE_CURVE('',#5585,#4910,#1676,.T.); +#8275=EDGE_CURVE('',#5585,#5586,#1588,.T.); +#8279=ADVANCED_FACE('',(#8278),#8267,.T.); +#8285=EDGE_CURVE('',#4897,#4898,#1592,.T.); +#8287=EDGE_CURVE('',#4902,#4897,#1596,.T.); +#8289=EDGE_CURVE('',#4900,#4902,#1600,.T.); +#8291=EDGE_CURVE('',#4898,#4900,#1604,.T.); +#8295=ADVANCED_FACE('',(#8294),#8284,.T.); +#8302=EDGE_CURVE('',#4910,#4905,#1612,.T.); +#8308=ADVANCED_FACE('',(#8307),#8300,.T.); +#8314=EDGE_CURVE('',#5594,#5602,#1624,.T.); +#8316=EDGE_CURVE('',#5594,#4897,#1628,.T.); +#8319=EDGE_CURVE('',#5602,#4898,#1660,.T.); +#8323=ADVANCED_FACE('',(#8322),#8313,.T.); +#8329=EDGE_CURVE('',#5593,#5594,#1644,.T.); +#8332=EDGE_CURVE('',#5601,#5602,#1656,.T.); +#8337=ADVANCED_FACE('',(#8336),#8328,.T.); +#8344=EDGE_CURVE('',#5597,#5598,#1636,.T.); +#8346=EDGE_CURVE('',#5597,#4902,#1640,.T.); +#8353=ADVANCED_FACE('',(#8352),#8342,.T.); +#8361=EDGE_CURVE('',#5605,#5606,#1648,.T.); +#8363=EDGE_CURVE('',#5605,#5597,#1664,.T.); +#8367=ADVANCED_FACE('',(#8366),#8358,.T.); +#8373=EDGE_CURVE('',#5605,#4900,#1668,.T.); +#8382=ADVANCED_FACE('',(#8381),#8372,.T.); +#8394=ADVANCED_FACE('',(#8393),#8387,.T.); +#8400=EDGE_CURVE('',#5585,#5577,#1672,.T.); +#8407=ADVANCED_FACE('',(#8406),#8399,.T.); +#8419=ADVANCED_FACE('',(#8418),#8412,.T.); +#8425=EDGE_CURVE('',#5614,#4922,#1700,.T.); +#8427=EDGE_CURVE('',#5613,#5614,#1680,.T.); +#8430=EDGE_CURVE('',#5609,#5610,#1688,.T.); +#8432=EDGE_CURVE('',#5609,#4921,#1692,.T.); +#8434=EDGE_CURVE('',#4921,#4922,#1736,.T.); +#8438=ADVANCED_FACE('',(#8437),#8424,.T.); +#8444=EDGE_CURVE('',#5614,#5622,#1696,.T.); +#8447=EDGE_CURVE('',#4922,#4924,#1748,.T.); +#8449=EDGE_CURVE('',#5622,#4924,#1712,.T.); +#8453=ADVANCED_FACE('',(#8452),#8443,.T.); +#8461=EDGE_CURVE('',#5621,#5622,#1708,.T.); +#8466=ADVANCED_FACE('',(#8465),#8458,.T.); +#8475=EDGE_CURVE('',#4924,#4926,#1744,.T.); +#8477=EDGE_CURVE('',#5617,#4926,#1804,.T.); +#8479=EDGE_CURVE('',#5617,#5618,#1716,.T.); +#8483=ADVANCED_FACE('',(#8482),#8471,.T.); +#8489=EDGE_CURVE('',#4913,#4914,#1720,.T.); +#8491=EDGE_CURVE('',#4918,#4913,#1724,.T.); +#8493=EDGE_CURVE('',#4916,#4918,#1728,.T.); +#8495=EDGE_CURVE('',#4914,#4916,#1732,.T.); +#8499=ADVANCED_FACE('',(#8498),#8488,.T.); +#8506=EDGE_CURVE('',#4926,#4921,#1740,.T.); +#8512=ADVANCED_FACE('',(#8511),#8504,.T.); +#8518=EDGE_CURVE('',#5626,#5634,#1752,.T.); +#8520=EDGE_CURVE('',#5626,#4913,#1756,.T.); +#8523=EDGE_CURVE('',#5634,#4914,#1788,.T.); +#8527=ADVANCED_FACE('',(#8526),#8517,.T.); +#8533=EDGE_CURVE('',#5625,#5626,#1772,.T.); +#8536=EDGE_CURVE('',#5633,#5634,#1784,.T.); +#8541=ADVANCED_FACE('',(#8540),#8532,.T.); +#8548=EDGE_CURVE('',#5629,#5630,#1764,.T.); +#8550=EDGE_CURVE('',#5629,#4918,#1768,.T.); +#8557=ADVANCED_FACE('',(#8556),#8546,.T.); +#8565=EDGE_CURVE('',#5637,#5638,#1776,.T.); +#8567=EDGE_CURVE('',#5637,#5629,#1792,.T.); +#8571=ADVANCED_FACE('',(#8570),#8562,.T.); +#8577=EDGE_CURVE('',#5637,#4916,#1796,.T.); +#8586=ADVANCED_FACE('',(#8585),#8576,.T.); +#8598=ADVANCED_FACE('',(#8597),#8591,.T.); +#8604=EDGE_CURVE('',#5617,#5609,#1800,.T.); +#8611=ADVANCED_FACE('',(#8610),#8603,.T.); +#8623=ADVANCED_FACE('',(#8622),#8616,.T.); +#8629=EDGE_CURVE('',#5646,#4938,#1828,.T.); +#8631=EDGE_CURVE('',#5645,#5646,#1808,.T.); +#8634=EDGE_CURVE('',#5641,#5642,#1816,.T.); +#8636=EDGE_CURVE('',#5641,#4937,#1820,.T.); +#8638=EDGE_CURVE('',#4937,#4938,#1864,.T.); +#8642=ADVANCED_FACE('',(#8641),#8628,.T.); +#8648=EDGE_CURVE('',#5646,#5654,#1824,.T.); +#8651=EDGE_CURVE('',#4938,#4940,#1876,.T.); +#8653=EDGE_CURVE('',#5654,#4940,#1840,.T.); +#8657=ADVANCED_FACE('',(#8656),#8647,.T.); +#8665=EDGE_CURVE('',#5653,#5654,#1836,.T.); +#8670=ADVANCED_FACE('',(#8669),#8662,.T.); +#8679=EDGE_CURVE('',#4940,#4942,#1872,.T.); +#8681=EDGE_CURVE('',#5649,#4942,#1932,.T.); +#8683=EDGE_CURVE('',#5649,#5650,#1844,.T.); +#8687=ADVANCED_FACE('',(#8686),#8675,.T.); +#8693=EDGE_CURVE('',#4929,#4930,#1848,.T.); +#8695=EDGE_CURVE('',#4934,#4929,#1852,.T.); +#8697=EDGE_CURVE('',#4932,#4934,#1856,.T.); +#8699=EDGE_CURVE('',#4930,#4932,#1860,.T.); +#8703=ADVANCED_FACE('',(#8702),#8692,.T.); +#8710=EDGE_CURVE('',#4942,#4937,#1868,.T.); +#8716=ADVANCED_FACE('',(#8715),#8708,.T.); +#8722=EDGE_CURVE('',#5658,#5666,#1880,.T.); +#8724=EDGE_CURVE('',#5658,#4929,#1884,.T.); +#8727=EDGE_CURVE('',#5666,#4930,#1916,.T.); +#8731=ADVANCED_FACE('',(#8730),#8721,.T.); +#8737=EDGE_CURVE('',#5657,#5658,#1900,.T.); +#8740=EDGE_CURVE('',#5665,#5666,#1912,.T.); +#8745=ADVANCED_FACE('',(#8744),#8736,.T.); +#8752=EDGE_CURVE('',#5661,#5662,#1892,.T.); +#8754=EDGE_CURVE('',#5661,#4934,#1896,.T.); +#8761=ADVANCED_FACE('',(#8760),#8750,.T.); +#8769=EDGE_CURVE('',#5669,#5670,#1904,.T.); +#8771=EDGE_CURVE('',#5669,#5661,#1920,.T.); +#8775=ADVANCED_FACE('',(#8774),#8766,.T.); +#8781=EDGE_CURVE('',#5669,#4932,#1924,.T.); +#8790=ADVANCED_FACE('',(#8789),#8780,.T.); +#8802=ADVANCED_FACE('',(#8801),#8795,.T.); +#8808=EDGE_CURVE('',#5649,#5641,#1928,.T.); +#8815=ADVANCED_FACE('',(#8814),#8807,.T.); +#8827=ADVANCED_FACE('',(#8826),#8820,.T.); +#8833=EDGE_CURVE('',#5678,#4954,#1956,.T.); +#8835=EDGE_CURVE('',#5677,#5678,#1936,.T.); +#8838=EDGE_CURVE('',#5673,#5674,#1944,.T.); +#8840=EDGE_CURVE('',#5673,#4953,#1948,.T.); +#8842=EDGE_CURVE('',#4953,#4954,#1992,.T.); +#8846=ADVANCED_FACE('',(#8845),#8832,.T.); +#8852=EDGE_CURVE('',#5678,#5686,#1952,.T.); +#8855=EDGE_CURVE('',#4954,#4956,#2004,.T.); +#8857=EDGE_CURVE('',#5686,#4956,#1968,.T.); +#8861=ADVANCED_FACE('',(#8860),#8851,.T.); +#8869=EDGE_CURVE('',#5685,#5686,#1964,.T.); +#8874=ADVANCED_FACE('',(#8873),#8866,.T.); +#8883=EDGE_CURVE('',#4956,#4958,#2000,.T.); +#8885=EDGE_CURVE('',#5681,#4958,#2060,.T.); +#8887=EDGE_CURVE('',#5681,#5682,#1972,.T.); +#8891=ADVANCED_FACE('',(#8890),#8879,.T.); +#8897=EDGE_CURVE('',#4945,#4946,#1976,.T.); +#8899=EDGE_CURVE('',#4950,#4945,#1980,.T.); +#8901=EDGE_CURVE('',#4948,#4950,#1984,.T.); +#8903=EDGE_CURVE('',#4946,#4948,#1988,.T.); +#8907=ADVANCED_FACE('',(#8906),#8896,.T.); +#8914=EDGE_CURVE('',#4958,#4953,#1996,.T.); +#8920=ADVANCED_FACE('',(#8919),#8912,.T.); +#8926=EDGE_CURVE('',#5690,#5698,#2008,.T.); +#8928=EDGE_CURVE('',#5690,#4945,#2012,.T.); +#8931=EDGE_CURVE('',#5698,#4946,#2044,.T.); +#8935=ADVANCED_FACE('',(#8934),#8925,.T.); +#8941=EDGE_CURVE('',#5689,#5690,#2028,.T.); +#8944=EDGE_CURVE('',#5697,#5698,#2040,.T.); +#8949=ADVANCED_FACE('',(#8948),#8940,.T.); +#8956=EDGE_CURVE('',#5693,#5694,#2020,.T.); +#8958=EDGE_CURVE('',#5693,#4950,#2024,.T.); +#8965=ADVANCED_FACE('',(#8964),#8954,.T.); +#8973=EDGE_CURVE('',#5701,#5702,#2032,.T.); +#8975=EDGE_CURVE('',#5701,#5693,#2048,.T.); +#8979=ADVANCED_FACE('',(#8978),#8970,.T.); +#8985=EDGE_CURVE('',#5701,#4948,#2052,.T.); +#8994=ADVANCED_FACE('',(#8993),#8984,.T.); +#9006=ADVANCED_FACE('',(#9005),#8999,.T.); +#9012=EDGE_CURVE('',#5681,#5673,#2056,.T.); +#9019=ADVANCED_FACE('',(#9018),#9011,.T.); +#9031=ADVANCED_FACE('',(#9030),#9024,.T.); +#9037=EDGE_CURVE('',#5710,#4970,#2084,.T.); +#9039=EDGE_CURVE('',#5709,#5710,#2064,.T.); +#9042=EDGE_CURVE('',#5705,#5706,#2072,.T.); +#9044=EDGE_CURVE('',#5705,#4969,#2076,.T.); +#9046=EDGE_CURVE('',#4969,#4970,#2120,.T.); +#9050=ADVANCED_FACE('',(#9049),#9036,.T.); +#9056=EDGE_CURVE('',#5710,#5718,#2080,.T.); +#9059=EDGE_CURVE('',#4970,#4972,#2132,.T.); +#9061=EDGE_CURVE('',#5718,#4972,#2096,.T.); +#9065=ADVANCED_FACE('',(#9064),#9055,.T.); +#9073=EDGE_CURVE('',#5717,#5718,#2092,.T.); +#9078=ADVANCED_FACE('',(#9077),#9070,.T.); +#9087=EDGE_CURVE('',#4972,#4974,#2128,.T.); +#9089=EDGE_CURVE('',#5713,#4974,#2188,.T.); +#9091=EDGE_CURVE('',#5713,#5714,#2100,.T.); +#9095=ADVANCED_FACE('',(#9094),#9083,.T.); +#9101=EDGE_CURVE('',#4961,#4962,#2104,.T.); +#9103=EDGE_CURVE('',#4966,#4961,#2108,.T.); +#9105=EDGE_CURVE('',#4964,#4966,#2112,.T.); +#9107=EDGE_CURVE('',#4962,#4964,#2116,.T.); +#9111=ADVANCED_FACE('',(#9110),#9100,.T.); +#9118=EDGE_CURVE('',#4974,#4969,#2124,.T.); +#9124=ADVANCED_FACE('',(#9123),#9116,.T.); +#9130=EDGE_CURVE('',#5722,#5730,#2136,.T.); +#9132=EDGE_CURVE('',#5722,#4961,#2140,.T.); +#9135=EDGE_CURVE('',#5730,#4962,#2172,.T.); +#9139=ADVANCED_FACE('',(#9138),#9129,.T.); +#9145=EDGE_CURVE('',#5721,#5722,#2156,.T.); +#9148=EDGE_CURVE('',#5729,#5730,#2168,.T.); +#9153=ADVANCED_FACE('',(#9152),#9144,.T.); +#9160=EDGE_CURVE('',#5725,#5726,#2148,.T.); +#9162=EDGE_CURVE('',#5725,#4966,#2152,.T.); +#9169=ADVANCED_FACE('',(#9168),#9158,.T.); +#9177=EDGE_CURVE('',#5733,#5734,#2160,.T.); +#9179=EDGE_CURVE('',#5733,#5725,#2176,.T.); +#9183=ADVANCED_FACE('',(#9182),#9174,.T.); +#9189=EDGE_CURVE('',#5733,#4964,#2180,.T.); +#9198=ADVANCED_FACE('',(#9197),#9188,.T.); +#9210=ADVANCED_FACE('',(#9209),#9203,.T.); +#9216=EDGE_CURVE('',#5713,#5705,#2184,.T.); +#9223=ADVANCED_FACE('',(#9222),#9215,.T.); +#9235=ADVANCED_FACE('',(#9234),#9228,.T.); +#9241=EDGE_CURVE('',#5742,#4986,#2212,.T.); +#9243=EDGE_CURVE('',#5741,#5742,#2192,.T.); +#9246=EDGE_CURVE('',#5737,#5738,#2200,.T.); +#9248=EDGE_CURVE('',#5737,#4985,#2204,.T.); +#9250=EDGE_CURVE('',#4985,#4986,#2248,.T.); +#9254=ADVANCED_FACE('',(#9253),#9240,.T.); +#9260=EDGE_CURVE('',#5742,#5750,#2208,.T.); +#9263=EDGE_CURVE('',#4986,#4988,#2260,.T.); +#9265=EDGE_CURVE('',#5750,#4988,#2224,.T.); +#9269=ADVANCED_FACE('',(#9268),#9259,.T.); +#9277=EDGE_CURVE('',#5749,#5750,#2220,.T.); +#9282=ADVANCED_FACE('',(#9281),#9274,.T.); +#9291=EDGE_CURVE('',#4988,#4990,#2256,.T.); +#9293=EDGE_CURVE('',#5745,#4990,#2316,.T.); +#9295=EDGE_CURVE('',#5745,#5746,#2228,.T.); +#9299=ADVANCED_FACE('',(#9298),#9287,.T.); +#9305=EDGE_CURVE('',#4977,#4978,#2232,.T.); +#9307=EDGE_CURVE('',#4982,#4977,#2236,.T.); +#9309=EDGE_CURVE('',#4980,#4982,#2240,.T.); +#9311=EDGE_CURVE('',#4978,#4980,#2244,.T.); +#9315=ADVANCED_FACE('',(#9314),#9304,.T.); +#9322=EDGE_CURVE('',#4990,#4985,#2252,.T.); +#9328=ADVANCED_FACE('',(#9327),#9320,.T.); +#9334=EDGE_CURVE('',#5754,#5762,#2264,.T.); +#9336=EDGE_CURVE('',#5754,#4977,#2268,.T.); +#9339=EDGE_CURVE('',#5762,#4978,#2300,.T.); +#9343=ADVANCED_FACE('',(#9342),#9333,.T.); +#9349=EDGE_CURVE('',#5753,#5754,#2284,.T.); +#9352=EDGE_CURVE('',#5761,#5762,#2296,.T.); +#9357=ADVANCED_FACE('',(#9356),#9348,.T.); +#9364=EDGE_CURVE('',#5757,#5758,#2276,.T.); +#9366=EDGE_CURVE('',#5757,#4982,#2280,.T.); +#9373=ADVANCED_FACE('',(#9372),#9362,.T.); +#9381=EDGE_CURVE('',#5765,#5766,#2288,.T.); +#9383=EDGE_CURVE('',#5765,#5757,#2304,.T.); +#9387=ADVANCED_FACE('',(#9386),#9378,.T.); +#9393=EDGE_CURVE('',#5765,#4980,#2308,.T.); +#9402=ADVANCED_FACE('',(#9401),#9392,.T.); +#9414=ADVANCED_FACE('',(#9413),#9407,.T.); +#9420=EDGE_CURVE('',#5745,#5737,#2312,.T.); +#9427=ADVANCED_FACE('',(#9426),#9419,.T.); +#9439=ADVANCED_FACE('',(#9438),#9432,.T.); +#9445=EDGE_CURVE('',#5774,#5002,#2340,.T.); +#9447=EDGE_CURVE('',#5773,#5774,#2320,.T.); +#9450=EDGE_CURVE('',#5769,#5770,#2328,.T.); +#9452=EDGE_CURVE('',#5769,#5001,#2332,.T.); +#9454=EDGE_CURVE('',#5001,#5002,#2376,.T.); +#9458=ADVANCED_FACE('',(#9457),#9444,.T.); +#9464=EDGE_CURVE('',#5774,#5782,#2336,.T.); +#9467=EDGE_CURVE('',#5002,#5004,#2388,.T.); +#9469=EDGE_CURVE('',#5782,#5004,#2352,.T.); +#9473=ADVANCED_FACE('',(#9472),#9463,.T.); +#9481=EDGE_CURVE('',#5781,#5782,#2348,.T.); +#9486=ADVANCED_FACE('',(#9485),#9478,.T.); +#9495=EDGE_CURVE('',#5004,#5006,#2384,.T.); +#9497=EDGE_CURVE('',#5777,#5006,#2444,.T.); +#9499=EDGE_CURVE('',#5777,#5778,#2356,.T.); +#9503=ADVANCED_FACE('',(#9502),#9491,.T.); +#9509=EDGE_CURVE('',#4993,#4994,#2360,.T.); +#9511=EDGE_CURVE('',#4998,#4993,#2364,.T.); +#9513=EDGE_CURVE('',#4996,#4998,#2368,.T.); +#9515=EDGE_CURVE('',#4994,#4996,#2372,.T.); +#9519=ADVANCED_FACE('',(#9518),#9508,.T.); +#9526=EDGE_CURVE('',#5006,#5001,#2380,.T.); +#9532=ADVANCED_FACE('',(#9531),#9524,.T.); +#9538=EDGE_CURVE('',#5786,#5794,#2392,.T.); +#9540=EDGE_CURVE('',#5786,#4993,#2396,.T.); +#9543=EDGE_CURVE('',#5794,#4994,#2428,.T.); +#9547=ADVANCED_FACE('',(#9546),#9537,.T.); +#9553=EDGE_CURVE('',#5785,#5786,#2412,.T.); +#9556=EDGE_CURVE('',#5793,#5794,#2424,.T.); +#9561=ADVANCED_FACE('',(#9560),#9552,.T.); +#9568=EDGE_CURVE('',#5789,#5790,#2404,.T.); +#9570=EDGE_CURVE('',#5789,#4998,#2408,.T.); +#9577=ADVANCED_FACE('',(#9576),#9566,.T.); +#9585=EDGE_CURVE('',#5797,#5798,#2416,.T.); +#9587=EDGE_CURVE('',#5797,#5789,#2432,.T.); +#9591=ADVANCED_FACE('',(#9590),#9582,.T.); +#9597=EDGE_CURVE('',#5797,#4996,#2436,.T.); +#9606=ADVANCED_FACE('',(#9605),#9596,.T.); +#9618=ADVANCED_FACE('',(#9617),#9611,.T.); +#9624=EDGE_CURVE('',#5777,#5769,#2440,.T.); +#9631=ADVANCED_FACE('',(#9630),#9623,.T.); +#9643=ADVANCED_FACE('',(#9642),#9636,.T.); +#9649=EDGE_CURVE('',#5806,#5018,#2468,.T.); +#9651=EDGE_CURVE('',#5805,#5806,#2448,.T.); +#9654=EDGE_CURVE('',#5801,#5802,#2456,.T.); +#9656=EDGE_CURVE('',#5801,#5017,#2460,.T.); +#9658=EDGE_CURVE('',#5017,#5018,#2504,.T.); +#9662=ADVANCED_FACE('',(#9661),#9648,.T.); +#9668=EDGE_CURVE('',#5806,#5814,#2464,.T.); +#9671=EDGE_CURVE('',#5018,#5020,#2516,.T.); +#9673=EDGE_CURVE('',#5814,#5020,#2480,.T.); +#9677=ADVANCED_FACE('',(#9676),#9667,.T.); +#9685=EDGE_CURVE('',#5813,#5814,#2476,.T.); +#9690=ADVANCED_FACE('',(#9689),#9682,.T.); +#9699=EDGE_CURVE('',#5020,#5022,#2512,.T.); +#9701=EDGE_CURVE('',#5809,#5022,#2572,.T.); +#9703=EDGE_CURVE('',#5809,#5810,#2484,.T.); +#9707=ADVANCED_FACE('',(#9706),#9695,.T.); +#9713=EDGE_CURVE('',#5009,#5010,#2488,.T.); +#9715=EDGE_CURVE('',#5014,#5009,#2492,.T.); +#9717=EDGE_CURVE('',#5012,#5014,#2496,.T.); +#9719=EDGE_CURVE('',#5010,#5012,#2500,.T.); +#9723=ADVANCED_FACE('',(#9722),#9712,.T.); +#9730=EDGE_CURVE('',#5022,#5017,#2508,.T.); +#9736=ADVANCED_FACE('',(#9735),#9728,.T.); +#9742=EDGE_CURVE('',#5818,#5826,#2520,.T.); +#9744=EDGE_CURVE('',#5818,#5009,#2524,.T.); +#9747=EDGE_CURVE('',#5826,#5010,#2556,.T.); +#9751=ADVANCED_FACE('',(#9750),#9741,.T.); +#9757=EDGE_CURVE('',#5817,#5818,#2540,.T.); +#9760=EDGE_CURVE('',#5825,#5826,#2552,.T.); +#9765=ADVANCED_FACE('',(#9764),#9756,.T.); +#9772=EDGE_CURVE('',#5821,#5822,#2532,.T.); +#9774=EDGE_CURVE('',#5821,#5014,#2536,.T.); +#9781=ADVANCED_FACE('',(#9780),#9770,.T.); +#9789=EDGE_CURVE('',#5829,#5830,#2544,.T.); +#9791=EDGE_CURVE('',#5829,#5821,#2560,.T.); +#9795=ADVANCED_FACE('',(#9794),#9786,.T.); +#9801=EDGE_CURVE('',#5829,#5012,#2564,.T.); +#9810=ADVANCED_FACE('',(#9809),#9800,.T.); +#9822=ADVANCED_FACE('',(#9821),#9815,.T.); +#9828=EDGE_CURVE('',#5809,#5801,#2568,.T.); +#9835=ADVANCED_FACE('',(#9834),#9827,.T.); +#9847=ADVANCED_FACE('',(#9846),#9840,.T.); +#9853=EDGE_CURVE('',#5838,#5034,#2596,.T.); +#9855=EDGE_CURVE('',#5837,#5838,#2576,.T.); +#9858=EDGE_CURVE('',#5833,#5834,#2584,.T.); +#9860=EDGE_CURVE('',#5833,#5033,#2588,.T.); +#9862=EDGE_CURVE('',#5033,#5034,#2632,.T.); +#9866=ADVANCED_FACE('',(#9865),#9852,.T.); +#9872=EDGE_CURVE('',#5838,#5846,#2592,.T.); +#9875=EDGE_CURVE('',#5034,#5036,#2644,.T.); +#9877=EDGE_CURVE('',#5846,#5036,#2608,.T.); +#9881=ADVANCED_FACE('',(#9880),#9871,.T.); +#9889=EDGE_CURVE('',#5845,#5846,#2604,.T.); +#9894=ADVANCED_FACE('',(#9893),#9886,.T.); +#9903=EDGE_CURVE('',#5036,#5038,#2640,.T.); +#9905=EDGE_CURVE('',#5841,#5038,#2700,.T.); +#9907=EDGE_CURVE('',#5841,#5842,#2612,.T.); +#9911=ADVANCED_FACE('',(#9910),#9899,.T.); +#9917=EDGE_CURVE('',#5025,#5026,#2616,.T.); +#9919=EDGE_CURVE('',#5030,#5025,#2620,.T.); +#9921=EDGE_CURVE('',#5028,#5030,#2624,.T.); +#9923=EDGE_CURVE('',#5026,#5028,#2628,.T.); +#9927=ADVANCED_FACE('',(#9926),#9916,.T.); +#9934=EDGE_CURVE('',#5038,#5033,#2636,.T.); +#9940=ADVANCED_FACE('',(#9939),#9932,.T.); +#9946=EDGE_CURVE('',#5850,#5858,#2648,.T.); +#9948=EDGE_CURVE('',#5850,#5025,#2652,.T.); +#9951=EDGE_CURVE('',#5858,#5026,#2684,.T.); +#9955=ADVANCED_FACE('',(#9954),#9945,.T.); +#9961=EDGE_CURVE('',#5849,#5850,#2668,.T.); +#9964=EDGE_CURVE('',#5857,#5858,#2680,.T.); +#9969=ADVANCED_FACE('',(#9968),#9960,.T.); +#9976=EDGE_CURVE('',#5853,#5854,#2660,.T.); +#9978=EDGE_CURVE('',#5853,#5030,#2664,.T.); +#9985=ADVANCED_FACE('',(#9984),#9974,.T.); +#9993=EDGE_CURVE('',#5861,#5862,#2672,.T.); +#9995=EDGE_CURVE('',#5861,#5853,#2688,.T.); +#9999=ADVANCED_FACE('',(#9998),#9990,.T.); +#10005=EDGE_CURVE('',#5861,#5028,#2692,.T.); +#10014=ADVANCED_FACE('',(#10013),#10004,.T.); +#10026=ADVANCED_FACE('',(#10025),#10019,.T.); +#10032=EDGE_CURVE('',#5841,#5833,#2696,.T.); +#10039=ADVANCED_FACE('',(#10038),#10031,.T.); +#10051=ADVANCED_FACE('',(#10050),#10044,.T.); +#10057=EDGE_CURVE('',#5870,#5050,#2724,.T.); +#10059=EDGE_CURVE('',#5869,#5870,#2704,.T.); +#10062=EDGE_CURVE('',#5865,#5866,#2712,.T.); +#10064=EDGE_CURVE('',#5865,#5049,#2716,.T.); +#10066=EDGE_CURVE('',#5049,#5050,#2760,.T.); +#10070=ADVANCED_FACE('',(#10069),#10056,.T.); +#10076=EDGE_CURVE('',#5870,#5878,#2720,.T.); +#10079=EDGE_CURVE('',#5050,#5052,#2772,.T.); +#10081=EDGE_CURVE('',#5878,#5052,#2736,.T.); +#10085=ADVANCED_FACE('',(#10084),#10075,.T.); +#10093=EDGE_CURVE('',#5877,#5878,#2732,.T.); +#10098=ADVANCED_FACE('',(#10097),#10090,.T.); +#10107=EDGE_CURVE('',#5052,#5054,#2768,.T.); +#10109=EDGE_CURVE('',#5873,#5054,#2828,.T.); +#10111=EDGE_CURVE('',#5873,#5874,#2740,.T.); +#10115=ADVANCED_FACE('',(#10114),#10103,.T.); +#10121=EDGE_CURVE('',#5041,#5042,#2744,.T.); +#10123=EDGE_CURVE('',#5046,#5041,#2748,.T.); +#10125=EDGE_CURVE('',#5044,#5046,#2752,.T.); +#10127=EDGE_CURVE('',#5042,#5044,#2756,.T.); +#10131=ADVANCED_FACE('',(#10130),#10120,.T.); +#10138=EDGE_CURVE('',#5054,#5049,#2764,.T.); +#10144=ADVANCED_FACE('',(#10143),#10136,.T.); +#10150=EDGE_CURVE('',#5882,#5890,#2776,.T.); +#10152=EDGE_CURVE('',#5882,#5041,#2780,.T.); +#10155=EDGE_CURVE('',#5890,#5042,#2812,.T.); +#10159=ADVANCED_FACE('',(#10158),#10149,.T.); +#10165=EDGE_CURVE('',#5881,#5882,#2796,.T.); +#10168=EDGE_CURVE('',#5889,#5890,#2808,.T.); +#10173=ADVANCED_FACE('',(#10172),#10164,.T.); +#10180=EDGE_CURVE('',#5885,#5886,#2788,.T.); +#10182=EDGE_CURVE('',#5885,#5046,#2792,.T.); +#10189=ADVANCED_FACE('',(#10188),#10178,.T.); +#10197=EDGE_CURVE('',#5893,#5894,#2800,.T.); +#10199=EDGE_CURVE('',#5893,#5885,#2816,.T.); +#10203=ADVANCED_FACE('',(#10202),#10194,.T.); +#10209=EDGE_CURVE('',#5893,#5044,#2820,.T.); +#10218=ADVANCED_FACE('',(#10217),#10208,.T.); +#10230=ADVANCED_FACE('',(#10229),#10223,.T.); +#10236=EDGE_CURVE('',#5873,#5865,#2824,.T.); +#10243=ADVANCED_FACE('',(#10242),#10235,.T.); +#10255=ADVANCED_FACE('',(#10254),#10248,.T.); +#10261=EDGE_CURVE('',#5902,#5066,#2852,.T.); +#10263=EDGE_CURVE('',#5901,#5902,#2832,.T.); +#10266=EDGE_CURVE('',#5897,#5898,#2840,.T.); +#10268=EDGE_CURVE('',#5897,#5065,#2844,.T.); +#10270=EDGE_CURVE('',#5065,#5066,#2888,.T.); +#10274=ADVANCED_FACE('',(#10273),#10260,.T.); +#10280=EDGE_CURVE('',#5902,#5910,#2848,.T.); +#10283=EDGE_CURVE('',#5066,#5068,#2900,.T.); +#10285=EDGE_CURVE('',#5910,#5068,#2864,.T.); +#10289=ADVANCED_FACE('',(#10288),#10279,.T.); +#10297=EDGE_CURVE('',#5909,#5910,#2860,.T.); +#10302=ADVANCED_FACE('',(#10301),#10294,.T.); +#10311=EDGE_CURVE('',#5068,#5070,#2896,.T.); +#10313=EDGE_CURVE('',#5905,#5070,#2956,.T.); +#10315=EDGE_CURVE('',#5905,#5906,#2868,.T.); +#10319=ADVANCED_FACE('',(#10318),#10307,.T.); +#10325=EDGE_CURVE('',#5057,#5058,#2872,.T.); +#10327=EDGE_CURVE('',#5062,#5057,#2876,.T.); +#10329=EDGE_CURVE('',#5060,#5062,#2880,.T.); +#10331=EDGE_CURVE('',#5058,#5060,#2884,.T.); +#10335=ADVANCED_FACE('',(#10334),#10324,.T.); +#10342=EDGE_CURVE('',#5070,#5065,#2892,.T.); +#10348=ADVANCED_FACE('',(#10347),#10340,.T.); +#10354=EDGE_CURVE('',#5914,#5922,#2904,.T.); +#10356=EDGE_CURVE('',#5914,#5057,#2908,.T.); +#10359=EDGE_CURVE('',#5922,#5058,#2940,.T.); +#10363=ADVANCED_FACE('',(#10362),#10353,.T.); +#10369=EDGE_CURVE('',#5913,#5914,#2924,.T.); +#10372=EDGE_CURVE('',#5921,#5922,#2936,.T.); +#10377=ADVANCED_FACE('',(#10376),#10368,.T.); +#10384=EDGE_CURVE('',#5917,#5918,#2916,.T.); +#10386=EDGE_CURVE('',#5917,#5062,#2920,.T.); +#10393=ADVANCED_FACE('',(#10392),#10382,.T.); +#10401=EDGE_CURVE('',#5925,#5926,#2928,.T.); +#10403=EDGE_CURVE('',#5925,#5917,#2944,.T.); +#10407=ADVANCED_FACE('',(#10406),#10398,.T.); +#10413=EDGE_CURVE('',#5925,#5060,#2948,.T.); +#10422=ADVANCED_FACE('',(#10421),#10412,.T.); +#10434=ADVANCED_FACE('',(#10433),#10427,.T.); +#10440=EDGE_CURVE('',#5905,#5897,#2952,.T.); +#10447=ADVANCED_FACE('',(#10446),#10439,.T.); +#10459=ADVANCED_FACE('',(#10458),#10452,.T.); +#10465=EDGE_CURVE('',#5934,#5082,#2980,.T.); +#10467=EDGE_CURVE('',#5933,#5934,#2960,.T.); +#10470=EDGE_CURVE('',#5929,#5930,#2968,.T.); +#10472=EDGE_CURVE('',#5929,#5081,#2972,.T.); +#10474=EDGE_CURVE('',#5081,#5082,#3016,.T.); +#10478=ADVANCED_FACE('',(#10477),#10464,.T.); +#10484=EDGE_CURVE('',#5934,#5942,#2976,.T.); +#10487=EDGE_CURVE('',#5082,#5084,#3028,.T.); +#10489=EDGE_CURVE('',#5942,#5084,#2992,.T.); +#10493=ADVANCED_FACE('',(#10492),#10483,.T.); +#10501=EDGE_CURVE('',#5941,#5942,#2988,.T.); +#10506=ADVANCED_FACE('',(#10505),#10498,.T.); +#10515=EDGE_CURVE('',#5084,#5086,#3024,.T.); +#10517=EDGE_CURVE('',#5937,#5086,#3084,.T.); +#10519=EDGE_CURVE('',#5937,#5938,#2996,.T.); +#10523=ADVANCED_FACE('',(#10522),#10511,.T.); +#10529=EDGE_CURVE('',#5073,#5074,#3000,.T.); +#10531=EDGE_CURVE('',#5078,#5073,#3004,.T.); +#10533=EDGE_CURVE('',#5076,#5078,#3008,.T.); +#10535=EDGE_CURVE('',#5074,#5076,#3012,.T.); +#10539=ADVANCED_FACE('',(#10538),#10528,.T.); +#10546=EDGE_CURVE('',#5086,#5081,#3020,.T.); +#10552=ADVANCED_FACE('',(#10551),#10544,.T.); +#10558=EDGE_CURVE('',#5946,#5954,#3032,.T.); +#10560=EDGE_CURVE('',#5946,#5073,#3036,.T.); +#10563=EDGE_CURVE('',#5954,#5074,#3068,.T.); +#10567=ADVANCED_FACE('',(#10566),#10557,.T.); +#10573=EDGE_CURVE('',#5945,#5946,#3052,.T.); +#10576=EDGE_CURVE('',#5953,#5954,#3064,.T.); +#10581=ADVANCED_FACE('',(#10580),#10572,.T.); +#10588=EDGE_CURVE('',#5949,#5950,#3044,.T.); +#10590=EDGE_CURVE('',#5949,#5078,#3048,.T.); +#10597=ADVANCED_FACE('',(#10596),#10586,.T.); +#10605=EDGE_CURVE('',#5957,#5958,#3056,.T.); +#10607=EDGE_CURVE('',#5957,#5949,#3072,.T.); +#10611=ADVANCED_FACE('',(#10610),#10602,.T.); +#10617=EDGE_CURVE('',#5957,#5076,#3076,.T.); +#10626=ADVANCED_FACE('',(#10625),#10616,.T.); +#10638=ADVANCED_FACE('',(#10637),#10631,.T.); +#10644=EDGE_CURVE('',#5937,#5929,#3080,.T.); +#10651=ADVANCED_FACE('',(#10650),#10643,.T.); +#10663=ADVANCED_FACE('',(#10662),#10656,.T.); +#10669=EDGE_CURVE('',#5966,#5098,#3108,.T.); +#10671=EDGE_CURVE('',#5965,#5966,#3088,.T.); +#10674=EDGE_CURVE('',#5961,#5962,#3096,.T.); +#10676=EDGE_CURVE('',#5961,#5097,#3100,.T.); +#10678=EDGE_CURVE('',#5097,#5098,#3144,.T.); +#10682=ADVANCED_FACE('',(#10681),#10668,.T.); +#10688=EDGE_CURVE('',#5966,#5974,#3104,.T.); +#10691=EDGE_CURVE('',#5098,#5100,#3156,.T.); +#10693=EDGE_CURVE('',#5974,#5100,#3120,.T.); +#10697=ADVANCED_FACE('',(#10696),#10687,.T.); +#10705=EDGE_CURVE('',#5973,#5974,#3116,.T.); +#10710=ADVANCED_FACE('',(#10709),#10702,.T.); +#10719=EDGE_CURVE('',#5100,#5102,#3152,.T.); +#10721=EDGE_CURVE('',#5969,#5102,#3212,.T.); +#10723=EDGE_CURVE('',#5969,#5970,#3124,.T.); +#10727=ADVANCED_FACE('',(#10726),#10715,.T.); +#10733=EDGE_CURVE('',#5089,#5090,#3128,.T.); +#10735=EDGE_CURVE('',#5094,#5089,#3132,.T.); +#10737=EDGE_CURVE('',#5092,#5094,#3136,.T.); +#10739=EDGE_CURVE('',#5090,#5092,#3140,.T.); +#10743=ADVANCED_FACE('',(#10742),#10732,.T.); +#10750=EDGE_CURVE('',#5102,#5097,#3148,.T.); +#10756=ADVANCED_FACE('',(#10755),#10748,.T.); +#10762=EDGE_CURVE('',#5978,#5986,#3160,.T.); +#10764=EDGE_CURVE('',#5978,#5089,#3164,.T.); +#10767=EDGE_CURVE('',#5986,#5090,#3196,.T.); +#10771=ADVANCED_FACE('',(#10770),#10761,.T.); +#10777=EDGE_CURVE('',#5977,#5978,#3180,.T.); +#10780=EDGE_CURVE('',#5985,#5986,#3192,.T.); +#10785=ADVANCED_FACE('',(#10784),#10776,.T.); +#10792=EDGE_CURVE('',#5981,#5982,#3172,.T.); +#10794=EDGE_CURVE('',#5981,#5094,#3176,.T.); +#10801=ADVANCED_FACE('',(#10800),#10790,.T.); +#10809=EDGE_CURVE('',#5989,#5990,#3184,.T.); +#10811=EDGE_CURVE('',#5989,#5981,#3200,.T.); +#10815=ADVANCED_FACE('',(#10814),#10806,.T.); +#10821=EDGE_CURVE('',#5989,#5092,#3204,.T.); +#10830=ADVANCED_FACE('',(#10829),#10820,.T.); +#10842=ADVANCED_FACE('',(#10841),#10835,.T.); +#10848=EDGE_CURVE('',#5969,#5961,#3208,.T.); +#10855=ADVANCED_FACE('',(#10854),#10847,.T.); +#10867=ADVANCED_FACE('',(#10866),#10860,.T.); +#10873=EDGE_CURVE('',#5998,#5114,#3236,.T.); +#10875=EDGE_CURVE('',#5997,#5998,#3216,.T.); +#10878=EDGE_CURVE('',#5993,#5994,#3224,.T.); +#10880=EDGE_CURVE('',#5993,#5113,#3228,.T.); +#10882=EDGE_CURVE('',#5113,#5114,#3272,.T.); +#10886=ADVANCED_FACE('',(#10885),#10872,.T.); +#10892=EDGE_CURVE('',#5998,#6006,#3232,.T.); +#10895=EDGE_CURVE('',#5114,#5116,#3284,.T.); +#10897=EDGE_CURVE('',#6006,#5116,#3248,.T.); +#10901=ADVANCED_FACE('',(#10900),#10891,.T.); +#10909=EDGE_CURVE('',#6005,#6006,#3244,.T.); +#10914=ADVANCED_FACE('',(#10913),#10906,.T.); +#10923=EDGE_CURVE('',#5116,#5118,#3280,.T.); +#10925=EDGE_CURVE('',#6001,#5118,#3340,.T.); +#10927=EDGE_CURVE('',#6001,#6002,#3252,.T.); +#10931=ADVANCED_FACE('',(#10930),#10919,.T.); +#10937=EDGE_CURVE('',#5105,#5106,#3256,.T.); +#10939=EDGE_CURVE('',#5110,#5105,#3260,.T.); +#10941=EDGE_CURVE('',#5108,#5110,#3264,.T.); +#10943=EDGE_CURVE('',#5106,#5108,#3268,.T.); +#10947=ADVANCED_FACE('',(#10946),#10936,.T.); +#10954=EDGE_CURVE('',#5118,#5113,#3276,.T.); +#10960=ADVANCED_FACE('',(#10959),#10952,.T.); +#10966=EDGE_CURVE('',#6010,#6018,#3288,.T.); +#10968=EDGE_CURVE('',#6010,#5105,#3292,.T.); +#10971=EDGE_CURVE('',#6018,#5106,#3324,.T.); +#10975=ADVANCED_FACE('',(#10974),#10965,.T.); +#10981=EDGE_CURVE('',#6009,#6010,#3308,.T.); +#10984=EDGE_CURVE('',#6017,#6018,#3320,.T.); +#10989=ADVANCED_FACE('',(#10988),#10980,.T.); +#10996=EDGE_CURVE('',#6013,#6014,#3300,.T.); +#10998=EDGE_CURVE('',#6013,#5110,#3304,.T.); +#11005=ADVANCED_FACE('',(#11004),#10994,.T.); +#11013=EDGE_CURVE('',#6021,#6022,#3312,.T.); +#11015=EDGE_CURVE('',#6021,#6013,#3328,.T.); +#11019=ADVANCED_FACE('',(#11018),#11010,.T.); +#11025=EDGE_CURVE('',#6021,#5108,#3332,.T.); +#11034=ADVANCED_FACE('',(#11033),#11024,.T.); +#11046=ADVANCED_FACE('',(#11045),#11039,.T.); +#11052=EDGE_CURVE('',#6001,#5993,#3336,.T.); +#11059=ADVANCED_FACE('',(#11058),#11051,.T.); +#11071=ADVANCED_FACE('',(#11070),#11064,.T.); +#11077=EDGE_CURVE('',#6030,#5130,#3364,.T.); +#11079=EDGE_CURVE('',#6029,#6030,#3344,.T.); +#11082=EDGE_CURVE('',#6025,#6026,#3352,.T.); +#11084=EDGE_CURVE('',#6025,#5129,#3356,.T.); +#11086=EDGE_CURVE('',#5129,#5130,#3400,.T.); +#11090=ADVANCED_FACE('',(#11089),#11076,.T.); +#11096=EDGE_CURVE('',#6030,#6038,#3360,.T.); +#11099=EDGE_CURVE('',#5130,#5132,#3412,.T.); +#11101=EDGE_CURVE('',#6038,#5132,#3376,.T.); +#11105=ADVANCED_FACE('',(#11104),#11095,.T.); +#11113=EDGE_CURVE('',#6037,#6038,#3372,.T.); +#11118=ADVANCED_FACE('',(#11117),#11110,.T.); +#11127=EDGE_CURVE('',#5132,#5134,#3408,.T.); +#11129=EDGE_CURVE('',#6033,#5134,#3468,.T.); +#11131=EDGE_CURVE('',#6033,#6034,#3380,.T.); +#11135=ADVANCED_FACE('',(#11134),#11123,.T.); +#11141=EDGE_CURVE('',#5121,#5122,#3384,.T.); +#11143=EDGE_CURVE('',#5126,#5121,#3388,.T.); +#11145=EDGE_CURVE('',#5124,#5126,#3392,.T.); +#11147=EDGE_CURVE('',#5122,#5124,#3396,.T.); +#11151=ADVANCED_FACE('',(#11150),#11140,.T.); +#11158=EDGE_CURVE('',#5134,#5129,#3404,.T.); +#11164=ADVANCED_FACE('',(#11163),#11156,.T.); +#11170=EDGE_CURVE('',#6042,#6050,#3416,.T.); +#11172=EDGE_CURVE('',#6042,#5121,#3420,.T.); +#11175=EDGE_CURVE('',#6050,#5122,#3452,.T.); +#11179=ADVANCED_FACE('',(#11178),#11169,.T.); +#11185=EDGE_CURVE('',#6041,#6042,#3436,.T.); +#11188=EDGE_CURVE('',#6049,#6050,#3448,.T.); +#11193=ADVANCED_FACE('',(#11192),#11184,.T.); +#11200=EDGE_CURVE('',#6045,#6046,#3428,.T.); +#11202=EDGE_CURVE('',#6045,#5126,#3432,.T.); +#11209=ADVANCED_FACE('',(#11208),#11198,.T.); +#11217=EDGE_CURVE('',#6053,#6054,#3440,.T.); +#11219=EDGE_CURVE('',#6053,#6045,#3456,.T.); +#11223=ADVANCED_FACE('',(#11222),#11214,.T.); +#11229=EDGE_CURVE('',#6053,#5124,#3460,.T.); +#11238=ADVANCED_FACE('',(#11237),#11228,.T.); +#11250=ADVANCED_FACE('',(#11249),#11243,.T.); +#11256=EDGE_CURVE('',#6033,#6025,#3464,.T.); +#11263=ADVANCED_FACE('',(#11262),#11255,.T.); +#11275=ADVANCED_FACE('',(#11274),#11268,.T.); +#11287=ADVANCED_FACE('',(#11286),#11280,.T.); +#11294=EDGE_CURVE('',#5228,#5226,#3485,.T.); +#11296=EDGE_CURVE('',#5228,#5230,#3517,.T.); +#11301=ADVANCED_FACE('',(#11300),#11292,.T.); +#11307=EDGE_CURVE('',#5212,#5210,#3477,.T.); +#11309=EDGE_CURVE('',#5210,#5228,#3481,.T.); +#11315=ADVANCED_FACE('',(#11314),#11306,.T.); +#11321=EDGE_CURVE('',#5209,#5210,#3498,.T.); +#11328=ADVANCED_FACE('',(#11327),#11320,.T.); +#11336=EDGE_CURVE('',#5220,#5222,#3503,.T.); +#11338=EDGE_CURVE('',#5220,#5234,#3507,.T.); +#11340=EDGE_CURVE('',#5233,#5234,#3512,.T.); +#11347=EDGE_CURVE('',#4601,#4602,#3489,.T.); +#11349=EDGE_CURVE('',#4610,#4601,#4197,.T.); +#11351=EDGE_CURVE('',#4609,#4610,#3493,.T.); +#11353=EDGE_CURVE('',#4602,#4609,#3855,.T.); +#11357=ADVANCED_FACE('',(#11346,#11356),#11333,.T.); +#11363=EDGE_CURVE('',#4597,#4598,#3547,.T.); +#11365=EDGE_CURVE('',#4597,#4601,#3530,.T.); +#11368=EDGE_CURVE('',#4602,#4598,#3543,.T.); +#11372=ADVANCED_FACE('',(#11371),#11362,.T.); +#11379=EDGE_CURVE('',#4598,#4589,#3551,.T.); +#11381=EDGE_CURVE('',#4589,#4590,#3555,.T.); +#11383=EDGE_CURVE('',#4590,#4597,#3559,.T.); +#11387=EDGE_CURVE('',#4801,#4802,#3563,.T.); +#11389=EDGE_CURVE('',#4805,#4801,#3567,.T.); +#11391=EDGE_CURVE('',#4805,#4806,#3571,.T.); +#11393=EDGE_CURVE('',#4806,#4802,#3575,.T.); +#11397=EDGE_CURVE('',#4809,#4810,#3579,.T.); +#11399=EDGE_CURVE('',#4813,#4809,#3583,.T.); +#11401=EDGE_CURVE('',#4813,#4814,#3587,.T.); +#11403=EDGE_CURVE('',#4814,#4810,#3591,.T.); +#11407=EDGE_CURVE('',#4817,#4818,#3595,.T.); +#11409=EDGE_CURVE('',#4821,#4817,#3599,.T.); +#11411=EDGE_CURVE('',#4821,#4822,#3603,.T.); +#11413=EDGE_CURVE('',#4822,#4818,#3607,.T.); +#11417=EDGE_CURVE('',#4825,#4826,#3611,.T.); +#11419=EDGE_CURVE('',#4829,#4825,#3615,.T.); +#11421=EDGE_CURVE('',#4829,#4830,#3619,.T.); +#11423=EDGE_CURVE('',#4830,#4826,#3623,.T.); +#11427=EDGE_CURVE('',#4833,#4834,#3627,.T.); +#11429=EDGE_CURVE('',#4837,#4833,#3631,.T.); +#11431=EDGE_CURVE('',#4837,#4838,#3635,.T.); +#11433=EDGE_CURVE('',#4838,#4834,#3639,.T.); +#11437=EDGE_CURVE('',#4841,#4842,#3643,.T.); +#11439=EDGE_CURVE('',#4845,#4841,#3647,.T.); +#11441=EDGE_CURVE('',#4845,#4846,#3651,.T.); +#11443=EDGE_CURVE('',#4846,#4842,#3655,.T.); +#11447=EDGE_CURVE('',#5273,#5274,#3659,.T.); +#11449=EDGE_CURVE('',#5277,#5274,#3663,.T.); +#11451=EDGE_CURVE('',#5277,#5278,#3667,.T.); +#11453=EDGE_CURVE('',#5278,#5273,#3671,.T.); +#11457=EDGE_CURVE('',#5289,#5290,#3675,.T.); +#11459=EDGE_CURVE('',#5293,#5290,#3679,.T.); +#11461=EDGE_CURVE('',#5293,#5294,#3683,.T.); +#11463=EDGE_CURVE('',#5294,#5289,#3687,.T.); +#11467=EDGE_CURVE('',#5305,#5306,#3691,.T.); +#11469=EDGE_CURVE('',#5309,#5306,#3695,.T.); +#11471=EDGE_CURVE('',#5309,#5310,#3699,.T.); +#11473=EDGE_CURVE('',#5310,#5305,#3703,.T.); +#11477=EDGE_CURVE('',#5321,#5322,#3707,.T.); +#11479=EDGE_CURVE('',#5325,#5322,#3711,.T.); +#11481=EDGE_CURVE('',#5325,#5326,#3715,.T.); +#11483=EDGE_CURVE('',#5326,#5321,#3719,.T.); +#11487=EDGE_CURVE('',#5337,#5338,#3723,.T.); +#11489=EDGE_CURVE('',#5341,#5338,#3727,.T.); +#11491=EDGE_CURVE('',#5341,#5342,#3731,.T.); +#11493=EDGE_CURVE('',#5342,#5337,#3735,.T.); +#11497=EDGE_CURVE('',#5353,#5354,#3739,.T.); +#11499=EDGE_CURVE('',#5357,#5354,#3743,.T.); +#11501=EDGE_CURVE('',#5357,#5358,#3747,.T.); +#11503=EDGE_CURVE('',#5358,#5353,#3751,.T.); +#11507=EDGE_CURVE('',#5369,#5370,#3755,.T.); +#11509=EDGE_CURVE('',#5373,#5370,#3759,.T.); +#11511=EDGE_CURVE('',#5373,#5374,#3763,.T.); +#11513=EDGE_CURVE('',#5374,#5369,#3767,.T.); +#11517=EDGE_CURVE('',#5385,#5386,#3771,.T.); +#11519=EDGE_CURVE('',#5389,#5386,#3775,.T.); +#11521=EDGE_CURVE('',#5389,#5390,#3779,.T.); +#11523=EDGE_CURVE('',#5390,#5385,#3783,.T.); +#11527=EDGE_CURVE('',#5401,#5402,#3787,.T.); +#11529=EDGE_CURVE('',#5405,#5402,#3791,.T.); +#11531=EDGE_CURVE('',#5405,#5406,#3795,.T.); +#11533=EDGE_CURVE('',#5406,#5401,#3799,.T.); +#11537=EDGE_CURVE('',#5417,#5418,#3803,.T.); +#11539=EDGE_CURVE('',#5421,#5418,#3807,.T.); +#11541=EDGE_CURVE('',#5421,#5422,#3811,.T.); +#11543=EDGE_CURVE('',#5422,#5417,#3815,.T.); +#11547=EDGE_CURVE('',#5433,#5434,#3819,.T.); +#11549=EDGE_CURVE('',#5437,#5434,#3823,.T.); +#11551=EDGE_CURVE('',#5437,#5438,#3827,.T.); +#11553=EDGE_CURVE('',#5438,#5433,#3831,.T.); +#11557=EDGE_CURVE('',#5449,#5450,#3835,.T.); +#11559=EDGE_CURVE('',#5453,#5450,#3839,.T.); +#11561=EDGE_CURVE('',#5453,#5454,#3843,.T.); +#11563=EDGE_CURVE('',#5454,#5449,#3847,.T.); +#11567=ADVANCED_FACE('',(#11386,#11396,#11406,#11416,#11426,#11436,#11446, +#11456,#11466,#11476,#11486,#11496,#11506,#11516,#11526,#11536,#11546,#11556, +#11566),#11377,.F.); +#11574=EDGE_CURVE('',#4598,#4605,#3851,.T.); +#11576=EDGE_CURVE('',#4605,#4593,#3897,.T.); +#11578=EDGE_CURVE('',#4589,#4593,#4193,.T.); +#11582=ADVANCED_FACE('',(#11581),#11572,.F.); +#11591=EDGE_CURVE('',#4605,#4609,#3868,.T.); +#11595=ADVANCED_FACE('',(#11594),#11587,.F.); +#11601=EDGE_CURVE('',#4605,#4606,#3885,.T.); +#11605=EDGE_CURVE('',#4610,#4606,#3881,.T.); +#11609=ADVANCED_FACE('',(#11608),#11600,.T.); +#11616=EDGE_CURVE('',#4594,#4606,#3889,.T.); +#11618=EDGE_CURVE('',#4593,#4594,#3893,.T.); +#11623=EDGE_CURVE('',#4753,#4754,#3901,.T.); +#11625=EDGE_CURVE('',#4758,#4754,#3905,.T.); +#11627=EDGE_CURVE('',#4757,#4758,#3909,.T.); +#11629=EDGE_CURVE('',#4757,#4753,#3913,.T.); +#11633=EDGE_CURVE('',#4761,#4762,#3917,.T.); +#11635=EDGE_CURVE('',#4766,#4762,#3921,.T.); +#11637=EDGE_CURVE('',#4765,#4766,#3925,.T.); +#11639=EDGE_CURVE('',#4765,#4761,#3929,.T.); +#11643=EDGE_CURVE('',#4769,#4770,#3933,.T.); +#11645=EDGE_CURVE('',#4774,#4770,#3937,.T.); +#11647=EDGE_CURVE('',#4773,#4774,#3941,.T.); +#11649=EDGE_CURVE('',#4773,#4769,#3945,.T.); +#11653=EDGE_CURVE('',#4777,#4778,#3949,.T.); +#11655=EDGE_CURVE('',#4782,#4778,#3953,.T.); +#11657=EDGE_CURVE('',#4781,#4782,#3957,.T.); +#11659=EDGE_CURVE('',#4781,#4777,#3961,.T.); +#11663=EDGE_CURVE('',#4785,#4786,#3965,.T.); +#11665=EDGE_CURVE('',#4790,#4786,#3969,.T.); +#11667=EDGE_CURVE('',#4789,#4790,#3973,.T.); +#11669=EDGE_CURVE('',#4789,#4785,#3977,.T.); +#11673=EDGE_CURVE('',#4793,#4794,#3981,.T.); +#11675=EDGE_CURVE('',#4798,#4794,#3985,.T.); +#11677=EDGE_CURVE('',#4797,#4798,#3989,.T.); +#11679=EDGE_CURVE('',#4797,#4793,#3993,.T.); +#11683=EDGE_CURVE('',#5281,#5282,#3997,.T.); +#11685=EDGE_CURVE('',#5285,#5282,#4001,.T.); +#11687=EDGE_CURVE('',#5285,#5286,#4005,.T.); +#11689=EDGE_CURVE('',#5286,#5281,#4009,.T.); +#11693=EDGE_CURVE('',#5297,#5298,#4013,.T.); +#11695=EDGE_CURVE('',#5301,#5298,#4017,.T.); +#11697=EDGE_CURVE('',#5301,#5302,#4021,.T.); +#11699=EDGE_CURVE('',#5302,#5297,#4025,.T.); +#11703=EDGE_CURVE('',#5313,#5314,#4029,.T.); +#11705=EDGE_CURVE('',#5317,#5314,#4033,.T.); +#11707=EDGE_CURVE('',#5317,#5318,#4037,.T.); +#11709=EDGE_CURVE('',#5318,#5313,#4041,.T.); +#11713=EDGE_CURVE('',#5329,#5330,#4045,.T.); +#11715=EDGE_CURVE('',#5333,#5330,#4049,.T.); +#11717=EDGE_CURVE('',#5333,#5334,#4053,.T.); +#11719=EDGE_CURVE('',#5334,#5329,#4057,.T.); +#11723=EDGE_CURVE('',#5345,#5346,#4061,.T.); +#11725=EDGE_CURVE('',#5349,#5346,#4065,.T.); +#11727=EDGE_CURVE('',#5349,#5350,#4069,.T.); +#11729=EDGE_CURVE('',#5350,#5345,#4073,.T.); +#11733=EDGE_CURVE('',#5361,#5362,#4077,.T.); +#11735=EDGE_CURVE('',#5365,#5362,#4081,.T.); +#11737=EDGE_CURVE('',#5365,#5366,#4085,.T.); +#11739=EDGE_CURVE('',#5366,#5361,#4089,.T.); +#11743=EDGE_CURVE('',#5377,#5378,#4093,.T.); +#11745=EDGE_CURVE('',#5381,#5378,#4097,.T.); +#11747=EDGE_CURVE('',#5381,#5382,#4101,.T.); +#11749=EDGE_CURVE('',#5382,#5377,#4105,.T.); +#11753=EDGE_CURVE('',#5393,#5394,#4109,.T.); +#11755=EDGE_CURVE('',#5397,#5394,#4113,.T.); +#11757=EDGE_CURVE('',#5397,#5398,#4117,.T.); +#11759=EDGE_CURVE('',#5398,#5393,#4121,.T.); +#11763=EDGE_CURVE('',#5409,#5410,#4125,.T.); +#11765=EDGE_CURVE('',#5413,#5410,#4129,.T.); +#11767=EDGE_CURVE('',#5413,#5414,#4133,.T.); +#11769=EDGE_CURVE('',#5414,#5409,#4137,.T.); +#11773=EDGE_CURVE('',#5425,#5426,#4141,.T.); +#11775=EDGE_CURVE('',#5429,#5426,#4145,.T.); +#11777=EDGE_CURVE('',#5429,#5430,#4149,.T.); +#11779=EDGE_CURVE('',#5430,#5425,#4153,.T.); +#11783=EDGE_CURVE('',#5441,#5442,#4157,.T.); +#11785=EDGE_CURVE('',#5445,#5442,#4161,.T.); +#11787=EDGE_CURVE('',#5445,#5446,#4165,.T.); +#11789=EDGE_CURVE('',#5446,#5441,#4169,.T.); +#11793=EDGE_CURVE('',#5457,#5458,#4173,.T.); +#11795=EDGE_CURVE('',#5461,#5458,#4177,.T.); +#11797=EDGE_CURVE('',#5461,#5462,#4181,.T.); +#11799=EDGE_CURVE('',#5462,#5457,#4185,.T.); +#11803=ADVANCED_FACE('',(#11622,#11632,#11642,#11652,#11662,#11672,#11682, +#11692,#11702,#11712,#11722,#11732,#11742,#11752,#11762,#11772,#11782,#11792, +#11802),#11614,.T.); +#11810=EDGE_CURVE('',#4590,#4594,#4189,.T.); +#11813=EDGE_CURVE('',#4597,#4606,#4201,.T.); +#11817=ADVANCED_FACE('',(#11816),#11808,.F.); +#11829=ADVANCED_FACE('',(#11828),#11822,.F.); +#11841=ADVANCED_FACE('',(#11840),#11834,.F.); +#11848=EDGE_CURVE('',#4754,#4753,#4206,.T.); +#11852=ADVANCED_FACE('',(#11851),#11846,.T.); +#11859=EDGE_CURVE('',#4801,#4802,#4211,.T.); +#11863=ADVANCED_FACE('',(#11862),#11857,.T.); +#11872=EDGE_CURVE('',#4758,#4757,#4216,.T.); +#11876=ADVANCED_FACE('',(#11875),#11868,.T.); +#11886=ADVANCED_FACE('',(#11885),#11881,.F.); +#11893=EDGE_CURVE('',#4805,#4806,#4221,.T.); +#11897=ADVANCED_FACE('',(#11896),#11891,.F.); +#11909=ADVANCED_FACE('',(#11908),#11902,.T.); +#11916=EDGE_CURVE('',#4762,#4761,#4226,.T.); +#11920=ADVANCED_FACE('',(#11919),#11914,.T.); +#11927=EDGE_CURVE('',#4809,#4810,#4231,.T.); +#11931=ADVANCED_FACE('',(#11930),#11925,.T.); +#11940=EDGE_CURVE('',#4766,#4765,#4236,.T.); +#11944=ADVANCED_FACE('',(#11943),#11936,.T.); +#11954=ADVANCED_FACE('',(#11953),#11949,.F.); +#11961=EDGE_CURVE('',#4813,#4814,#4241,.T.); +#11965=ADVANCED_FACE('',(#11964),#11959,.F.); +#11977=ADVANCED_FACE('',(#11976),#11970,.T.); +#11984=EDGE_CURVE('',#4770,#4769,#4246,.T.); +#11988=ADVANCED_FACE('',(#11987),#11982,.T.); +#11995=EDGE_CURVE('',#4817,#4818,#4251,.T.); +#11999=ADVANCED_FACE('',(#11998),#11993,.T.); +#12008=EDGE_CURVE('',#4774,#4773,#4256,.T.); +#12012=ADVANCED_FACE('',(#12011),#12004,.T.); +#12022=ADVANCED_FACE('',(#12021),#12017,.F.); +#12029=EDGE_CURVE('',#4821,#4822,#4261,.T.); +#12033=ADVANCED_FACE('',(#12032),#12027,.F.); +#12045=ADVANCED_FACE('',(#12044),#12038,.T.); +#12052=EDGE_CURVE('',#4778,#4777,#4266,.T.); +#12056=ADVANCED_FACE('',(#12055),#12050,.T.); +#12063=EDGE_CURVE('',#4825,#4826,#4271,.T.); +#12067=ADVANCED_FACE('',(#12066),#12061,.T.); +#12076=EDGE_CURVE('',#4782,#4781,#4276,.T.); +#12080=ADVANCED_FACE('',(#12079),#12072,.T.); +#12090=ADVANCED_FACE('',(#12089),#12085,.F.); +#12097=EDGE_CURVE('',#4829,#4830,#4281,.T.); +#12101=ADVANCED_FACE('',(#12100),#12095,.F.); +#12113=ADVANCED_FACE('',(#12112),#12106,.T.); +#12120=EDGE_CURVE('',#4786,#4785,#4286,.T.); +#12124=ADVANCED_FACE('',(#12123),#12118,.T.); +#12131=EDGE_CURVE('',#4833,#4834,#4291,.T.); +#12135=ADVANCED_FACE('',(#12134),#12129,.T.); +#12144=EDGE_CURVE('',#4790,#4789,#4296,.T.); +#12148=ADVANCED_FACE('',(#12147),#12140,.T.); +#12158=ADVANCED_FACE('',(#12157),#12153,.F.); +#12165=EDGE_CURVE('',#4837,#4838,#4301,.T.); +#12169=ADVANCED_FACE('',(#12168),#12163,.F.); +#12181=ADVANCED_FACE('',(#12180),#12174,.T.); +#12188=EDGE_CURVE('',#4794,#4793,#4306,.T.); +#12192=ADVANCED_FACE('',(#12191),#12186,.T.); +#12199=EDGE_CURVE('',#4841,#4842,#4311,.T.); +#12203=ADVANCED_FACE('',(#12202),#12197,.T.); +#12212=EDGE_CURVE('',#4798,#4797,#4316,.T.); +#12216=ADVANCED_FACE('',(#12215),#12208,.T.); +#12226=ADVANCED_FACE('',(#12225),#12221,.F.); +#12233=EDGE_CURVE('',#4845,#4846,#4321,.T.); +#12237=ADVANCED_FACE('',(#12236),#12231,.F.); +#12249=ADVANCED_FACE('',(#12248),#12242,.T.); +#12256=EDGE_CURVE('',#5274,#5273,#4326,.T.); +#12260=ADVANCED_FACE('',(#12259),#12254,.T.); +#12267=EDGE_CURVE('',#5282,#5281,#4331,.T.); +#12271=ADVANCED_FACE('',(#12270),#12265,.T.); +#12280=EDGE_CURVE('',#5277,#5278,#4336,.T.); +#12284=ADVANCED_FACE('',(#12283),#12276,.T.); +#12294=ADVANCED_FACE('',(#12293),#12289,.F.); +#12301=EDGE_CURVE('',#5285,#5286,#4341,.T.); +#12305=ADVANCED_FACE('',(#12304),#12299,.F.); +#12317=ADVANCED_FACE('',(#12316),#12310,.T.); +#12324=EDGE_CURVE('',#5290,#5289,#4346,.T.); +#12328=ADVANCED_FACE('',(#12327),#12322,.T.); +#12335=EDGE_CURVE('',#5298,#5297,#4351,.T.); +#12339=ADVANCED_FACE('',(#12338),#12333,.T.); +#12348=EDGE_CURVE('',#5293,#5294,#4356,.T.); +#12352=ADVANCED_FACE('',(#12351),#12344,.T.); +#12362=ADVANCED_FACE('',(#12361),#12357,.F.); +#12369=EDGE_CURVE('',#5301,#5302,#4361,.T.); +#12373=ADVANCED_FACE('',(#12372),#12367,.F.); +#12385=ADVANCED_FACE('',(#12384),#12378,.T.); +#12392=EDGE_CURVE('',#5306,#5305,#4366,.T.); +#12396=ADVANCED_FACE('',(#12395),#12390,.T.); +#12403=EDGE_CURVE('',#5314,#5313,#4371,.T.); +#12407=ADVANCED_FACE('',(#12406),#12401,.T.); +#12416=EDGE_CURVE('',#5309,#5310,#4376,.T.); +#12420=ADVANCED_FACE('',(#12419),#12412,.T.); +#12430=ADVANCED_FACE('',(#12429),#12425,.F.); +#12437=EDGE_CURVE('',#5317,#5318,#4381,.T.); +#12441=ADVANCED_FACE('',(#12440),#12435,.F.); +#12453=ADVANCED_FACE('',(#12452),#12446,.T.); +#12460=EDGE_CURVE('',#5322,#5321,#4386,.T.); +#12464=ADVANCED_FACE('',(#12463),#12458,.T.); +#12471=EDGE_CURVE('',#5330,#5329,#4391,.T.); +#12475=ADVANCED_FACE('',(#12474),#12469,.T.); +#12484=EDGE_CURVE('',#5325,#5326,#4396,.T.); +#12488=ADVANCED_FACE('',(#12487),#12480,.T.); +#12498=ADVANCED_FACE('',(#12497),#12493,.F.); +#12505=EDGE_CURVE('',#5333,#5334,#4401,.T.); +#12509=ADVANCED_FACE('',(#12508),#12503,.F.); +#12521=ADVANCED_FACE('',(#12520),#12514,.T.); +#12528=EDGE_CURVE('',#5338,#5337,#4406,.T.); +#12532=ADVANCED_FACE('',(#12531),#12526,.T.); +#12539=EDGE_CURVE('',#5346,#5345,#4411,.T.); +#12543=ADVANCED_FACE('',(#12542),#12537,.T.); +#12552=EDGE_CURVE('',#5341,#5342,#4416,.T.); +#12556=ADVANCED_FACE('',(#12555),#12548,.T.); +#12566=ADVANCED_FACE('',(#12565),#12561,.F.); +#12573=EDGE_CURVE('',#5349,#5350,#4421,.T.); +#12577=ADVANCED_FACE('',(#12576),#12571,.F.); +#12589=ADVANCED_FACE('',(#12588),#12582,.T.); +#12596=EDGE_CURVE('',#5354,#5353,#4426,.T.); +#12600=ADVANCED_FACE('',(#12599),#12594,.T.); +#12607=EDGE_CURVE('',#5362,#5361,#4431,.T.); +#12611=ADVANCED_FACE('',(#12610),#12605,.T.); +#12620=EDGE_CURVE('',#5357,#5358,#4436,.T.); +#12624=ADVANCED_FACE('',(#12623),#12616,.T.); +#12634=ADVANCED_FACE('',(#12633),#12629,.F.); +#12641=EDGE_CURVE('',#5365,#5366,#4441,.T.); +#12645=ADVANCED_FACE('',(#12644),#12639,.F.); +#12657=ADVANCED_FACE('',(#12656),#12650,.T.); +#12664=EDGE_CURVE('',#5370,#5369,#4446,.T.); +#12668=ADVANCED_FACE('',(#12667),#12662,.T.); +#12675=EDGE_CURVE('',#5378,#5377,#4451,.T.); +#12679=ADVANCED_FACE('',(#12678),#12673,.T.); +#12688=EDGE_CURVE('',#5373,#5374,#4456,.T.); +#12692=ADVANCED_FACE('',(#12691),#12684,.T.); +#12702=ADVANCED_FACE('',(#12701),#12697,.F.); +#12709=EDGE_CURVE('',#5381,#5382,#4461,.T.); +#12713=ADVANCED_FACE('',(#12712),#12707,.F.); +#12725=ADVANCED_FACE('',(#12724),#12718,.T.); +#12732=EDGE_CURVE('',#5386,#5385,#4466,.T.); +#12736=ADVANCED_FACE('',(#12735),#12730,.T.); +#12743=EDGE_CURVE('',#5394,#5393,#4471,.T.); +#12747=ADVANCED_FACE('',(#12746),#12741,.T.); +#12756=EDGE_CURVE('',#5389,#5390,#4476,.T.); +#12760=ADVANCED_FACE('',(#12759),#12752,.T.); +#12770=ADVANCED_FACE('',(#12769),#12765,.F.); +#12777=EDGE_CURVE('',#5397,#5398,#4481,.T.); +#12781=ADVANCED_FACE('',(#12780),#12775,.F.); +#12793=ADVANCED_FACE('',(#12792),#12786,.T.); +#12800=EDGE_CURVE('',#5402,#5401,#4486,.T.); +#12804=ADVANCED_FACE('',(#12803),#12798,.T.); +#12811=EDGE_CURVE('',#5410,#5409,#4491,.T.); +#12815=ADVANCED_FACE('',(#12814),#12809,.T.); +#12824=EDGE_CURVE('',#5405,#5406,#4496,.T.); +#12828=ADVANCED_FACE('',(#12827),#12820,.T.); +#12838=ADVANCED_FACE('',(#12837),#12833,.F.); +#12845=EDGE_CURVE('',#5413,#5414,#4501,.T.); +#12849=ADVANCED_FACE('',(#12848),#12843,.F.); +#12861=ADVANCED_FACE('',(#12860),#12854,.T.); +#12868=EDGE_CURVE('',#5418,#5417,#4506,.T.); +#12872=ADVANCED_FACE('',(#12871),#12866,.T.); +#12879=EDGE_CURVE('',#5426,#5425,#4511,.T.); +#12883=ADVANCED_FACE('',(#12882),#12877,.T.); +#12892=EDGE_CURVE('',#5421,#5422,#4516,.T.); +#12896=ADVANCED_FACE('',(#12895),#12888,.T.); +#12906=ADVANCED_FACE('',(#12905),#12901,.F.); +#12913=EDGE_CURVE('',#5429,#5430,#4521,.T.); +#12917=ADVANCED_FACE('',(#12916),#12911,.F.); +#12929=ADVANCED_FACE('',(#12928),#12922,.T.); +#12936=EDGE_CURVE('',#5434,#5433,#4526,.T.); +#12940=ADVANCED_FACE('',(#12939),#12934,.T.); +#12947=EDGE_CURVE('',#5442,#5441,#4531,.T.); +#12951=ADVANCED_FACE('',(#12950),#12945,.T.); +#12960=EDGE_CURVE('',#5437,#5438,#4536,.T.); +#12964=ADVANCED_FACE('',(#12963),#12956,.T.); +#12974=ADVANCED_FACE('',(#12973),#12969,.F.); +#12981=EDGE_CURVE('',#5445,#5446,#4541,.T.); +#12985=ADVANCED_FACE('',(#12984),#12979,.F.); +#12997=ADVANCED_FACE('',(#12996),#12990,.T.); +#13004=EDGE_CURVE('',#5450,#5449,#4546,.T.); +#13008=ADVANCED_FACE('',(#13007),#13002,.T.); +#13015=EDGE_CURVE('',#5458,#5457,#4551,.T.); +#13019=ADVANCED_FACE('',(#13018),#13013,.T.); +#13028=EDGE_CURVE('',#5453,#5454,#4556,.T.); +#13032=ADVANCED_FACE('',(#13031),#13024,.T.); +#13042=ADVANCED_FACE('',(#13041),#13037,.F.); +#13049=EDGE_CURVE('',#5461,#5462,#4561,.T.); +#13053=ADVANCED_FACE('',(#13052),#13047,.F.); +#13065=ADVANCED_FACE('',(#13064),#13058,.T.); +#13072=EDGE_CURVE('',#5220,#5218,#4565,.T.); +#13078=ADVANCED_FACE('',(#13077),#13070,.T.); +#13086=EDGE_CURVE('',#5236,#5234,#4573,.T.); +#13091=ADVANCED_FACE('',(#13090),#13083,.T.); +#13103=ADVANCED_FACE('',(#13102),#13096,.T.); +#13115=ADVANCED_FACE('',(#13114),#13108,.T.); +#13118=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#13119=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#13122=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#13124=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#13123))GLOBAL_UNIT_ASSIGNED_CONTEXT((#13118,#13121,#13122))REPRESENTATION_CONTEXT('ID1','3')); +#13125=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#13117),#13124); +#13132=PRODUCT_DEFINITION('part definition','',#13131,#13128); +#13133=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-2-5530843-7.',#13132); +#13134=SHAPE_ASPECT('','solid data associated with C-2-5530843-7',#13133,.F.); +#13135=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#13134); +#13136=SHAPE_REPRESENTATION('',(#13117),#13124); +#13137=SHAPE_DEFINITION_REPRESENTATION(#13135,#13136); +#13138=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#13142=PROPERTY_DEFINITION('geometric validation property', +'area of C-2-5530843-7',#13134); +#13143=REPRESENTATION('surface area',(#13141),#13124); +#13144=PROPERTY_DEFINITION_REPRESENTATION(#13142,#13143); +#13145=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#13149=PROPERTY_DEFINITION('geometric validation property', +'volume of C-2-5530843-7',#13134); +#13150=REPRESENTATION('volume',(#13148),#13124); +#13151=PROPERTY_DEFINITION_REPRESENTATION(#13149,#13150); +#13153=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-2-5530843-7',#13134); +#13154=REPRESENTATION('centroid',(#13152),#13124); +#13155=PROPERTY_DEFINITION_REPRESENTATION(#13153,#13154); +#13156=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#13160=PROPERTY_DEFINITION('geometric validation property', +'area of C-2-5530843-7',#13133); +#13161=REPRESENTATION('surface area',(#13159),#13124); +#13162=PROPERTY_DEFINITION_REPRESENTATION(#13160,#13161); +#13163=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#13167=PROPERTY_DEFINITION('geometric validation property', +'volume of C-2-5530843-7',#13133); +#13168=REPRESENTATION('volume',(#13166),#13124); +#13169=PROPERTY_DEFINITION_REPRESENTATION(#13167,#13168); +#13171=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-2-5530843-7',#13133); +#13172=REPRESENTATION('centroid',(#13170),#13124); +#13173=PROPERTY_DEFINITION_REPRESENTATION(#13171,#13172); +#13174=SHAPE_DEFINITION_REPRESENTATION(#13133,#13125); +ENDSEC; +END-ISO-10303-21; diff --git a/packages3D/c-6-5530843-5-f-3d.stp b/packages3D/c-6-5530843-5-f-3d.stp new file mode 100644 index 0000000..ad29868 --- /dev/null +++ b/packages3D/c-6-5530843-5-f-3d.stp @@ -0,0 +1,20756 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-6-5530843-5','2019-02-26T08:29:29',('workeradm'),( +'TE Connectivity Ltd.'),'CREO PARAMETRIC BY PTC INC, 2018190', +'CREO PARAMETRIC BY PTC INC, 2018190',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#3=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4=VECTOR('',#3,2.032E0); +#5=CARTESIAN_POINT('',(-4.2672E1,-3.048E0,-8.89E0)); +#6=LINE('',#5,#4); +#7=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8=VECTOR('',#7,2.032E0); +#9=CARTESIAN_POINT('',(-4.2672E1,3.048E0,-8.89E0)); +#10=LINE('',#9,#8); +#11=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12=VECTOR('',#11,8.89E0); +#13=CARTESIAN_POINT('',(-4.2672E1,3.9116E0,0.E0)); +#14=LINE('',#13,#12); +#15=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16=VECTOR('',#15,7.8232E0); +#17=CARTESIAN_POINT('',(-4.2672E1,3.9116E0,0.E0)); +#18=LINE('',#17,#16); +#19=DIRECTION('',(0.E0,0.E0,1.E0)); +#20=VECTOR('',#19,8.89E0); +#21=CARTESIAN_POINT('',(-4.2672E1,-3.9116E0,-8.89E0)); +#22=LINE('',#21,#20); +#23=DIRECTION('',(0.E0,1.E0,0.E0)); +#24=VECTOR('',#23,8.636E-1); +#25=CARTESIAN_POINT('',(4.2672E1,3.048E0,-8.89E0)); +#26=LINE('',#25,#24); +#27=CARTESIAN_POINT('',(4.191E1,3.9116E0,-8.89E0)); +#28=DIRECTION('',(0.E0,0.E0,-1.E0)); +#29=DIRECTION('',(0.E0,1.E0,0.E0)); +#30=AXIS2_PLACEMENT_3D('',#27,#28,#29); +#32=CARTESIAN_POINT('',(-4.191E1,3.9116E0,-8.89E0)); +#33=DIRECTION('',(0.E0,0.E0,-1.E0)); +#34=DIRECTION('',(-1.E0,4.545795061457E-14,0.E0)); +#35=AXIS2_PLACEMENT_3D('',#32,#33,#34); +#37=DIRECTION('',(0.E0,-1.E0,0.E0)); +#38=VECTOR('',#37,8.636E-1); +#39=CARTESIAN_POINT('',(-4.2672E1,3.9116E0,-8.89E0)); +#40=LINE('',#39,#38); +#41=DIRECTION('',(0.E0,-1.E0,0.E0)); +#42=VECTOR('',#41,8.636E-1); +#43=CARTESIAN_POINT('',(-4.2672E1,-3.048E0,-8.89E0)); +#44=LINE('',#43,#42); +#45=CARTESIAN_POINT('',(-4.191E1,-3.9116E0,-8.89E0)); +#46=DIRECTION('',(0.E0,0.E0,-1.E0)); +#47=DIRECTION('',(0.E0,-1.E0,0.E0)); +#48=AXIS2_PLACEMENT_3D('',#45,#46,#47); +#50=DIRECTION('',(1.E0,0.E0,0.E0)); +#51=VECTOR('',#50,8.382E1); +#52=CARTESIAN_POINT('',(-4.191E1,-4.6736E0,-8.89E0)); +#53=LINE('',#52,#51); +#54=CARTESIAN_POINT('',(4.191E1,-3.9116E0,-8.89E0)); +#55=DIRECTION('',(0.E0,0.E0,-1.E0)); +#56=DIRECTION('',(1.E0,0.E0,0.E0)); +#57=AXIS2_PLACEMENT_3D('',#54,#55,#56); +#59=DIRECTION('',(0.E0,1.E0,0.E0)); +#60=VECTOR('',#59,8.636E-1); +#61=CARTESIAN_POINT('',(4.2672E1,-3.9116E0,-8.89E0)); +#62=LINE('',#61,#60); +#63=DIRECTION('',(0.E0,0.E0,-1.E0)); +#64=VECTOR('',#63,2.032E0); +#65=CARTESIAN_POINT('',(4.191E1,3.81E0,-8.89E0)); +#66=LINE('',#65,#64); +#67=DIRECTION('',(-1.E0,0.E0,0.E0)); +#68=VECTOR('',#67,8.382E1); +#69=CARTESIAN_POINT('',(4.191E1,3.81E0,-8.89E0)); +#70=LINE('',#69,#68); +#71=DIRECTION('',(0.E0,0.E0,-1.E0)); +#72=VECTOR('',#71,2.032E0); +#73=CARTESIAN_POINT('',(-4.191E1,3.81E0,-8.89E0)); +#74=LINE('',#73,#72); +#75=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76=VECTOR('',#75,1.143E0); +#77=CARTESIAN_POINT('',(4.0513E1,3.81E0,-1.5494E1)); +#78=LINE('',#77,#76); +#79=DIRECTION('',(0.E0,-1.E0,0.E0)); +#80=VECTOR('',#79,6.096E0); +#81=CARTESIAN_POINT('',(4.2672E1,3.048E0,-1.0922E1)); +#82=LINE('',#81,#80); +#83=CARTESIAN_POINT('',(4.191E1,3.048E0,-1.0922E1)); +#84=DIRECTION('',(0.E0,0.E0,-1.E0)); +#85=DIRECTION('',(0.E0,1.E0,0.E0)); +#86=AXIS2_PLACEMENT_3D('',#83,#84,#85); +#88=DIRECTION('',(-1.E0,0.E0,0.E0)); +#89=VECTOR('',#88,1.397E0); +#90=CARTESIAN_POINT('',(4.191E1,3.81E0,-1.0922E1)); +#91=LINE('',#90,#89); +#92=DIRECTION('',(1.E0,0.E0,0.E0)); +#93=VECTOR('',#92,1.397E0); +#94=CARTESIAN_POINT('',(4.0513E1,-3.81E0,-1.0922E1)); +#95=LINE('',#94,#93); +#96=CARTESIAN_POINT('',(4.191E1,-3.048E0,-1.0922E1)); +#97=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98=DIRECTION('',(1.E0,0.E0,0.E0)); +#99=AXIS2_PLACEMENT_3D('',#96,#97,#98); +#101=DIRECTION('',(0.E0,1.E0,0.E0)); +#102=VECTOR('',#101,6.096E0); +#103=CARTESIAN_POINT('',(-4.2672E1,-3.048E0,-1.0922E1)); +#104=LINE('',#103,#102); +#105=CARTESIAN_POINT('',(-4.191E1,-3.048E0,-1.0922E1)); +#106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#108=AXIS2_PLACEMENT_3D('',#105,#106,#107); +#110=DIRECTION('',(1.E0,0.E0,0.E0)); +#111=VECTOR('',#110,1.397E0); +#112=CARTESIAN_POINT('',(-4.191E1,-3.81E0,-1.0922E1)); +#113=LINE('',#112,#111); +#114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#115=VECTOR('',#114,1.397E0); +#116=CARTESIAN_POINT('',(-4.0513E1,3.81E0,-1.0922E1)); +#117=LINE('',#116,#115); +#118=CARTESIAN_POINT('',(-4.191E1,3.048E0,-1.0922E1)); +#119=DIRECTION('',(0.E0,0.E0,-1.E0)); +#120=DIRECTION('',(-1.E0,-4.429236213728E-14,0.E0)); +#121=AXIS2_PLACEMENT_3D('',#118,#119,#120); +#123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#124=VECTOR('',#123,2.032E0); +#125=CARTESIAN_POINT('',(4.2672E1,3.048E0,-8.89E0)); +#126=LINE('',#125,#124); +#127=DIRECTION('',(0.E0,0.E0,-1.E0)); +#128=VECTOR('',#127,2.032E0); +#129=CARTESIAN_POINT('',(4.2672E1,-3.048E0,-8.89E0)); +#130=LINE('',#129,#128); +#131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#132=VECTOR('',#131,8.89E0); +#133=CARTESIAN_POINT('',(4.2672E1,-3.9116E0,0.E0)); +#134=LINE('',#133,#132); +#135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#136=VECTOR('',#135,7.8232E0); +#137=CARTESIAN_POINT('',(4.2672E1,3.9116E0,0.E0)); +#138=LINE('',#137,#136); +#139=DIRECTION('',(0.E0,0.E0,1.E0)); +#140=VECTOR('',#139,8.89E0); +#141=CARTESIAN_POINT('',(4.2672E1,3.9116E0,-8.89E0)); +#142=LINE('',#141,#140); +#143=CARTESIAN_POINT('',(4.191E1,3.048E0,-8.89E0)); +#144=DIRECTION('',(0.E0,0.E0,1.E0)); +#145=DIRECTION('',(1.E0,0.E0,0.E0)); +#146=AXIS2_PLACEMENT_3D('',#143,#144,#145); +#148=CARTESIAN_POINT('',(4.191E1,-3.048E0,-8.89E0)); +#149=DIRECTION('',(0.E0,0.E0,1.E0)); +#150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#151=AXIS2_PLACEMENT_3D('',#148,#149,#150); +#153=DIRECTION('',(1.E0,0.E0,0.E0)); +#154=VECTOR('',#153,1.143E0); +#155=CARTESIAN_POINT('',(-4.0513E1,-3.81E0,-1.5494E1)); +#156=LINE('',#155,#154); +#157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#158=VECTOR('',#157,2.032E0); +#159=CARTESIAN_POINT('',(-4.191E1,-3.81E0,-8.89E0)); +#160=LINE('',#159,#158); +#161=DIRECTION('',(1.E0,0.E0,0.E0)); +#162=VECTOR('',#161,8.382E1); +#163=CARTESIAN_POINT('',(-4.191E1,-3.81E0,-8.89E0)); +#164=LINE('',#163,#162); +#165=DIRECTION('',(0.E0,0.E0,-1.E0)); +#166=VECTOR('',#165,2.032E0); +#167=CARTESIAN_POINT('',(4.191E1,-3.81E0,-8.89E0)); +#168=LINE('',#167,#166); +#169=DIRECTION('',(0.E0,0.E0,-1.E0)); +#170=VECTOR('',#169,4.572E0); +#171=CARTESIAN_POINT('',(4.1275E1,-3.048E0,-1.0922E1)); +#172=LINE('',#171,#170); +#173=DIRECTION('',(0.E0,0.E0,1.E0)); +#174=VECTOR('',#173,4.572E0); +#175=CARTESIAN_POINT('',(4.0513E1,-3.81E0,-1.5494E1)); +#176=LINE('',#175,#174); +#177=CARTESIAN_POINT('',(4.0513E1,-3.048E0,-1.0922E1)); +#178=DIRECTION('',(0.E0,0.E0,-1.E0)); +#179=DIRECTION('',(1.E0,0.E0,0.E0)); +#180=AXIS2_PLACEMENT_3D('',#177,#178,#179); +#182=DIRECTION('',(0.E0,1.E0,0.E0)); +#183=VECTOR('',#182,5.08E-1); +#184=CARTESIAN_POINT('',(4.1275E1,2.54E0,-1.5494E1)); +#185=LINE('',#184,#183); +#186=DIRECTION('',(0.E0,3.420201433257E-1,-9.396926207859E-1)); +#187=VECTOR('',#186,1.177175138490E0); +#188=CARTESIAN_POINT('',(4.1275E1,2.137382390414E0,-1.438781720899E1)); +#189=LINE('',#188,#187); +#190=CARTESIAN_POINT('',(4.1275E1,1.540677576215E0,-1.4605E1)); +#191=DIRECTION('',(-1.E0,0.E0,0.E0)); +#192=DIRECTION('',(0.E0,0.E0,1.E0)); +#193=AXIS2_PLACEMENT_3D('',#190,#191,#192); +#195=CARTESIAN_POINT('',(4.1275E1,-1.540677576215E0,-1.4605E1)); +#196=DIRECTION('',(-1.E0,0.E0,0.E0)); +#197=DIRECTION('',(0.E0,-9.396926207859E-1,3.420201433257E-1)); +#198=AXIS2_PLACEMENT_3D('',#195,#196,#197); +#200=DIRECTION('',(0.E0,3.420201433257E-1,9.396926207859E-1)); +#201=VECTOR('',#200,1.177175138490E0); +#202=CARTESIAN_POINT('',(4.1275E1,-2.54E0,-1.5494E1)); +#203=LINE('',#202,#201); +#204=DIRECTION('',(0.E0,1.E0,0.E0)); +#205=VECTOR('',#204,5.08E-1); +#206=CARTESIAN_POINT('',(4.1275E1,-3.048E0,-1.5494E1)); +#207=LINE('',#206,#205); +#208=DIRECTION('',(0.E0,-1.E0,0.E0)); +#209=VECTOR('',#208,6.096E0); +#210=CARTESIAN_POINT('',(4.1275E1,3.048E0,-1.0922E1)); +#211=LINE('',#210,#209); +#212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#213=VECTOR('',#212,4.572E0); +#214=CARTESIAN_POINT('',(4.0513E1,3.81E0,-1.0922E1)); +#215=LINE('',#214,#213); +#216=DIRECTION('',(0.E0,0.E0,1.E0)); +#217=VECTOR('',#216,4.572E0); +#218=CARTESIAN_POINT('',(4.1275E1,3.048E0,-1.5494E1)); +#219=LINE('',#218,#217); +#220=CARTESIAN_POINT('',(4.0513E1,3.048E0,-1.0922E1)); +#221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#222=DIRECTION('',(0.E0,1.E0,0.E0)); +#223=AXIS2_PLACEMENT_3D('',#220,#221,#222); +#225=CARTESIAN_POINT('',(4.0513E1,3.048E0,-1.5494E1)); +#226=DIRECTION('',(0.E0,0.E0,-1.E0)); +#227=DIRECTION('',(0.E0,1.E0,0.E0)); +#228=AXIS2_PLACEMENT_3D('',#225,#226,#227); +#230=DIRECTION('',(1.E0,0.E0,0.E0)); +#231=VECTOR('',#230,1.905E0); +#232=CARTESIAN_POINT('',(3.937E1,2.54E0,-1.5494E1)); +#233=LINE('',#232,#231); +#234=CARTESIAN_POINT('',(3.937E1,1.540677576215E0,-1.4605E1)); +#235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#236=DIRECTION('',(0.E0,0.E0,1.E0)); +#237=AXIS2_PLACEMENT_3D('',#234,#235,#236); +#239=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#240=VECTOR('',#239,1.177175138490E0); +#241=CARTESIAN_POINT('',(3.937E1,2.54E0,-1.5494E1)); +#242=LINE('',#241,#240); +#243=DIRECTION('',(0.E0,-1.E0,0.E0)); +#244=VECTOR('',#243,1.27E0); +#245=CARTESIAN_POINT('',(3.937E1,3.81E0,-1.5494E1)); +#246=LINE('',#245,#244); +#247=DIRECTION('',(0.E0,0.E0,1.E0)); +#248=VECTOR('',#247,1.524E0); +#249=CARTESIAN_POINT('',(3.937E1,3.81E0,-1.5494E1)); +#250=LINE('',#249,#248); +#251=DIRECTION('',(0.E0,0.E0,-1.E0)); +#252=VECTOR('',#251,1.524E0); +#253=CARTESIAN_POINT('',(3.937E1,-3.81E0,-1.397E1)); +#254=LINE('',#253,#252); +#255=DIRECTION('',(0.E0,1.E0,0.E0)); +#256=VECTOR('',#255,1.27E0); +#257=CARTESIAN_POINT('',(3.937E1,-3.81E0,-1.5494E1)); +#258=LINE('',#257,#256); +#259=DIRECTION('',(0.E0,3.420201433257E-1,9.396926207859E-1)); +#260=VECTOR('',#259,1.177175138490E0); +#261=CARTESIAN_POINT('',(3.937E1,-2.54E0,-1.5494E1)); +#262=LINE('',#261,#260); +#263=CARTESIAN_POINT('',(3.937E1,-1.540677576215E0,-1.4605E1)); +#264=DIRECTION('',(-1.E0,0.E0,0.E0)); +#265=DIRECTION('',(0.E0,-9.396926207859E-1,3.420201433257E-1)); +#266=AXIS2_PLACEMENT_3D('',#263,#264,#265); +#268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#269=VECTOR('',#268,2.269322423785E0); +#270=CARTESIAN_POINT('',(-3.937E1,3.81E0,-1.397E1)); +#271=LINE('',#270,#269); +#272=DIRECTION('',(-1.E0,0.E0,0.E0)); +#273=VECTOR('',#272,1.905E0); +#274=CARTESIAN_POINT('',(-3.937E1,1.540677576215E0,-1.397E1)); +#275=LINE('',#274,#273); +#276=DIRECTION('',(0.E0,1.E0,0.E0)); +#277=VECTOR('',#276,3.081355152430E0); +#278=CARTESIAN_POINT('',(-4.1275E1,-1.540677576215E0,-1.397E1)); +#279=LINE('',#278,#277); +#280=DIRECTION('',(-1.E0,0.E0,0.E0)); +#281=VECTOR('',#280,1.905E0); +#282=CARTESIAN_POINT('',(-3.937E1,-1.540677576215E0,-1.397E1)); +#283=LINE('',#282,#281); +#284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#285=VECTOR('',#284,2.269322423785E0); +#286=CARTESIAN_POINT('',(-3.937E1,-1.540677576215E0,-1.397E1)); +#287=LINE('',#286,#285); +#288=DIRECTION('',(-1.E0,0.E0,0.E0)); +#289=VECTOR('',#288,7.874E1); +#290=CARTESIAN_POINT('',(3.937E1,-3.81E0,-1.397E1)); +#291=LINE('',#290,#289); +#292=DIRECTION('',(0.E0,-1.E0,0.E0)); +#293=VECTOR('',#292,2.269322423785E0); +#294=CARTESIAN_POINT('',(3.937E1,-1.540677576215E0,-1.397E1)); +#295=LINE('',#294,#293); +#296=DIRECTION('',(1.E0,0.E0,0.E0)); +#297=VECTOR('',#296,1.905E0); +#298=CARTESIAN_POINT('',(3.937E1,-1.540677576215E0,-1.397E1)); +#299=LINE('',#298,#297); +#300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#301=VECTOR('',#300,3.081355152430E0); +#302=CARTESIAN_POINT('',(4.1275E1,1.540677576215E0,-1.397E1)); +#303=LINE('',#302,#301); +#304=DIRECTION('',(1.E0,0.E0,0.E0)); +#305=VECTOR('',#304,1.905E0); +#306=CARTESIAN_POINT('',(3.937E1,1.540677576215E0,-1.397E1)); +#307=LINE('',#306,#305); +#308=DIRECTION('',(0.E0,-1.E0,0.E0)); +#309=VECTOR('',#308,2.269322423785E0); +#310=CARTESIAN_POINT('',(3.937E1,3.81E0,-1.397E1)); +#311=LINE('',#310,#309); +#312=DIRECTION('',(1.E0,0.E0,0.E0)); +#313=VECTOR('',#312,7.874E1); +#314=CARTESIAN_POINT('',(-3.937E1,3.81E0,-1.397E1)); +#315=LINE('',#314,#313); +#316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#317=VECTOR('',#316,1.016E0); +#318=CARTESIAN_POINT('',(-3.79984E1,-1.0922E0,-1.397E1)); +#319=LINE('',#318,#317); +#320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#321=VECTOR('',#320,1.27E-1); +#322=CARTESIAN_POINT('',(-3.79984E1,-2.1082E0,-1.397E1)); +#323=LINE('',#322,#321); +#324=DIRECTION('',(-6.428712937139E-1,7.659742160926E-1,0.E0)); +#325=VECTOR('',#324,1.975512069707E-1); +#326=CARTESIAN_POINT('',(-3.81254E1,-2.1082E0,-1.397E1)); +#327=LINE('',#326,#325); +#328=DIRECTION('',(0.E0,1.E0,0.E0)); +#329=VECTOR('',#328,1.729362101555E-1); +#330=CARTESIAN_POINT('',(-3.82524E1,-1.956880869102E0,-1.397E1)); +#331=LINE('',#330,#329); +#332=DIRECTION('',(6.428711427642E-1,-7.659743427825E-1,0.E0)); +#333=VECTOR('',#332,1.975512533568E-1); +#334=CARTESIAN_POINT('',(-3.82524E1,-1.783944658947E0,-1.397E1)); +#335=LINE('',#334,#333); +#336=DIRECTION('',(0.E0,1.E0,0.E0)); +#337=VECTOR('',#336,8.430638504028E-1); +#338=CARTESIAN_POINT('',(-3.81254E1,-1.935263850403E0,-1.397E1)); +#339=LINE('',#338,#337); +#340=DIRECTION('',(1.E0,0.E0,0.E0)); +#341=VECTOR('',#340,1.27E-1); +#342=CARTESIAN_POINT('',(-3.81254E1,-1.0922E0,-1.397E1)); +#343=LINE('',#342,#341); +#344=DIRECTION('',(0.E0,1.E0,0.E0)); +#345=VECTOR('',#344,1.080851086378E-1); +#346=CARTESIAN_POINT('',(-3.84556E1,2.000114891362E0,-1.397E1)); +#347=LINE('',#346,#345); +#348=DIRECTION('',(1.E0,0.E0,0.E0)); +#349=VECTOR('',#348,7.62E-1); +#350=CARTESIAN_POINT('',(-3.84556E1,2.1082E0,-1.397E1)); +#351=LINE('',#350,#349); +#352=DIRECTION('',(0.E0,-1.E0,0.E0)); +#353=VECTOR('',#352,1.080851086378E-1); +#354=CARTESIAN_POINT('',(-3.76936E1,2.1082E0,-1.397E1)); +#355=LINE('',#354,#353); +#356=DIRECTION('',(-1.E0,0.E0,0.E0)); +#357=VECTOR('',#356,5.926666616201E-1); +#358=CARTESIAN_POINT('',(-3.76936E1,2.000114891362E0,-1.397E1)); +#359=LINE('',#358,#357); +#360=DIRECTION('',(7.437660872566E-1,-6.684399804373E-1,0.E0)); +#361=VECTOR('',#360,7.114691980270E-1); +#362=CARTESIAN_POINT('',(-3.828626666162E1,2.000114891362E0,-1.397E1)); +#363=LINE('',#362,#361); +#364=DIRECTION('',(5.466227293958E-1,-8.373790012341E-1,0.E0)); +#365=VECTOR('',#364,7.744528583038E-2); +#366=CARTESIAN_POINT('',(-3.77571E1,1.524540434551E0,-1.397E1)); +#367=LINE('',#366,#365); +#368=DIRECTION('',(2.377711385310E-1,-9.713212062349E-1,0.E0)); +#369=VECTOR('',#368,8.902109234674E-2); +#370=CARTESIAN_POINT('',(-3.771476664648E1,1.459689378452E0,-1.397E1)); +#371=LINE('',#370,#369); +#372=DIRECTION('',(0.E0,-1.E0,0.E0)); +#373=VECTOR('',#372,4.323409795761E-2); +#374=CARTESIAN_POINT('',(-3.76936E1,1.373221303654E0,-1.397E1)); +#375=LINE('',#374,#373); +#376=DIRECTION('',(-2.377711385310E-1,-9.713212062349E-1,0.E0)); +#377=VECTOR('',#376,8.902109234674E-2); +#378=CARTESIAN_POINT('',(-3.76936E1,1.329987205696E0,-1.397E1)); +#379=LINE('',#378,#377); +#380=DIRECTION('',(-6.996250055016E-1,-7.145102180353E-1,0.E0)); +#381=VECTOR('',#380,1.210172683553E-1); +#382=CARTESIAN_POINT('',(-3.771476664648E1,1.243519130898E0,-1.397E1)); +#383=LINE('',#382,#381); +#384=DIRECTION('',(-8.906052429498E-1,-4.547771995498E-1,0.E0)); +#385=VECTOR('',#384,9.506641371225E-2); +#386=CARTESIAN_POINT('',(-3.779943335352E1,1.157051056099E0,-1.397E1)); +#387=LINE('',#386,#385); +#388=DIRECTION('',(-9.858211730931E-1,-1.677993286083E-1,0.E0)); +#389=VECTOR('',#388,1.288266102071E-1); +#390=CARTESIAN_POINT('',(-3.78841E1,1.113817018700E0,-1.397E1)); +#391=LINE('',#390,#389); +#392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#393=VECTOR('',#392,8.466667675972E-2); +#394=CARTESIAN_POINT('',(-3.80111E1,1.0922E0,-1.397E1)); +#395=LINE('',#394,#393); +#396=DIRECTION('',(-9.895240333173E-1,1.443682357291E-1,0.E0)); +#397=VECTOR('',#396,1.497352834609E-1); +#398=CARTESIAN_POINT('',(-3.809576667676E1,1.0922E0,-1.397E1)); +#399=LINE('',#398,#397); +#400=DIRECTION('',(-8.906052758868E-1,4.547771350482E-1,0.E0)); +#401=VECTOR('',#400,9.506642719563E-2); +#402=CARTESIAN_POINT('',(-3.824393333838E1,1.113817018700E0,-1.397E1)); +#403=LINE('',#402,#401); +#404=DIRECTION('',(-6.996248298646E-1,7.145103900133E-1,0.E0)); +#405=VECTOR('',#404,1.210172392273E-1); +#406=CARTESIAN_POINT('',(-3.83286E1,1.157051056099E0,-1.397E1)); +#407=LINE('',#406,#405); +#408=DIRECTION('',(-2.377713591533E-1,9.713211522284E-1,0.E0)); +#409=VECTOR('',#408,8.902109729640E-2); +#410=CARTESIAN_POINT('',(-3.841326666541E1,1.243519130898E0,-1.397E1)); +#411=LINE('',#410,#409); +#412=DIRECTION('',(1.E0,0.E0,0.E0)); +#413=VECTOR('',#412,1.058333327025E-1); +#414=CARTESIAN_POINT('',(-3.843443333270E1,1.329987205696E0,-1.397E1)); +#415=LINE('',#414,#413); +#416=DIRECTION('',(5.466225923193E-1,-8.373790907147E-1,0.E0)); +#417=VECTOR('',#416,7.744527755475E-2); +#418=CARTESIAN_POINT('',(-3.83286E1,1.329987205696E0,-1.397E1)); +#419=LINE('',#418,#417); +#420=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#421=VECTOR('',#420,7.682077837306E-2); +#422=CARTESIAN_POINT('',(-3.828626666162E1,1.265136149597E0,-1.397E1)); +#423=LINE('',#422,#421); +#424=DIRECTION('',(9.797707111493E-1,-2.001233458994E-1,0.E0)); +#425=VECTOR('',#424,1.080184753183E-1); +#426=CARTESIAN_POINT('',(-3.822276666162E1,1.221902112198E0,-1.397E1)); +#427=LINE('',#426,#425); +#428=DIRECTION('',(1.E0,0.E0,0.E0)); +#429=VECTOR('',#428,8.466664648056E-2); +#430=CARTESIAN_POINT('',(-3.811693332324E1,1.200285093498E0,-1.397E1)); +#431=LINE('',#430,#429); +#432=DIRECTION('',(9.797707055361E-1,2.001233733807E-1,0.E0)); +#433=VECTOR('',#432,1.080184604850E-1); +#434=CARTESIAN_POINT('',(-3.803226667676E1,1.200285093498E0,-1.397E1)); +#435=LINE('',#434,#433); +#436=DIRECTION('',(8.265992787996E-1,5.627909312418E-1,0.E0)); +#437=VECTOR('',#436,7.682077837306E-2); +#438=CARTESIAN_POINT('',(-3.792643335352E1,1.221902112198E0,-1.397E1)); +#439=LINE('',#438,#437); +#440=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#441=VECTOR('',#440,7.744528583038E-2); +#442=CARTESIAN_POINT('',(-3.786293335352E1,1.265136149597E0,-1.397E1)); +#443=LINE('',#442,#441); +#444=DIRECTION('',(0.E0,1.E0,0.E0)); +#445=VECTOR('',#444,4.323409795761E-2); +#446=CARTESIAN_POINT('',(-3.78206E1,1.329987205696E0,-1.397E1)); +#447=LINE('',#446,#445); +#448=DIRECTION('',(-4.397136649566E-1,8.981380143666E-1,0.E0)); +#449=VECTOR('',#448,9.627481903164E-2); +#450=CARTESIAN_POINT('',(-3.78206E1,1.373221303654E0,-1.397E1)); +#451=LINE('',#450,#449); +#452=DIRECTION('',(-7.389229549020E-1,6.737899277363E-1,0.E0)); +#453=VECTOR('',#452,8.020682569797E-1); +#454=CARTESIAN_POINT('',(-3.786293335352E1,1.459689378452E0,-1.397E1)); +#455=LINE('',#454,#453); +#456=DIRECTION('',(0.E0,1.E0,0.E0)); +#457=VECTOR('',#456,3.9878E-1); +#458=CARTESIAN_POINT('',(-3.73903875E1,2.22631E0,-1.397E1)); +#459=LINE('',#458,#457); +#460=DIRECTION('',(0.E0,1.E0,0.E0)); +#461=VECTOR('',#460,3.9878E-1); +#462=CARTESIAN_POINT('',(-3.88096125E1,2.22631E0,-1.397E1)); +#463=LINE('',#462,#461); +#464=DIRECTION('',(0.E0,-1.E0,0.E0)); +#465=VECTOR('',#464,3.9878E-1); +#466=CARTESIAN_POINT('',(-3.88096125E1,-2.22631E0,-1.397E1)); +#467=LINE('',#466,#465); +#468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#469=VECTOR('',#468,3.9878E-1); +#470=CARTESIAN_POINT('',(-3.73903875E1,-2.22631E0,-1.397E1)); +#471=LINE('',#470,#469); +#472=DIRECTION('',(0.E0,1.E0,0.E0)); +#473=VECTOR('',#472,3.9878E-1); +#474=CARTESIAN_POINT('',(-3.48503875E1,2.22631E0,-1.397E1)); +#475=LINE('',#474,#473); +#476=DIRECTION('',(0.E0,1.E0,0.E0)); +#477=VECTOR('',#476,3.9878E-1); +#478=CARTESIAN_POINT('',(-3.62696125E1,2.22631E0,-1.397E1)); +#479=LINE('',#478,#477); +#480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#481=VECTOR('',#480,3.9878E-1); +#482=CARTESIAN_POINT('',(-3.62696125E1,-2.22631E0,-1.397E1)); +#483=LINE('',#482,#481); +#484=DIRECTION('',(0.E0,-1.E0,0.E0)); +#485=VECTOR('',#484,3.9878E-1); +#486=CARTESIAN_POINT('',(-3.48503875E1,-2.22631E0,-1.397E1)); +#487=LINE('',#486,#485); +#488=DIRECTION('',(0.E0,1.E0,0.E0)); +#489=VECTOR('',#488,3.9878E-1); +#490=CARTESIAN_POINT('',(-3.23103875E1,2.22631E0,-1.397E1)); +#491=LINE('',#490,#489); +#492=DIRECTION('',(0.E0,1.E0,0.E0)); +#493=VECTOR('',#492,3.9878E-1); +#494=CARTESIAN_POINT('',(-3.37296125E1,2.22631E0,-1.397E1)); +#495=LINE('',#494,#493); +#496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#497=VECTOR('',#496,3.9878E-1); +#498=CARTESIAN_POINT('',(-3.37296125E1,-2.22631E0,-1.397E1)); +#499=LINE('',#498,#497); +#500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#501=VECTOR('',#500,3.9878E-1); +#502=CARTESIAN_POINT('',(-3.23103875E1,-2.22631E0,-1.397E1)); +#503=LINE('',#502,#501); +#504=DIRECTION('',(0.E0,1.E0,0.E0)); +#505=VECTOR('',#504,3.9878E-1); +#506=CARTESIAN_POINT('',(-2.97703875E1,2.22631E0,-1.397E1)); +#507=LINE('',#506,#505); +#508=DIRECTION('',(0.E0,1.E0,0.E0)); +#509=VECTOR('',#508,3.9878E-1); +#510=CARTESIAN_POINT('',(-3.11896125E1,2.22631E0,-1.397E1)); +#511=LINE('',#510,#509); +#512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#513=VECTOR('',#512,3.9878E-1); +#514=CARTESIAN_POINT('',(-3.11896125E1,-2.22631E0,-1.397E1)); +#515=LINE('',#514,#513); +#516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#517=VECTOR('',#516,3.9878E-1); +#518=CARTESIAN_POINT('',(-2.97703875E1,-2.22631E0,-1.397E1)); +#519=LINE('',#518,#517); +#520=DIRECTION('',(0.E0,1.E0,0.E0)); +#521=VECTOR('',#520,3.9878E-1); +#522=CARTESIAN_POINT('',(-2.72303875E1,2.22631E0,-1.397E1)); +#523=LINE('',#522,#521); +#524=DIRECTION('',(0.E0,1.E0,0.E0)); +#525=VECTOR('',#524,3.9878E-1); +#526=CARTESIAN_POINT('',(-2.86496125E1,2.22631E0,-1.397E1)); +#527=LINE('',#526,#525); +#528=DIRECTION('',(0.E0,-1.E0,0.E0)); +#529=VECTOR('',#528,3.9878E-1); +#530=CARTESIAN_POINT('',(-2.86496125E1,-2.22631E0,-1.397E1)); +#531=LINE('',#530,#529); +#532=DIRECTION('',(0.E0,-1.E0,0.E0)); +#533=VECTOR('',#532,3.9878E-1); +#534=CARTESIAN_POINT('',(-2.72303875E1,-2.22631E0,-1.397E1)); +#535=LINE('',#534,#533); +#536=DIRECTION('',(0.E0,1.E0,0.E0)); +#537=VECTOR('',#536,3.9878E-1); +#538=CARTESIAN_POINT('',(-2.46903875E1,2.22631E0,-1.397E1)); +#539=LINE('',#538,#537); +#540=DIRECTION('',(0.E0,1.E0,0.E0)); +#541=VECTOR('',#540,3.9878E-1); +#542=CARTESIAN_POINT('',(-2.61096125E1,2.22631E0,-1.397E1)); +#543=LINE('',#542,#541); +#544=DIRECTION('',(0.E0,-1.E0,0.E0)); +#545=VECTOR('',#544,3.9878E-1); +#546=CARTESIAN_POINT('',(-2.61096125E1,-2.22631E0,-1.397E1)); +#547=LINE('',#546,#545); +#548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#549=VECTOR('',#548,3.9878E-1); +#550=CARTESIAN_POINT('',(-2.46903875E1,-2.22631E0,-1.397E1)); +#551=LINE('',#550,#549); +#552=DIRECTION('',(0.E0,1.E0,0.E0)); +#553=VECTOR('',#552,3.9878E-1); +#554=CARTESIAN_POINT('',(-2.21503875E1,2.22631E0,-1.397E1)); +#555=LINE('',#554,#553); +#556=DIRECTION('',(0.E0,1.E0,0.E0)); +#557=VECTOR('',#556,3.9878E-1); +#558=CARTESIAN_POINT('',(-2.35696125E1,2.22631E0,-1.397E1)); +#559=LINE('',#558,#557); +#560=DIRECTION('',(0.E0,-1.E0,0.E0)); +#561=VECTOR('',#560,3.9878E-1); +#562=CARTESIAN_POINT('',(-2.35696125E1,-2.22631E0,-1.397E1)); +#563=LINE('',#562,#561); +#564=DIRECTION('',(0.E0,-1.E0,0.E0)); +#565=VECTOR('',#564,3.9878E-1); +#566=CARTESIAN_POINT('',(-2.21503875E1,-2.22631E0,-1.397E1)); +#567=LINE('',#566,#565); +#568=DIRECTION('',(0.E0,1.E0,0.E0)); +#569=VECTOR('',#568,3.9878E-1); +#570=CARTESIAN_POINT('',(-1.96103875E1,2.22631E0,-1.397E1)); +#571=LINE('',#570,#569); +#572=DIRECTION('',(0.E0,1.E0,0.E0)); +#573=VECTOR('',#572,3.9878E-1); +#574=CARTESIAN_POINT('',(-2.10296125E1,2.22631E0,-1.397E1)); +#575=LINE('',#574,#573); +#576=DIRECTION('',(0.E0,-1.E0,0.E0)); +#577=VECTOR('',#576,3.9878E-1); +#578=CARTESIAN_POINT('',(-2.10296125E1,-2.22631E0,-1.397E1)); +#579=LINE('',#578,#577); +#580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#581=VECTOR('',#580,3.9878E-1); +#582=CARTESIAN_POINT('',(-1.96103875E1,-2.22631E0,-1.397E1)); +#583=LINE('',#582,#581); +#584=DIRECTION('',(0.E0,1.E0,0.E0)); +#585=VECTOR('',#584,3.9878E-1); +#586=CARTESIAN_POINT('',(-1.70703875E1,2.22631E0,-1.397E1)); +#587=LINE('',#586,#585); +#588=DIRECTION('',(0.E0,1.E0,0.E0)); +#589=VECTOR('',#588,3.9878E-1); +#590=CARTESIAN_POINT('',(-1.84896125E1,2.22631E0,-1.397E1)); +#591=LINE('',#590,#589); +#592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#593=VECTOR('',#592,3.9878E-1); +#594=CARTESIAN_POINT('',(-1.84896125E1,-2.22631E0,-1.397E1)); +#595=LINE('',#594,#593); +#596=DIRECTION('',(0.E0,-1.E0,0.E0)); +#597=VECTOR('',#596,3.9878E-1); +#598=CARTESIAN_POINT('',(-1.70703875E1,-2.22631E0,-1.397E1)); +#599=LINE('',#598,#597); +#600=DIRECTION('',(0.E0,1.E0,0.E0)); +#601=VECTOR('',#600,3.9878E-1); +#602=CARTESIAN_POINT('',(-1.45303875E1,2.22631E0,-1.397E1)); +#603=LINE('',#602,#601); +#604=DIRECTION('',(0.E0,1.E0,0.E0)); +#605=VECTOR('',#604,3.9878E-1); +#606=CARTESIAN_POINT('',(-1.59496125E1,2.22631E0,-1.397E1)); +#607=LINE('',#606,#605); +#608=DIRECTION('',(0.E0,-1.E0,0.E0)); +#609=VECTOR('',#608,3.9878E-1); +#610=CARTESIAN_POINT('',(-1.59496125E1,-2.22631E0,-1.397E1)); +#611=LINE('',#610,#609); +#612=DIRECTION('',(0.E0,-1.E0,0.E0)); +#613=VECTOR('',#612,3.9878E-1); +#614=CARTESIAN_POINT('',(-1.45303875E1,-2.22631E0,-1.397E1)); +#615=LINE('',#614,#613); +#616=DIRECTION('',(0.E0,1.E0,0.E0)); +#617=VECTOR('',#616,3.9878E-1); +#618=CARTESIAN_POINT('',(-1.19903875E1,2.22631E0,-1.397E1)); +#619=LINE('',#618,#617); +#620=DIRECTION('',(-1.336343477156E-14,1.E0,0.E0)); +#621=VECTOR('',#620,3.9878E-1); +#622=CARTESIAN_POINT('',(-1.34096125E1,2.22631E0,-1.397E1)); +#623=LINE('',#622,#621); +#624=DIRECTION('',(-1.336343477156E-14,-1.E0,0.E0)); +#625=VECTOR('',#624,3.9878E-1); +#626=CARTESIAN_POINT('',(-1.34096125E1,-2.22631E0,-1.397E1)); +#627=LINE('',#626,#625); +#628=DIRECTION('',(0.E0,-1.E0,0.E0)); +#629=VECTOR('',#628,3.9878E-1); +#630=CARTESIAN_POINT('',(-1.19903875E1,-2.22631E0,-1.397E1)); +#631=LINE('',#630,#629); +#632=DIRECTION('',(0.E0,1.E0,0.E0)); +#633=VECTOR('',#632,3.9878E-1); +#634=CARTESIAN_POINT('',(-9.4503875E0,2.22631E0,-1.397E1)); +#635=LINE('',#634,#633); +#636=DIRECTION('',(0.E0,1.E0,0.E0)); +#637=VECTOR('',#636,3.9878E-1); +#638=CARTESIAN_POINT('',(-1.08696125E1,2.22631E0,-1.397E1)); +#639=LINE('',#638,#637); +#640=DIRECTION('',(0.E0,-1.E0,0.E0)); +#641=VECTOR('',#640,3.9878E-1); +#642=CARTESIAN_POINT('',(-1.08696125E1,-2.22631E0,-1.397E1)); +#643=LINE('',#642,#641); +#644=DIRECTION('',(0.E0,-1.E0,0.E0)); +#645=VECTOR('',#644,3.9878E-1); +#646=CARTESIAN_POINT('',(-9.4503875E0,-2.22631E0,-1.397E1)); +#647=LINE('',#646,#645); +#648=DIRECTION('',(0.E0,1.E0,0.E0)); +#649=VECTOR('',#648,3.9878E-1); +#650=CARTESIAN_POINT('',(-6.9103875E0,2.22631E0,-1.397E1)); +#651=LINE('',#650,#649); +#652=DIRECTION('',(0.E0,1.E0,0.E0)); +#653=VECTOR('',#652,3.9878E-1); +#654=CARTESIAN_POINT('',(-8.3296125E0,2.22631E0,-1.397E1)); +#655=LINE('',#654,#653); +#656=DIRECTION('',(0.E0,-1.E0,0.E0)); +#657=VECTOR('',#656,3.9878E-1); +#658=CARTESIAN_POINT('',(-8.3296125E0,-2.22631E0,-1.397E1)); +#659=LINE('',#658,#657); +#660=DIRECTION('',(0.E0,-1.E0,0.E0)); +#661=VECTOR('',#660,3.9878E-1); +#662=CARTESIAN_POINT('',(-6.9103875E0,-2.22631E0,-1.397E1)); +#663=LINE('',#662,#661); +#664=DIRECTION('',(0.E0,1.E0,0.E0)); +#665=VECTOR('',#664,3.9878E-1); +#666=CARTESIAN_POINT('',(-4.3703875E0,2.22631E0,-1.397E1)); +#667=LINE('',#666,#665); +#668=DIRECTION('',(0.E0,1.E0,0.E0)); +#669=VECTOR('',#668,3.9878E-1); +#670=CARTESIAN_POINT('',(-5.7896125E0,2.22631E0,-1.397E1)); +#671=LINE('',#670,#669); +#672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#673=VECTOR('',#672,3.9878E-1); +#674=CARTESIAN_POINT('',(-5.7896125E0,-2.22631E0,-1.397E1)); +#675=LINE('',#674,#673); +#676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#677=VECTOR('',#676,3.9878E-1); +#678=CARTESIAN_POINT('',(-4.3703875E0,-2.22631E0,-1.397E1)); +#679=LINE('',#678,#677); +#680=DIRECTION('',(0.E0,1.E0,0.E0)); +#681=VECTOR('',#680,3.9878E-1); +#682=CARTESIAN_POINT('',(-1.8303875E0,2.22631E0,-1.397E1)); +#683=LINE('',#682,#681); +#684=DIRECTION('',(0.E0,1.E0,0.E0)); +#685=VECTOR('',#684,3.9878E-1); +#686=CARTESIAN_POINT('',(-3.2496125E0,2.22631E0,-1.397E1)); +#687=LINE('',#686,#685); +#688=DIRECTION('',(0.E0,-1.E0,0.E0)); +#689=VECTOR('',#688,3.9878E-1); +#690=CARTESIAN_POINT('',(-3.2496125E0,-2.22631E0,-1.397E1)); +#691=LINE('',#690,#689); +#692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#693=VECTOR('',#692,3.9878E-1); +#694=CARTESIAN_POINT('',(-1.8303875E0,-2.22631E0,-1.397E1)); +#695=LINE('',#694,#693); +#696=DIRECTION('',(0.E0,1.E0,0.E0)); +#697=VECTOR('',#696,3.9878E-1); +#698=CARTESIAN_POINT('',(7.096125E-1,2.22631E0,-1.397E1)); +#699=LINE('',#698,#697); +#700=DIRECTION('',(0.E0,1.E0,0.E0)); +#701=VECTOR('',#700,3.9878E-1); +#702=CARTESIAN_POINT('',(-7.096125E-1,2.22631E0,-1.397E1)); +#703=LINE('',#702,#701); +#704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#705=VECTOR('',#704,3.9878E-1); +#706=CARTESIAN_POINT('',(-7.096125E-1,-2.22631E0,-1.397E1)); +#707=LINE('',#706,#705); +#708=DIRECTION('',(0.E0,-1.E0,0.E0)); +#709=VECTOR('',#708,3.9878E-1); +#710=CARTESIAN_POINT('',(7.096125E-1,-2.22631E0,-1.397E1)); +#711=LINE('',#710,#709); +#712=DIRECTION('',(0.E0,1.E0,0.E0)); +#713=VECTOR('',#712,3.9878E-1); +#714=CARTESIAN_POINT('',(3.2496125E0,2.22631E0,-1.397E1)); +#715=LINE('',#714,#713); +#716=DIRECTION('',(0.E0,1.E0,0.E0)); +#717=VECTOR('',#716,3.9878E-1); +#718=CARTESIAN_POINT('',(1.8303875E0,2.22631E0,-1.397E1)); +#719=LINE('',#718,#717); +#720=DIRECTION('',(0.E0,-1.E0,0.E0)); +#721=VECTOR('',#720,3.9878E-1); +#722=CARTESIAN_POINT('',(1.8303875E0,-2.22631E0,-1.397E1)); +#723=LINE('',#722,#721); +#724=DIRECTION('',(0.E0,-1.E0,0.E0)); +#725=VECTOR('',#724,3.9878E-1); +#726=CARTESIAN_POINT('',(3.2496125E0,-2.22631E0,-1.397E1)); +#727=LINE('',#726,#725); +#728=DIRECTION('',(0.E0,1.E0,0.E0)); +#729=VECTOR('',#728,3.9878E-1); +#730=CARTESIAN_POINT('',(5.7896125E0,2.22631E0,-1.397E1)); +#731=LINE('',#730,#729); +#732=DIRECTION('',(0.E0,1.E0,0.E0)); +#733=VECTOR('',#732,3.9878E-1); +#734=CARTESIAN_POINT('',(4.3703875E0,2.22631E0,-1.397E1)); +#735=LINE('',#734,#733); +#736=DIRECTION('',(0.E0,-1.E0,0.E0)); +#737=VECTOR('',#736,3.9878E-1); +#738=CARTESIAN_POINT('',(4.3703875E0,-2.22631E0,-1.397E1)); +#739=LINE('',#738,#737); +#740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#741=VECTOR('',#740,3.9878E-1); +#742=CARTESIAN_POINT('',(5.7896125E0,-2.22631E0,-1.397E1)); +#743=LINE('',#742,#741); +#744=DIRECTION('',(0.E0,1.E0,0.E0)); +#745=VECTOR('',#744,3.9878E-1); +#746=CARTESIAN_POINT('',(8.3296125E0,2.22631E0,-1.397E1)); +#747=LINE('',#746,#745); +#748=DIRECTION('',(0.E0,1.E0,0.E0)); +#749=VECTOR('',#748,3.9878E-1); +#750=CARTESIAN_POINT('',(6.9103875E0,2.22631E0,-1.397E1)); +#751=LINE('',#750,#749); +#752=DIRECTION('',(0.E0,-1.E0,0.E0)); +#753=VECTOR('',#752,3.9878E-1); +#754=CARTESIAN_POINT('',(6.9103875E0,-2.22631E0,-1.397E1)); +#755=LINE('',#754,#753); +#756=DIRECTION('',(0.E0,-1.E0,0.E0)); +#757=VECTOR('',#756,3.9878E-1); +#758=CARTESIAN_POINT('',(8.3296125E0,-2.22631E0,-1.397E1)); +#759=LINE('',#758,#757); +#760=DIRECTION('',(0.E0,1.E0,0.E0)); +#761=VECTOR('',#760,3.9878E-1); +#762=CARTESIAN_POINT('',(1.08696125E1,2.22631E0,-1.397E1)); +#763=LINE('',#762,#761); +#764=DIRECTION('',(0.E0,1.E0,0.E0)); +#765=VECTOR('',#764,3.9878E-1); +#766=CARTESIAN_POINT('',(9.4503875E0,2.22631E0,-1.397E1)); +#767=LINE('',#766,#765); +#768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#769=VECTOR('',#768,3.9878E-1); +#770=CARTESIAN_POINT('',(9.4503875E0,-2.22631E0,-1.397E1)); +#771=LINE('',#770,#769); +#772=DIRECTION('',(0.E0,-1.E0,0.E0)); +#773=VECTOR('',#772,3.9878E-1); +#774=CARTESIAN_POINT('',(1.08696125E1,-2.22631E0,-1.397E1)); +#775=LINE('',#774,#773); +#776=DIRECTION('',(0.E0,1.E0,0.E0)); +#777=VECTOR('',#776,3.9878E-1); +#778=CARTESIAN_POINT('',(1.34096125E1,2.22631E0,-1.397E1)); +#779=LINE('',#778,#777); +#780=DIRECTION('',(0.E0,1.E0,0.E0)); +#781=VECTOR('',#780,3.9878E-1); +#782=CARTESIAN_POINT('',(1.19903875E1,2.22631E0,-1.397E1)); +#783=LINE('',#782,#781); +#784=DIRECTION('',(0.E0,-1.E0,0.E0)); +#785=VECTOR('',#784,3.9878E-1); +#786=CARTESIAN_POINT('',(1.19903875E1,-2.22631E0,-1.397E1)); +#787=LINE('',#786,#785); +#788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#789=VECTOR('',#788,3.9878E-1); +#790=CARTESIAN_POINT('',(1.34096125E1,-2.22631E0,-1.397E1)); +#791=LINE('',#790,#789); +#792=DIRECTION('',(0.E0,1.E0,0.E0)); +#793=VECTOR('',#792,3.9878E-1); +#794=CARTESIAN_POINT('',(1.59496125E1,2.22631E0,-1.397E1)); +#795=LINE('',#794,#793); +#796=DIRECTION('',(0.E0,1.E0,0.E0)); +#797=VECTOR('',#796,3.9878E-1); +#798=CARTESIAN_POINT('',(1.45303875E1,2.22631E0,-1.397E1)); +#799=LINE('',#798,#797); +#800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#801=VECTOR('',#800,3.9878E-1); +#802=CARTESIAN_POINT('',(1.45303875E1,-2.22631E0,-1.397E1)); +#803=LINE('',#802,#801); +#804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#805=VECTOR('',#804,3.9878E-1); +#806=CARTESIAN_POINT('',(1.59496125E1,-2.22631E0,-1.397E1)); +#807=LINE('',#806,#805); +#808=DIRECTION('',(0.E0,1.E0,0.E0)); +#809=VECTOR('',#808,3.9878E-1); +#810=CARTESIAN_POINT('',(1.84896125E1,2.22631E0,-1.397E1)); +#811=LINE('',#810,#809); +#812=DIRECTION('',(0.E0,1.E0,0.E0)); +#813=VECTOR('',#812,3.9878E-1); +#814=CARTESIAN_POINT('',(1.70703875E1,2.22631E0,-1.397E1)); +#815=LINE('',#814,#813); +#816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#817=VECTOR('',#816,3.9878E-1); +#818=CARTESIAN_POINT('',(1.70703875E1,-2.22631E0,-1.397E1)); +#819=LINE('',#818,#817); +#820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#821=VECTOR('',#820,3.9878E-1); +#822=CARTESIAN_POINT('',(1.84896125E1,-2.22631E0,-1.397E1)); +#823=LINE('',#822,#821); +#824=DIRECTION('',(0.E0,1.E0,0.E0)); +#825=VECTOR('',#824,3.9878E-1); +#826=CARTESIAN_POINT('',(2.10296125E1,2.22631E0,-1.397E1)); +#827=LINE('',#826,#825); +#828=DIRECTION('',(0.E0,1.E0,0.E0)); +#829=VECTOR('',#828,3.9878E-1); +#830=CARTESIAN_POINT('',(1.96103875E1,2.22631E0,-1.397E1)); +#831=LINE('',#830,#829); +#832=DIRECTION('',(0.E0,-1.E0,0.E0)); +#833=VECTOR('',#832,3.9878E-1); +#834=CARTESIAN_POINT('',(1.96103875E1,-2.22631E0,-1.397E1)); +#835=LINE('',#834,#833); +#836=DIRECTION('',(0.E0,-1.E0,0.E0)); +#837=VECTOR('',#836,3.9878E-1); +#838=CARTESIAN_POINT('',(2.10296125E1,-2.22631E0,-1.397E1)); +#839=LINE('',#838,#837); +#840=DIRECTION('',(0.E0,1.E0,0.E0)); +#841=VECTOR('',#840,3.9878E-1); +#842=CARTESIAN_POINT('',(2.35696125E1,2.22631E0,-1.397E1)); +#843=LINE('',#842,#841); +#844=DIRECTION('',(0.E0,1.E0,0.E0)); +#845=VECTOR('',#844,3.9878E-1); +#846=CARTESIAN_POINT('',(2.21503875E1,2.22631E0,-1.397E1)); +#847=LINE('',#846,#845); +#848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#849=VECTOR('',#848,3.9878E-1); +#850=CARTESIAN_POINT('',(2.21503875E1,-2.22631E0,-1.397E1)); +#851=LINE('',#850,#849); +#852=DIRECTION('',(0.E0,-1.E0,0.E0)); +#853=VECTOR('',#852,3.9878E-1); +#854=CARTESIAN_POINT('',(2.35696125E1,-2.22631E0,-1.397E1)); +#855=LINE('',#854,#853); +#856=DIRECTION('',(0.E0,1.E0,0.E0)); +#857=VECTOR('',#856,3.9878E-1); +#858=CARTESIAN_POINT('',(2.61096125E1,2.22631E0,-1.397E1)); +#859=LINE('',#858,#857); +#860=DIRECTION('',(0.E0,1.E0,0.E0)); +#861=VECTOR('',#860,3.9878E-1); +#862=CARTESIAN_POINT('',(2.46903875E1,2.22631E0,-1.397E1)); +#863=LINE('',#862,#861); +#864=DIRECTION('',(0.E0,-1.E0,0.E0)); +#865=VECTOR('',#864,3.9878E-1); +#866=CARTESIAN_POINT('',(2.46903875E1,-2.22631E0,-1.397E1)); +#867=LINE('',#866,#865); +#868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#869=VECTOR('',#868,3.9878E-1); +#870=CARTESIAN_POINT('',(2.61096125E1,-2.22631E0,-1.397E1)); +#871=LINE('',#870,#869); +#872=DIRECTION('',(0.E0,1.E0,0.E0)); +#873=VECTOR('',#872,3.9878E-1); +#874=CARTESIAN_POINT('',(2.86496125E1,2.22631E0,-1.397E1)); +#875=LINE('',#874,#873); +#876=DIRECTION('',(0.E0,1.E0,0.E0)); +#877=VECTOR('',#876,3.9878E-1); +#878=CARTESIAN_POINT('',(2.72303875E1,2.22631E0,-1.397E1)); +#879=LINE('',#878,#877); +#880=DIRECTION('',(0.E0,-1.E0,0.E0)); +#881=VECTOR('',#880,3.9878E-1); +#882=CARTESIAN_POINT('',(2.72303875E1,-2.22631E0,-1.397E1)); +#883=LINE('',#882,#881); +#884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#885=VECTOR('',#884,3.9878E-1); +#886=CARTESIAN_POINT('',(2.86496125E1,-2.22631E0,-1.397E1)); +#887=LINE('',#886,#885); +#888=DIRECTION('',(0.E0,1.E0,0.E0)); +#889=VECTOR('',#888,3.9878E-1); +#890=CARTESIAN_POINT('',(3.11896125E1,2.22631E0,-1.397E1)); +#891=LINE('',#890,#889); +#892=DIRECTION('',(0.E0,1.E0,0.E0)); +#893=VECTOR('',#892,3.9878E-1); +#894=CARTESIAN_POINT('',(2.97703875E1,2.22631E0,-1.397E1)); +#895=LINE('',#894,#893); +#896=DIRECTION('',(0.E0,-1.E0,0.E0)); +#897=VECTOR('',#896,3.9878E-1); +#898=CARTESIAN_POINT('',(2.97703875E1,-2.22631E0,-1.397E1)); +#899=LINE('',#898,#897); +#900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#901=VECTOR('',#900,3.9878E-1); +#902=CARTESIAN_POINT('',(3.11896125E1,-2.22631E0,-1.397E1)); +#903=LINE('',#902,#901); +#904=DIRECTION('',(1.781791302874E-14,1.E0,0.E0)); +#905=VECTOR('',#904,3.9878E-1); +#906=CARTESIAN_POINT('',(3.37296125E1,2.22631E0,-1.397E1)); +#907=LINE('',#906,#905); +#908=DIRECTION('',(0.E0,1.E0,0.E0)); +#909=VECTOR('',#908,3.9878E-1); +#910=CARTESIAN_POINT('',(3.23103875E1,2.22631E0,-1.397E1)); +#911=LINE('',#910,#909); +#912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#913=VECTOR('',#912,3.9878E-1); +#914=CARTESIAN_POINT('',(3.23103875E1,-2.22631E0,-1.397E1)); +#915=LINE('',#914,#913); +#916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#917=VECTOR('',#916,3.9878E-1); +#918=CARTESIAN_POINT('',(3.37296125E1,-2.22631E0,-1.397E1)); +#919=LINE('',#918,#917); +#920=DIRECTION('',(0.E0,1.E0,0.E0)); +#921=VECTOR('',#920,3.9878E-1); +#922=CARTESIAN_POINT('',(3.62696125E1,2.22631E0,-1.397E1)); +#923=LINE('',#922,#921); +#924=DIRECTION('',(0.E0,1.E0,0.E0)); +#925=VECTOR('',#924,3.9878E-1); +#926=CARTESIAN_POINT('',(3.48503875E1,2.22631E0,-1.397E1)); +#927=LINE('',#926,#925); +#928=DIRECTION('',(0.E0,-1.E0,0.E0)); +#929=VECTOR('',#928,3.9878E-1); +#930=CARTESIAN_POINT('',(3.48503875E1,-2.22631E0,-1.397E1)); +#931=LINE('',#930,#929); +#932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#933=VECTOR('',#932,3.9878E-1); +#934=CARTESIAN_POINT('',(3.62696125E1,-2.22631E0,-1.397E1)); +#935=LINE('',#934,#933); +#936=DIRECTION('',(0.E0,1.E0,0.E0)); +#937=VECTOR('',#936,3.9878E-1); +#938=CARTESIAN_POINT('',(3.88096125E1,2.22631E0,-1.397E1)); +#939=LINE('',#938,#937); +#940=DIRECTION('',(0.E0,1.E0,0.E0)); +#941=VECTOR('',#940,3.9878E-1); +#942=CARTESIAN_POINT('',(3.73903875E1,2.22631E0,-1.397E1)); +#943=LINE('',#942,#941); +#944=DIRECTION('',(0.E0,-1.E0,0.E0)); +#945=VECTOR('',#944,3.9878E-1); +#946=CARTESIAN_POINT('',(3.73903875E1,-2.22631E0,-1.397E1)); +#947=LINE('',#946,#945); +#948=DIRECTION('',(0.E0,-1.E0,0.E0)); +#949=VECTOR('',#948,3.9878E-1); +#950=CARTESIAN_POINT('',(3.88096125E1,-2.22631E0,-1.397E1)); +#951=LINE('',#950,#949); +#952=DIRECTION('',(0.E0,0.E0,-1.E0)); +#953=VECTOR('',#952,1.524E0); +#954=CARTESIAN_POINT('',(-3.937E1,3.81E0,-1.397E1)); +#955=LINE('',#954,#953); +#956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#957=VECTOR('',#956,1.27E0); +#958=CARTESIAN_POINT('',(-3.937E1,3.81E0,-1.5494E1)); +#959=LINE('',#958,#957); +#960=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#961=VECTOR('',#960,1.177175138490E0); +#962=CARTESIAN_POINT('',(-3.937E1,2.54E0,-1.5494E1)); +#963=LINE('',#962,#961); +#964=CARTESIAN_POINT('',(-3.937E1,1.540677576215E0,-1.4605E1)); +#965=DIRECTION('',(1.E0,0.E0,0.E0)); +#966=DIRECTION('',(0.E0,9.396926207859E-1,3.420201433257E-1)); +#967=AXIS2_PLACEMENT_3D('',#964,#965,#966); +#969=CARTESIAN_POINT('',(-3.937E1,-1.540677576215E0,-1.4605E1)); +#970=DIRECTION('',(1.E0,0.E0,0.E0)); +#971=DIRECTION('',(0.E0,0.E0,1.E0)); +#972=AXIS2_PLACEMENT_3D('',#969,#970,#971); +#974=DIRECTION('',(0.E0,-3.420201433257E-1,-9.396926207859E-1)); +#975=VECTOR('',#974,1.177175138490E0); +#976=CARTESIAN_POINT('',(-3.937E1,-2.137382390414E0,-1.438781720899E1)); +#977=LINE('',#976,#975); +#978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#979=VECTOR('',#978,1.27E0); +#980=CARTESIAN_POINT('',(-3.937E1,-2.54E0,-1.5494E1)); +#981=LINE('',#980,#979); +#982=DIRECTION('',(0.E0,0.E0,1.E0)); +#983=VECTOR('',#982,1.524E0); +#984=CARTESIAN_POINT('',(-3.937E1,-3.81E0,-1.5494E1)); +#985=LINE('',#984,#983); +#986=CARTESIAN_POINT('',(-4.0513E1,3.048E0,-1.5494E1)); +#987=DIRECTION('',(0.E0,0.E0,-1.E0)); +#988=DIRECTION('',(-1.E0,4.487515637592E-14,0.E0)); +#989=AXIS2_PLACEMENT_3D('',#986,#987,#988); +#991=DIRECTION('',(1.E0,0.E0,0.E0)); +#992=VECTOR('',#991,1.143E0); +#993=CARTESIAN_POINT('',(-4.0513E1,3.81E0,-1.5494E1)); +#994=LINE('',#993,#992); +#995=DIRECTION('',(0.E0,0.E0,-1.E0)); +#996=VECTOR('',#995,4.572E0); +#997=CARTESIAN_POINT('',(-4.1275E1,3.048E0,-1.0922E1)); +#998=LINE('',#997,#996); +#999=DIRECTION('',(0.E0,0.E0,1.E0)); +#1000=VECTOR('',#999,4.572E0); +#1001=CARTESIAN_POINT('',(-4.0513E1,3.81E0,-1.5494E1)); +#1002=LINE('',#1001,#1000); +#1003=CARTESIAN_POINT('',(-4.0513E1,3.048E0,-1.0922E1)); +#1004=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1006=AXIS2_PLACEMENT_3D('',#1003,#1004,#1005); +#1008=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1009=VECTOR('',#1008,5.08E-1); +#1010=CARTESIAN_POINT('',(-4.1275E1,-2.54E0,-1.5494E1)); +#1011=LINE('',#1010,#1009); +#1012=DIRECTION('',(0.E0,-3.420201433257E-1,-9.396926207859E-1)); +#1013=VECTOR('',#1012,1.177175138490E0); +#1014=CARTESIAN_POINT('',(-4.1275E1,-2.137382390414E0,-1.438781720899E1)); +#1015=LINE('',#1014,#1013); +#1016=CARTESIAN_POINT('',(-4.1275E1,-1.540677576215E0,-1.4605E1)); +#1017=DIRECTION('',(1.E0,0.E0,0.E0)); +#1018=DIRECTION('',(0.E0,0.E0,1.E0)); +#1019=AXIS2_PLACEMENT_3D('',#1016,#1017,#1018); +#1021=CARTESIAN_POINT('',(-4.1275E1,1.540677576215E0,-1.4605E1)); +#1022=DIRECTION('',(1.E0,0.E0,0.E0)); +#1023=DIRECTION('',(0.E0,9.396926207859E-1,3.420201433257E-1)); +#1024=AXIS2_PLACEMENT_3D('',#1021,#1022,#1023); +#1026=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#1027=VECTOR('',#1026,1.177175138490E0); +#1028=CARTESIAN_POINT('',(-4.1275E1,2.54E0,-1.5494E1)); +#1029=LINE('',#1028,#1027); +#1030=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1031=VECTOR('',#1030,5.08E-1); +#1032=CARTESIAN_POINT('',(-4.1275E1,3.048E0,-1.5494E1)); +#1033=LINE('',#1032,#1031); +#1034=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1035=VECTOR('',#1034,6.096E0); +#1036=CARTESIAN_POINT('',(-4.1275E1,3.048E0,-1.0922E1)); +#1037=LINE('',#1036,#1035); +#1038=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1039=VECTOR('',#1038,4.572E0); +#1040=CARTESIAN_POINT('',(-4.0513E1,-3.81E0,-1.0922E1)); +#1041=LINE('',#1040,#1039); +#1042=DIRECTION('',(0.E0,0.E0,1.E0)); +#1043=VECTOR('',#1042,4.572E0); +#1044=CARTESIAN_POINT('',(-4.1275E1,-3.048E0,-1.5494E1)); +#1045=LINE('',#1044,#1043); +#1046=CARTESIAN_POINT('',(-4.0513E1,-3.048E0,-1.0922E1)); +#1047=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1048=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1049=AXIS2_PLACEMENT_3D('',#1046,#1047,#1048); +#1051=CARTESIAN_POINT('',(-4.0513E1,-3.048E0,-1.5494E1)); +#1052=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1053=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1054=AXIS2_PLACEMENT_3D('',#1051,#1052,#1053); +#1056=DIRECTION('',(1.E0,0.E0,0.E0)); +#1057=VECTOR('',#1056,1.905E0); +#1058=CARTESIAN_POINT('',(-4.1275E1,-2.54E0,-1.5494E1)); +#1059=LINE('',#1058,#1057); +#1060=DIRECTION('',(1.E0,0.E0,0.E0)); +#1061=VECTOR('',#1060,1.905E0); +#1062=CARTESIAN_POINT('',(-4.1275E1,-2.137382390414E0,-1.438781720899E1)); +#1063=LINE('',#1062,#1061); +#1064=DIRECTION('',(1.E0,0.E0,0.E0)); +#1065=VECTOR('',#1064,1.905E0); +#1066=CARTESIAN_POINT('',(-4.1275E1,2.137382390414E0,-1.438781720899E1)); +#1067=LINE('',#1066,#1065); +#1068=DIRECTION('',(1.E0,0.E0,0.E0)); +#1069=VECTOR('',#1068,1.905E0); +#1070=CARTESIAN_POINT('',(-4.1275E1,2.54E0,-1.5494E1)); +#1071=LINE('',#1070,#1069); +#1072=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1073=VECTOR('',#1072,1.905E0); +#1074=CARTESIAN_POINT('',(4.1275E1,-2.137382390414E0,-1.438781720899E1)); +#1075=LINE('',#1074,#1073); +#1076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1077=VECTOR('',#1076,1.905E0); +#1078=CARTESIAN_POINT('',(4.1275E1,-2.54E0,-1.5494E1)); +#1079=LINE('',#1078,#1077); +#1080=CARTESIAN_POINT('',(4.0513E1,-3.048E0,-1.5494E1)); +#1081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1082=DIRECTION('',(1.E0,-4.487515637592E-14,0.E0)); +#1083=AXIS2_PLACEMENT_3D('',#1080,#1081,#1082); +#1085=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1086=VECTOR('',#1085,1.143E0); +#1087=CARTESIAN_POINT('',(4.0513E1,-3.81E0,-1.5494E1)); +#1088=LINE('',#1087,#1086); +#1089=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1090=VECTOR('',#1089,1.905E0); +#1091=CARTESIAN_POINT('',(4.1275E1,2.137382390414E0,-1.438781720899E1)); +#1092=LINE('',#1091,#1090); +#1093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1094=VECTOR('',#1093,1.27E-1); +#1095=CARTESIAN_POINT('',(-3.79984E1,-1.0922E0,-1.397E1)); +#1096=LINE('',#1095,#1094); +#1097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1098=VECTOR('',#1097,1.27E-1); +#1099=CARTESIAN_POINT('',(-3.81254E1,-1.0922E0,-1.397E1)); +#1100=LINE('',#1099,#1098); +#1101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1102=VECTOR('',#1101,1.27E-1); +#1103=CARTESIAN_POINT('',(-3.81254E1,-1.935263850403E0,-1.397E1)); +#1104=LINE('',#1103,#1102); +#1105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1106=VECTOR('',#1105,1.27E-1); +#1107=CARTESIAN_POINT('',(-3.82524E1,-1.783944658947E0,-1.397E1)); +#1108=LINE('',#1107,#1106); +#1109=DIRECTION('',(0.E0,-2.797412345512E-14,-1.E0)); +#1110=VECTOR('',#1109,1.27E-1); +#1111=CARTESIAN_POINT('',(-3.82524E1,-1.956880869102E0,-1.397E1)); +#1112=LINE('',#1111,#1110); +#1113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1114=VECTOR('',#1113,1.27E-1); +#1115=CARTESIAN_POINT('',(-3.81254E1,-2.1082E0,-1.397E1)); +#1116=LINE('',#1115,#1114); +#1117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1118=VECTOR('',#1117,1.27E-1); +#1119=CARTESIAN_POINT('',(-3.79984E1,-2.1082E0,-1.397E1)); +#1120=LINE('',#1119,#1118); +#1121=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1122=VECTOR('',#1121,1.016E0); +#1123=CARTESIAN_POINT('',(-3.79984E1,-1.0922E0,-1.4097E1)); +#1124=LINE('',#1123,#1122); +#1125=DIRECTION('',(1.E0,0.E0,0.E0)); +#1126=VECTOR('',#1125,1.27E-1); +#1127=CARTESIAN_POINT('',(-3.81254E1,-1.0922E0,-1.4097E1)); +#1128=LINE('',#1127,#1126); +#1129=DIRECTION('',(0.E0,1.E0,0.E0)); +#1130=VECTOR('',#1129,8.430638504028E-1); +#1131=CARTESIAN_POINT('',(-3.81254E1,-1.935263850403E0,-1.4097E1)); +#1132=LINE('',#1131,#1130); +#1133=DIRECTION('',(6.428711427642E-1,-7.659743427825E-1,0.E0)); +#1134=VECTOR('',#1133,1.975512533568E-1); +#1135=CARTESIAN_POINT('',(-3.82524E1,-1.783944658947E0,-1.4097E1)); +#1136=LINE('',#1135,#1134); +#1137=DIRECTION('',(0.E0,1.E0,0.E0)); +#1138=VECTOR('',#1137,1.729362101555E-1); +#1139=CARTESIAN_POINT('',(-3.82524E1,-1.956880869102E0,-1.4097E1)); +#1140=LINE('',#1139,#1138); +#1141=DIRECTION('',(-6.428712937139E-1,7.659742160925E-1,0.E0)); +#1142=VECTOR('',#1141,1.975512069707E-1); +#1143=CARTESIAN_POINT('',(-3.81254E1,-2.1082E0,-1.4097E1)); +#1144=LINE('',#1143,#1142); +#1145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1146=VECTOR('',#1145,1.27E-1); +#1147=CARTESIAN_POINT('',(-3.79984E1,-2.1082E0,-1.4097E1)); +#1148=LINE('',#1147,#1146); +#1149=DIRECTION('',(0.E0,1.E0,0.E0)); +#1150=VECTOR('',#1149,1.080851086378E-1); +#1151=CARTESIAN_POINT('',(-3.84556E1,2.000114891362E0,-1.4097E1)); +#1152=LINE('',#1151,#1150); +#1153=DIRECTION('',(-7.389229549020E-1,6.737899277363E-1,0.E0)); +#1154=VECTOR('',#1153,8.020682569797E-1); +#1155=CARTESIAN_POINT('',(-3.786293335352E1,1.459689378452E0,-1.4097E1)); +#1156=LINE('',#1155,#1154); +#1157=DIRECTION('',(-4.397136649566E-1,8.981380143666E-1,0.E0)); +#1158=VECTOR('',#1157,9.627481903164E-2); +#1159=CARTESIAN_POINT('',(-3.78206E1,1.373221303654E0,-1.4097E1)); +#1160=LINE('',#1159,#1158); +#1161=DIRECTION('',(0.E0,1.E0,0.E0)); +#1162=VECTOR('',#1161,4.323409795761E-2); +#1163=CARTESIAN_POINT('',(-3.78206E1,1.329987205696E0,-1.4097E1)); +#1164=LINE('',#1163,#1162); +#1165=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#1166=VECTOR('',#1165,7.744528583038E-2); +#1167=CARTESIAN_POINT('',(-3.786293335352E1,1.265136149597E0,-1.4097E1)); +#1168=LINE('',#1167,#1166); +#1169=DIRECTION('',(8.265992787996E-1,5.627909312418E-1,0.E0)); +#1170=VECTOR('',#1169,7.682077837306E-2); +#1171=CARTESIAN_POINT('',(-3.792643335352E1,1.221902112198E0,-1.4097E1)); +#1172=LINE('',#1171,#1170); +#1173=DIRECTION('',(9.797707055361E-1,2.001233733807E-1,0.E0)); +#1174=VECTOR('',#1173,1.080184604850E-1); +#1175=CARTESIAN_POINT('',(-3.803226667676E1,1.200285093498E0,-1.4097E1)); +#1176=LINE('',#1175,#1174); +#1177=DIRECTION('',(1.E0,0.E0,0.E0)); +#1178=VECTOR('',#1177,8.466664648056E-2); +#1179=CARTESIAN_POINT('',(-3.811693332324E1,1.200285093498E0,-1.4097E1)); +#1180=LINE('',#1179,#1178); +#1181=DIRECTION('',(9.797707111493E-1,-2.001233458994E-1,0.E0)); +#1182=VECTOR('',#1181,1.080184753183E-1); +#1183=CARTESIAN_POINT('',(-3.822276666162E1,1.221902112198E0,-1.4097E1)); +#1184=LINE('',#1183,#1182); +#1185=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#1186=VECTOR('',#1185,7.682077837307E-2); +#1187=CARTESIAN_POINT('',(-3.828626666162E1,1.265136149597E0,-1.4097E1)); +#1188=LINE('',#1187,#1186); +#1189=DIRECTION('',(5.466225923192E-1,-8.373790907147E-1,0.E0)); +#1190=VECTOR('',#1189,7.744527755474E-2); +#1191=CARTESIAN_POINT('',(-3.83286E1,1.329987205696E0,-1.4097E1)); +#1192=LINE('',#1191,#1190); +#1193=DIRECTION('',(1.E0,0.E0,0.E0)); +#1194=VECTOR('',#1193,1.058333327025E-1); +#1195=CARTESIAN_POINT('',(-3.843443333270E1,1.329987205696E0,-1.4097E1)); +#1196=LINE('',#1195,#1194); +#1197=DIRECTION('',(-2.377713591534E-1,9.713211522284E-1,0.E0)); +#1198=VECTOR('',#1197,8.902109729641E-2); +#1199=CARTESIAN_POINT('',(-3.841326666541E1,1.243519130898E0,-1.4097E1)); +#1200=LINE('',#1199,#1198); +#1201=DIRECTION('',(-6.996248298646E-1,7.145103900133E-1,0.E0)); +#1202=VECTOR('',#1201,1.210172392272E-1); +#1203=CARTESIAN_POINT('',(-3.83286E1,1.157051056099E0,-1.4097E1)); +#1204=LINE('',#1203,#1202); +#1205=DIRECTION('',(-8.906052758868E-1,4.547771350482E-1,0.E0)); +#1206=VECTOR('',#1205,9.506642719563E-2); +#1207=CARTESIAN_POINT('',(-3.824393333838E1,1.113817018700E0,-1.4097E1)); +#1208=LINE('',#1207,#1206); +#1209=DIRECTION('',(-9.895240333173E-1,1.443682357291E-1,0.E0)); +#1210=VECTOR('',#1209,1.497352834609E-1); +#1211=CARTESIAN_POINT('',(-3.809576667676E1,1.0922E0,-1.4097E1)); +#1212=LINE('',#1211,#1210); +#1213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1214=VECTOR('',#1213,8.466667675972E-2); +#1215=CARTESIAN_POINT('',(-3.80111E1,1.0922E0,-1.4097E1)); +#1216=LINE('',#1215,#1214); +#1217=DIRECTION('',(-9.858211730931E-1,-1.677993286083E-1,0.E0)); +#1218=VECTOR('',#1217,1.288266102071E-1); +#1219=CARTESIAN_POINT('',(-3.78841E1,1.113817018700E0,-1.4097E1)); +#1220=LINE('',#1219,#1218); +#1221=DIRECTION('',(-8.906052429498E-1,-4.547771995498E-1,0.E0)); +#1222=VECTOR('',#1221,9.506641371223E-2); +#1223=CARTESIAN_POINT('',(-3.779943335352E1,1.157051056099E0,-1.4097E1)); +#1224=LINE('',#1223,#1222); +#1225=DIRECTION('',(-6.996250055017E-1,-7.145102180352E-1,0.E0)); +#1226=VECTOR('',#1225,1.210172683553E-1); +#1227=CARTESIAN_POINT('',(-3.771476664648E1,1.243519130898E0,-1.4097E1)); +#1228=LINE('',#1227,#1226); +#1229=DIRECTION('',(-2.377711385310E-1,-9.713212062349E-1,0.E0)); +#1230=VECTOR('',#1229,8.902109234674E-2); +#1231=CARTESIAN_POINT('',(-3.76936E1,1.329987205696E0,-1.4097E1)); +#1232=LINE('',#1231,#1230); +#1233=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1234=VECTOR('',#1233,4.323409795761E-2); +#1235=CARTESIAN_POINT('',(-3.76936E1,1.373221303654E0,-1.4097E1)); +#1236=LINE('',#1235,#1234); +#1237=DIRECTION('',(2.377711385310E-1,-9.713212062349E-1,0.E0)); +#1238=VECTOR('',#1237,8.902109234674E-2); +#1239=CARTESIAN_POINT('',(-3.771476664648E1,1.459689378452E0,-1.4097E1)); +#1240=LINE('',#1239,#1238); +#1241=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#1242=VECTOR('',#1241,7.744528583038E-2); +#1243=CARTESIAN_POINT('',(-3.77571E1,1.524540434551E0,-1.4097E1)); +#1244=LINE('',#1243,#1242); +#1245=DIRECTION('',(7.437660872566E-1,-6.684399804373E-1,0.E0)); +#1246=VECTOR('',#1245,7.114691980270E-1); +#1247=CARTESIAN_POINT('',(-3.828626666162E1,2.000114891362E0,-1.4097E1)); +#1248=LINE('',#1247,#1246); +#1249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1250=VECTOR('',#1249,5.926666616201E-1); +#1251=CARTESIAN_POINT('',(-3.76936E1,2.000114891362E0,-1.4097E1)); +#1252=LINE('',#1251,#1250); +#1253=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1254=VECTOR('',#1253,1.080851086378E-1); +#1255=CARTESIAN_POINT('',(-3.76936E1,2.1082E0,-1.4097E1)); +#1256=LINE('',#1255,#1254); +#1257=DIRECTION('',(1.E0,0.E0,0.E0)); +#1258=VECTOR('',#1257,7.62E-1); +#1259=CARTESIAN_POINT('',(-3.84556E1,2.1082E0,-1.4097E1)); +#1260=LINE('',#1259,#1258); +#1261=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1262=VECTOR('',#1261,1.27E-1); +#1263=CARTESIAN_POINT('',(-3.84556E1,2.000114891362E0,-1.397E1)); +#1264=LINE('',#1263,#1262); +#1265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1266=VECTOR('',#1265,1.27E-1); +#1267=CARTESIAN_POINT('',(-3.786293335352E1,1.459689378452E0,-1.397E1)); +#1268=LINE('',#1267,#1266); +#1269=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1270=VECTOR('',#1269,1.27E-1); +#1271=CARTESIAN_POINT('',(-3.78206E1,1.373221303654E0,-1.397E1)); +#1272=LINE('',#1271,#1270); +#1273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1274=VECTOR('',#1273,1.27E-1); +#1275=CARTESIAN_POINT('',(-3.78206E1,1.329987205696E0,-1.397E1)); +#1276=LINE('',#1275,#1274); +#1277=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1278=VECTOR('',#1277,1.27E-1); +#1279=CARTESIAN_POINT('',(-3.786293335352E1,1.265136149597E0,-1.397E1)); +#1280=LINE('',#1279,#1278); +#1281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1282=VECTOR('',#1281,1.27E-1); +#1283=CARTESIAN_POINT('',(-3.792643335352E1,1.221902112198E0,-1.397E1)); +#1284=LINE('',#1283,#1282); +#1285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1286=VECTOR('',#1285,1.27E-1); +#1287=CARTESIAN_POINT('',(-3.803226667676E1,1.200285093498E0,-1.397E1)); +#1288=LINE('',#1287,#1286); +#1289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1290=VECTOR('',#1289,1.27E-1); +#1291=CARTESIAN_POINT('',(-3.811693332324E1,1.200285093498E0,-1.397E1)); +#1292=LINE('',#1291,#1290); +#1293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1294=VECTOR('',#1293,1.27E-1); +#1295=CARTESIAN_POINT('',(-3.822276666162E1,1.221902112198E0,-1.397E1)); +#1296=LINE('',#1295,#1294); +#1297=DIRECTION('',(-5.594824691024E-14,2.272897530729E-14,-1.E0)); +#1298=VECTOR('',#1297,1.27E-1); +#1299=CARTESIAN_POINT('',(-3.828626666162E1,1.265136149597E0,-1.397E1)); +#1300=LINE('',#1299,#1298); +#1301=DIRECTION('',(-5.594824691024E-14,0.E0,-1.E0)); +#1302=VECTOR('',#1301,1.27E-1); +#1303=CARTESIAN_POINT('',(-3.83286E1,1.329987205696E0,-1.397E1)); +#1304=LINE('',#1303,#1302); +#1305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1306=VECTOR('',#1305,1.27E-1); +#1307=CARTESIAN_POINT('',(-3.843443333270E1,1.329987205696E0,-1.397E1)); +#1308=LINE('',#1307,#1306); +#1309=DIRECTION('',(1.118964938205E-13,-5.245148147835E-14,-1.E0)); +#1310=VECTOR('',#1309,1.27E-1); +#1311=CARTESIAN_POINT('',(-3.841326666541E1,1.243519130898E0,-1.397E1)); +#1312=LINE('',#1311,#1310); +#1313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1314=VECTOR('',#1313,1.27E-1); +#1315=CARTESIAN_POINT('',(-3.83286E1,1.157051056099E0,-1.397E1)); +#1316=LINE('',#1315,#1314); +#1317=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1318=VECTOR('',#1317,1.27E-1); +#1319=CARTESIAN_POINT('',(-3.824393333838E1,1.113817018700E0,-1.397E1)); +#1320=LINE('',#1319,#1318); +#1321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1322=VECTOR('',#1321,1.27E-1); +#1323=CARTESIAN_POINT('',(-3.809576667676E1,1.0922E0,-1.397E1)); +#1324=LINE('',#1323,#1322); +#1325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1326=VECTOR('',#1325,1.27E-1); +#1327=CARTESIAN_POINT('',(-3.80111E1,1.0922E0,-1.397E1)); +#1328=LINE('',#1327,#1326); +#1329=DIRECTION('',(5.594824691024E-14,0.E0,-1.E0)); +#1330=VECTOR('',#1329,1.27E-1); +#1331=CARTESIAN_POINT('',(-3.78841E1,1.113817018700E0,-1.397E1)); +#1332=LINE('',#1331,#1330); +#1333=DIRECTION('',(-5.594824691024E-14,-1.398706172756E-14,-1.E0)); +#1334=VECTOR('',#1333,1.27E-1); +#1335=CARTESIAN_POINT('',(-3.779943335352E1,1.157051056099E0,-1.397E1)); +#1336=LINE('',#1335,#1334); +#1337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1338=VECTOR('',#1337,1.27E-1); +#1339=CARTESIAN_POINT('',(-3.771476664648E1,1.243519130898E0,-1.397E1)); +#1340=LINE('',#1339,#1338); +#1341=DIRECTION('',(0.E0,1.049029629567E-14,-1.E0)); +#1342=VECTOR('',#1341,1.27E-1); +#1343=CARTESIAN_POINT('',(-3.76936E1,1.329987205696E0,-1.397E1)); +#1344=LINE('',#1343,#1342); +#1345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1346=VECTOR('',#1345,1.27E-1); +#1347=CARTESIAN_POINT('',(-3.76936E1,1.373221303654E0,-1.397E1)); +#1348=LINE('',#1347,#1346); +#1349=DIRECTION('',(0.E0,-1.049029629567E-14,-1.E0)); +#1350=VECTOR('',#1349,1.27E-1); +#1351=CARTESIAN_POINT('',(-3.771476664648E1,1.459689378452E0,-1.397E1)); +#1352=LINE('',#1351,#1350); +#1353=DIRECTION('',(-5.594824691024E-14,1.748382715945E-14,-1.E0)); +#1354=VECTOR('',#1353,1.27E-1); +#1355=CARTESIAN_POINT('',(-3.77571E1,1.524540434551E0,-1.397E1)); +#1356=LINE('',#1355,#1354); +#1357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1358=VECTOR('',#1357,1.27E-1); +#1359=CARTESIAN_POINT('',(-3.828626666162E1,2.000114891362E0,-1.397E1)); +#1360=LINE('',#1359,#1358); +#1361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1362=VECTOR('',#1361,1.27E-1); +#1363=CARTESIAN_POINT('',(-3.76936E1,2.000114891362E0,-1.397E1)); +#1364=LINE('',#1363,#1362); +#1365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1366=VECTOR('',#1365,1.27E-1); +#1367=CARTESIAN_POINT('',(-3.76936E1,2.1082E0,-1.397E1)); +#1368=LINE('',#1367,#1366); +#1369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1370=VECTOR('',#1369,1.27E-1); +#1371=CARTESIAN_POINT('',(-3.84556E1,2.1082E0,-1.397E1)); +#1372=LINE('',#1371,#1370); +#1373=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1374=VECTOR('',#1373,1.576847855741E0); +#1375=CARTESIAN_POINT('',(-3.73903875E1,2.62509E0,-1.397E1)); +#1376=LINE('',#1375,#1374); +#1377=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1378=VECTOR('',#1377,1.419225E0); +#1379=CARTESIAN_POINT('',(-3.73903875E1,2.62509E0,-1.397E1)); +#1380=LINE('',#1379,#1378); +#1381=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1382=VECTOR('',#1381,1.576847855741E0); +#1383=CARTESIAN_POINT('',(-3.84048E1,2.62509E0,-1.5494E1)); +#1384=LINE('',#1383,#1382); +#1385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1386=VECTOR('',#1385,3.175E0); +#1387=CARTESIAN_POINT('',(-3.84048E1,2.62509E0,-1.5494E1)); +#1388=LINE('',#1387,#1386); +#1389=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1390=VECTOR('',#1389,3.9878E-1); +#1391=CARTESIAN_POINT('',(-3.77952E1,2.62509E0,-1.5494E1)); +#1392=LINE('',#1391,#1390); +#1393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1394=VECTOR('',#1393,3.175E0); +#1395=CARTESIAN_POINT('',(-3.77952E1,2.62509E0,-1.5494E1)); +#1396=LINE('',#1395,#1394); +#1397=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1398=VECTOR('',#1397,1.576847855741E0); +#1399=CARTESIAN_POINT('',(-3.84048E1,2.22631E0,-1.5494E1)); +#1400=LINE('',#1399,#1398); +#1401=DIRECTION('',(1.E0,0.E0,0.E0)); +#1402=VECTOR('',#1401,1.419225E0); +#1403=CARTESIAN_POINT('',(-3.88096125E1,2.22631E0,-1.397E1)); +#1404=LINE('',#1403,#1402); +#1405=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1406=VECTOR('',#1405,1.576847855741E0); +#1407=CARTESIAN_POINT('',(-3.73903875E1,2.22631E0,-1.397E1)); +#1408=LINE('',#1407,#1406); +#1409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1410=VECTOR('',#1409,3.175E0); +#1411=CARTESIAN_POINT('',(-3.77952E1,2.22631E0,-1.5494E1)); +#1412=LINE('',#1411,#1410); +#1413=DIRECTION('',(0.E0,1.E0,-1.336343477156E-14)); +#1414=VECTOR('',#1413,3.9878E-1); +#1415=CARTESIAN_POINT('',(-3.84048E1,2.22631E0,-1.5494E1)); +#1416=LINE('',#1415,#1414); +#1417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1418=VECTOR('',#1417,3.175E0); +#1419=CARTESIAN_POINT('',(-3.84048E1,2.22631E0,-1.5494E1)); +#1420=LINE('',#1419,#1418); +#1421=DIRECTION('',(0.E0,1.E0,0.E0)); +#1422=VECTOR('',#1421,3.9878E-1); +#1423=CARTESIAN_POINT('',(-3.84048E1,-2.62509E0,-1.8669E1)); +#1424=LINE('',#1423,#1422); +#1425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1426=VECTOR('',#1425,6.096E-1); +#1427=CARTESIAN_POINT('',(-3.77952E1,-2.62509E0,-1.8669E1)); +#1428=LINE('',#1427,#1426); +#1429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1430=VECTOR('',#1429,3.9878E-1); +#1431=CARTESIAN_POINT('',(-3.77952E1,-2.22631E0,-1.8669E1)); +#1432=LINE('',#1431,#1430); +#1433=DIRECTION('',(1.E0,0.E0,0.E0)); +#1434=VECTOR('',#1433,6.096E-1); +#1435=CARTESIAN_POINT('',(-3.84048E1,-2.22631E0,-1.8669E1)); +#1436=LINE('',#1435,#1434); +#1437=DIRECTION('',(1.E0,0.E0,0.E0)); +#1438=VECTOR('',#1437,6.096E-1); +#1439=CARTESIAN_POINT('',(-3.84048E1,2.62509E0,-1.8669E1)); +#1440=LINE('',#1439,#1438); +#1441=DIRECTION('',(1.781791302874E-14,1.E0,0.E0)); +#1442=VECTOR('',#1441,3.9878E-1); +#1443=CARTESIAN_POINT('',(-3.84048E1,2.22631E0,-1.8669E1)); +#1444=LINE('',#1443,#1442); +#1445=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1446=VECTOR('',#1445,6.096E-1); +#1447=CARTESIAN_POINT('',(-3.77952E1,2.22631E0,-1.8669E1)); +#1448=LINE('',#1447,#1446); +#1449=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1450=VECTOR('',#1449,3.9878E-1); +#1451=CARTESIAN_POINT('',(-3.77952E1,2.62509E0,-1.8669E1)); +#1452=LINE('',#1451,#1450); +#1453=DIRECTION('',(0.E0,1.E0,0.E0)); +#1454=VECTOR('',#1453,3.9878E-1); +#1455=CARTESIAN_POINT('',(-3.84048E1,-2.62509E0,-1.5494E1)); +#1456=LINE('',#1455,#1454); +#1457=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1458=VECTOR('',#1457,3.175E0); +#1459=CARTESIAN_POINT('',(-3.84048E1,-2.62509E0,-1.5494E1)); +#1460=LINE('',#1459,#1458); +#1461=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1462=VECTOR('',#1461,1.576847855741E0); +#1463=CARTESIAN_POINT('',(-3.88096125E1,-2.62509E0,-1.397E1)); +#1464=LINE('',#1463,#1462); +#1465=DIRECTION('',(1.E0,0.E0,0.E0)); +#1466=VECTOR('',#1465,1.419225E0); +#1467=CARTESIAN_POINT('',(-3.88096125E1,-2.62509E0,-1.397E1)); +#1468=LINE('',#1467,#1466); +#1469=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1470=VECTOR('',#1469,1.576847855741E0); +#1471=CARTESIAN_POINT('',(-3.77952E1,-2.62509E0,-1.5494E1)); +#1472=LINE('',#1471,#1470); +#1473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1474=VECTOR('',#1473,3.175E0); +#1475=CARTESIAN_POINT('',(-3.77952E1,-2.62509E0,-1.5494E1)); +#1476=LINE('',#1475,#1474); +#1477=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1478=VECTOR('',#1477,1.576847855741E0); +#1479=CARTESIAN_POINT('',(-3.77952E1,-2.22631E0,-1.5494E1)); +#1480=LINE('',#1479,#1478); +#1481=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1482=VECTOR('',#1481,1.419225E0); +#1483=CARTESIAN_POINT('',(-3.73903875E1,-2.22631E0,-1.397E1)); +#1484=LINE('',#1483,#1482); +#1485=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1486=VECTOR('',#1485,1.576847855741E0); +#1487=CARTESIAN_POINT('',(-3.88096125E1,-2.22631E0,-1.397E1)); +#1488=LINE('',#1487,#1486); +#1489=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1490=VECTOR('',#1489,3.175E0); +#1491=CARTESIAN_POINT('',(-3.84048E1,-2.22631E0,-1.5494E1)); +#1492=LINE('',#1491,#1490); +#1493=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1494=VECTOR('',#1493,3.9878E-1); +#1495=CARTESIAN_POINT('',(-3.77952E1,-2.22631E0,-1.5494E1)); +#1496=LINE('',#1495,#1494); +#1497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1498=VECTOR('',#1497,3.175E0); +#1499=CARTESIAN_POINT('',(-3.77952E1,-2.22631E0,-1.5494E1)); +#1500=LINE('',#1499,#1498); +#1501=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1502=VECTOR('',#1501,1.576847855741E0); +#1503=CARTESIAN_POINT('',(-3.48503875E1,2.62509E0,-1.397E1)); +#1504=LINE('',#1503,#1502); +#1505=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1506=VECTOR('',#1505,1.419225E0); +#1507=CARTESIAN_POINT('',(-3.48503875E1,2.62509E0,-1.397E1)); +#1508=LINE('',#1507,#1506); +#1509=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1510=VECTOR('',#1509,1.576847855741E0); +#1511=CARTESIAN_POINT('',(-3.58648E1,2.62509E0,-1.5494E1)); +#1512=LINE('',#1511,#1510); +#1513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1514=VECTOR('',#1513,3.175E0); +#1515=CARTESIAN_POINT('',(-3.58648E1,2.62509E0,-1.5494E1)); +#1516=LINE('',#1515,#1514); +#1517=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1518=VECTOR('',#1517,3.9878E-1); +#1519=CARTESIAN_POINT('',(-3.52552E1,2.62509E0,-1.5494E1)); +#1520=LINE('',#1519,#1518); +#1521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1522=VECTOR('',#1521,3.175E0); +#1523=CARTESIAN_POINT('',(-3.52552E1,2.62509E0,-1.5494E1)); +#1524=LINE('',#1523,#1522); +#1525=DIRECTION('',(1.E0,0.E0,0.E0)); +#1526=VECTOR('',#1525,1.419225E0); +#1527=CARTESIAN_POINT('',(-3.62696125E1,2.22631E0,-1.397E1)); +#1528=LINE('',#1527,#1526); +#1529=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1530=VECTOR('',#1529,1.576847855741E0); +#1531=CARTESIAN_POINT('',(-3.48503875E1,2.22631E0,-1.397E1)); +#1532=LINE('',#1531,#1530); +#1533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1534=VECTOR('',#1533,3.175E0); +#1535=CARTESIAN_POINT('',(-3.52552E1,2.22631E0,-1.5494E1)); +#1536=LINE('',#1535,#1534); +#1537=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#1538=VECTOR('',#1537,1.576847855741E0); +#1539=CARTESIAN_POINT('',(-3.58648E1,2.22631E0,-1.5494E1)); +#1540=LINE('',#1539,#1538); +#1541=DIRECTION('',(0.E0,1.E0,0.E0)); +#1542=VECTOR('',#1541,3.9878E-1); +#1543=CARTESIAN_POINT('',(-3.58648E1,-2.62509E0,-1.8669E1)); +#1544=LINE('',#1543,#1542); +#1545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1546=VECTOR('',#1545,6.096E-1); +#1547=CARTESIAN_POINT('',(-3.52552E1,-2.62509E0,-1.8669E1)); +#1548=LINE('',#1547,#1546); +#1549=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1550=VECTOR('',#1549,3.9878E-1); +#1551=CARTESIAN_POINT('',(-3.52552E1,-2.22631E0,-1.8669E1)); +#1552=LINE('',#1551,#1550); +#1553=DIRECTION('',(1.E0,0.E0,0.E0)); +#1554=VECTOR('',#1553,6.096E-1); +#1555=CARTESIAN_POINT('',(-3.58648E1,-2.22631E0,-1.8669E1)); +#1556=LINE('',#1555,#1554); +#1557=DIRECTION('',(1.E0,0.E0,0.E0)); +#1558=VECTOR('',#1557,6.096E-1); +#1559=CARTESIAN_POINT('',(-3.58648E1,2.62509E0,-1.8669E1)); +#1560=LINE('',#1559,#1558); +#1561=DIRECTION('',(0.E0,1.E0,0.E0)); +#1562=VECTOR('',#1561,3.9878E-1); +#1563=CARTESIAN_POINT('',(-3.58648E1,2.22631E0,-1.8669E1)); +#1564=LINE('',#1563,#1562); +#1565=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1566=VECTOR('',#1565,6.096E-1); +#1567=CARTESIAN_POINT('',(-3.52552E1,2.22631E0,-1.8669E1)); +#1568=LINE('',#1567,#1566); +#1569=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1570=VECTOR('',#1569,3.9878E-1); +#1571=CARTESIAN_POINT('',(-3.52552E1,2.62509E0,-1.8669E1)); +#1572=LINE('',#1571,#1570); +#1573=DIRECTION('',(0.E0,1.E0,0.E0)); +#1574=VECTOR('',#1573,3.9878E-1); +#1575=CARTESIAN_POINT('',(-3.58648E1,-2.62509E0,-1.5494E1)); +#1576=LINE('',#1575,#1574); +#1577=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1578=VECTOR('',#1577,3.175E0); +#1579=CARTESIAN_POINT('',(-3.58648E1,-2.62509E0,-1.5494E1)); +#1580=LINE('',#1579,#1578); +#1581=DIRECTION('',(1.E0,0.E0,0.E0)); +#1582=VECTOR('',#1581,1.419225E0); +#1583=CARTESIAN_POINT('',(-3.62696125E1,-2.62509E0,-1.397E1)); +#1584=LINE('',#1583,#1582); +#1585=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1586=VECTOR('',#1585,1.576847855741E0); +#1587=CARTESIAN_POINT('',(-3.52552E1,-2.62509E0,-1.5494E1)); +#1588=LINE('',#1587,#1586); +#1589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1590=VECTOR('',#1589,3.175E0); +#1591=CARTESIAN_POINT('',(-3.52552E1,-2.62509E0,-1.5494E1)); +#1592=LINE('',#1591,#1590); +#1593=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1594=VECTOR('',#1593,1.576847855741E0); +#1595=CARTESIAN_POINT('',(-3.62696125E1,-2.62509E0,-1.397E1)); +#1596=LINE('',#1595,#1594); +#1597=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1598=VECTOR('',#1597,1.576847855741E0); +#1599=CARTESIAN_POINT('',(-3.52552E1,-2.22631E0,-1.5494E1)); +#1600=LINE('',#1599,#1598); +#1601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1602=VECTOR('',#1601,1.419225E0); +#1603=CARTESIAN_POINT('',(-3.48503875E1,-2.22631E0,-1.397E1)); +#1604=LINE('',#1603,#1602); +#1605=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1606=VECTOR('',#1605,1.576847855741E0); +#1607=CARTESIAN_POINT('',(-3.62696125E1,-2.22631E0,-1.397E1)); +#1608=LINE('',#1607,#1606); +#1609=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1610=VECTOR('',#1609,3.175E0); +#1611=CARTESIAN_POINT('',(-3.58648E1,-2.22631E0,-1.5494E1)); +#1612=LINE('',#1611,#1610); +#1613=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1614=VECTOR('',#1613,3.9878E-1); +#1615=CARTESIAN_POINT('',(-3.52552E1,-2.22631E0,-1.5494E1)); +#1616=LINE('',#1615,#1614); +#1617=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1618=VECTOR('',#1617,3.175E0); +#1619=CARTESIAN_POINT('',(-3.52552E1,-2.22631E0,-1.5494E1)); +#1620=LINE('',#1619,#1618); +#1621=DIRECTION('',(0.E0,1.E0,-1.781791302874E-14)); +#1622=VECTOR('',#1621,3.9878E-1); +#1623=CARTESIAN_POINT('',(-3.58648E1,2.22631E0,-1.5494E1)); +#1624=LINE('',#1623,#1622); +#1625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1626=VECTOR('',#1625,3.175E0); +#1627=CARTESIAN_POINT('',(-3.58648E1,2.22631E0,-1.5494E1)); +#1628=LINE('',#1627,#1626); +#1629=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1630=VECTOR('',#1629,1.576847855741E0); +#1631=CARTESIAN_POINT('',(-3.23103875E1,2.62509E0,-1.397E1)); +#1632=LINE('',#1631,#1630); +#1633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1634=VECTOR('',#1633,1.419225E0); +#1635=CARTESIAN_POINT('',(-3.23103875E1,2.62509E0,-1.397E1)); +#1636=LINE('',#1635,#1634); +#1637=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1638=VECTOR('',#1637,1.576847855741E0); +#1639=CARTESIAN_POINT('',(-3.33248E1,2.62509E0,-1.5494E1)); +#1640=LINE('',#1639,#1638); +#1641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1642=VECTOR('',#1641,3.175E0); +#1643=CARTESIAN_POINT('',(-3.33248E1,2.62509E0,-1.5494E1)); +#1644=LINE('',#1643,#1642); +#1645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1646=VECTOR('',#1645,3.9878E-1); +#1647=CARTESIAN_POINT('',(-3.27152E1,2.62509E0,-1.5494E1)); +#1648=LINE('',#1647,#1646); +#1649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1650=VECTOR('',#1649,3.175E0); +#1651=CARTESIAN_POINT('',(-3.27152E1,2.62509E0,-1.5494E1)); +#1652=LINE('',#1651,#1650); +#1653=DIRECTION('',(1.E0,0.E0,0.E0)); +#1654=VECTOR('',#1653,1.419225E0); +#1655=CARTESIAN_POINT('',(-3.37296125E1,2.22631E0,-1.397E1)); +#1656=LINE('',#1655,#1654); +#1657=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1658=VECTOR('',#1657,1.576847855741E0); +#1659=CARTESIAN_POINT('',(-3.23103875E1,2.22631E0,-1.397E1)); +#1660=LINE('',#1659,#1658); +#1661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1662=VECTOR('',#1661,3.175E0); +#1663=CARTESIAN_POINT('',(-3.27152E1,2.22631E0,-1.5494E1)); +#1664=LINE('',#1663,#1662); +#1665=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1666=VECTOR('',#1665,1.576847855741E0); +#1667=CARTESIAN_POINT('',(-3.33248E1,2.22631E0,-1.5494E1)); +#1668=LINE('',#1667,#1666); +#1669=DIRECTION('',(0.E0,1.E0,0.E0)); +#1670=VECTOR('',#1669,3.9878E-1); +#1671=CARTESIAN_POINT('',(-3.33248E1,-2.62509E0,-1.8669E1)); +#1672=LINE('',#1671,#1670); +#1673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1674=VECTOR('',#1673,6.096E-1); +#1675=CARTESIAN_POINT('',(-3.27152E1,-2.62509E0,-1.8669E1)); +#1676=LINE('',#1675,#1674); +#1677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1678=VECTOR('',#1677,3.9878E-1); +#1679=CARTESIAN_POINT('',(-3.27152E1,-2.22631E0,-1.8669E1)); +#1680=LINE('',#1679,#1678); +#1681=DIRECTION('',(1.E0,0.E0,0.E0)); +#1682=VECTOR('',#1681,6.096E-1); +#1683=CARTESIAN_POINT('',(-3.33248E1,-2.22631E0,-1.8669E1)); +#1684=LINE('',#1683,#1682); +#1685=DIRECTION('',(1.E0,0.E0,0.E0)); +#1686=VECTOR('',#1685,6.096E-1); +#1687=CARTESIAN_POINT('',(-3.33248E1,2.62509E0,-1.8669E1)); +#1688=LINE('',#1687,#1686); +#1689=DIRECTION('',(0.E0,1.E0,0.E0)); +#1690=VECTOR('',#1689,3.9878E-1); +#1691=CARTESIAN_POINT('',(-3.33248E1,2.22631E0,-1.8669E1)); +#1692=LINE('',#1691,#1690); +#1693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1694=VECTOR('',#1693,6.096E-1); +#1695=CARTESIAN_POINT('',(-3.27152E1,2.22631E0,-1.8669E1)); +#1696=LINE('',#1695,#1694); +#1697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1698=VECTOR('',#1697,3.9878E-1); +#1699=CARTESIAN_POINT('',(-3.27152E1,2.62509E0,-1.8669E1)); +#1700=LINE('',#1699,#1698); +#1701=DIRECTION('',(0.E0,1.E0,0.E0)); +#1702=VECTOR('',#1701,3.9878E-1); +#1703=CARTESIAN_POINT('',(-3.33248E1,-2.62509E0,-1.5494E1)); +#1704=LINE('',#1703,#1702); +#1705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1706=VECTOR('',#1705,3.175E0); +#1707=CARTESIAN_POINT('',(-3.33248E1,-2.62509E0,-1.5494E1)); +#1708=LINE('',#1707,#1706); +#1709=DIRECTION('',(1.E0,0.E0,0.E0)); +#1710=VECTOR('',#1709,1.419225E0); +#1711=CARTESIAN_POINT('',(-3.37296125E1,-2.62509E0,-1.397E1)); +#1712=LINE('',#1711,#1710); +#1713=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1714=VECTOR('',#1713,1.576847855741E0); +#1715=CARTESIAN_POINT('',(-3.27152E1,-2.62509E0,-1.5494E1)); +#1716=LINE('',#1715,#1714); +#1717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1718=VECTOR('',#1717,3.175E0); +#1719=CARTESIAN_POINT('',(-3.27152E1,-2.62509E0,-1.5494E1)); +#1720=LINE('',#1719,#1718); +#1721=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1722=VECTOR('',#1721,1.576847855741E0); +#1723=CARTESIAN_POINT('',(-3.37296125E1,-2.62509E0,-1.397E1)); +#1724=LINE('',#1723,#1722); +#1725=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1726=VECTOR('',#1725,1.576847855741E0); +#1727=CARTESIAN_POINT('',(-3.27152E1,-2.22631E0,-1.5494E1)); +#1728=LINE('',#1727,#1726); +#1729=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1730=VECTOR('',#1729,1.419225E0); +#1731=CARTESIAN_POINT('',(-3.23103875E1,-2.22631E0,-1.397E1)); +#1732=LINE('',#1731,#1730); +#1733=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1734=VECTOR('',#1733,1.576847855741E0); +#1735=CARTESIAN_POINT('',(-3.37296125E1,-2.22631E0,-1.397E1)); +#1736=LINE('',#1735,#1734); +#1737=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1738=VECTOR('',#1737,3.175E0); +#1739=CARTESIAN_POINT('',(-3.33248E1,-2.22631E0,-1.5494E1)); +#1740=LINE('',#1739,#1738); +#1741=DIRECTION('',(0.E0,-1.E0,1.781791302874E-14)); +#1742=VECTOR('',#1741,3.9878E-1); +#1743=CARTESIAN_POINT('',(-3.27152E1,-2.22631E0,-1.5494E1)); +#1744=LINE('',#1743,#1742); +#1745=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1746=VECTOR('',#1745,3.175E0); +#1747=CARTESIAN_POINT('',(-3.27152E1,-2.22631E0,-1.5494E1)); +#1748=LINE('',#1747,#1746); +#1749=DIRECTION('',(0.E0,1.E0,-1.336343477156E-14)); +#1750=VECTOR('',#1749,3.9878E-1); +#1751=CARTESIAN_POINT('',(-3.33248E1,2.22631E0,-1.5494E1)); +#1752=LINE('',#1751,#1750); +#1753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1754=VECTOR('',#1753,3.175E0); +#1755=CARTESIAN_POINT('',(-3.33248E1,2.22631E0,-1.5494E1)); +#1756=LINE('',#1755,#1754); +#1757=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1758=VECTOR('',#1757,1.576847855741E0); +#1759=CARTESIAN_POINT('',(-2.97703875E1,2.62509E0,-1.397E1)); +#1760=LINE('',#1759,#1758); +#1761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1762=VECTOR('',#1761,1.419225E0); +#1763=CARTESIAN_POINT('',(-2.97703875E1,2.62509E0,-1.397E1)); +#1764=LINE('',#1763,#1762); +#1765=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1766=VECTOR('',#1765,1.576847855741E0); +#1767=CARTESIAN_POINT('',(-3.07848E1,2.62509E0,-1.5494E1)); +#1768=LINE('',#1767,#1766); +#1769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1770=VECTOR('',#1769,3.175E0); +#1771=CARTESIAN_POINT('',(-3.07848E1,2.62509E0,-1.5494E1)); +#1772=LINE('',#1771,#1770); +#1773=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1774=VECTOR('',#1773,3.9878E-1); +#1775=CARTESIAN_POINT('',(-3.01752E1,2.62509E0,-1.5494E1)); +#1776=LINE('',#1775,#1774); +#1777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1778=VECTOR('',#1777,3.175E0); +#1779=CARTESIAN_POINT('',(-3.01752E1,2.62509E0,-1.5494E1)); +#1780=LINE('',#1779,#1778); +#1781=DIRECTION('',(1.E0,0.E0,0.E0)); +#1782=VECTOR('',#1781,1.419225E0); +#1783=CARTESIAN_POINT('',(-3.11896125E1,2.22631E0,-1.397E1)); +#1784=LINE('',#1783,#1782); +#1785=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#1786=VECTOR('',#1785,1.576847855741E0); +#1787=CARTESIAN_POINT('',(-2.97703875E1,2.22631E0,-1.397E1)); +#1788=LINE('',#1787,#1786); +#1789=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1790=VECTOR('',#1789,3.175E0); +#1791=CARTESIAN_POINT('',(-3.01752E1,2.22631E0,-1.5494E1)); +#1792=LINE('',#1791,#1790); +#1793=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1794=VECTOR('',#1793,1.576847855741E0); +#1795=CARTESIAN_POINT('',(-3.07848E1,2.22631E0,-1.5494E1)); +#1796=LINE('',#1795,#1794); +#1797=DIRECTION('',(0.E0,1.E0,0.E0)); +#1798=VECTOR('',#1797,3.9878E-1); +#1799=CARTESIAN_POINT('',(-3.07848E1,-2.62509E0,-1.8669E1)); +#1800=LINE('',#1799,#1798); +#1801=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1802=VECTOR('',#1801,6.096E-1); +#1803=CARTESIAN_POINT('',(-3.01752E1,-2.62509E0,-1.8669E1)); +#1804=LINE('',#1803,#1802); +#1805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1806=VECTOR('',#1805,3.9878E-1); +#1807=CARTESIAN_POINT('',(-3.01752E1,-2.22631E0,-1.8669E1)); +#1808=LINE('',#1807,#1806); +#1809=DIRECTION('',(1.E0,0.E0,0.E0)); +#1810=VECTOR('',#1809,6.096E-1); +#1811=CARTESIAN_POINT('',(-3.07848E1,-2.22631E0,-1.8669E1)); +#1812=LINE('',#1811,#1810); +#1813=DIRECTION('',(1.E0,0.E0,0.E0)); +#1814=VECTOR('',#1813,6.096E-1); +#1815=CARTESIAN_POINT('',(-3.07848E1,2.62509E0,-1.8669E1)); +#1816=LINE('',#1815,#1814); +#1817=DIRECTION('',(0.E0,1.E0,0.E0)); +#1818=VECTOR('',#1817,3.9878E-1); +#1819=CARTESIAN_POINT('',(-3.07848E1,2.22631E0,-1.8669E1)); +#1820=LINE('',#1819,#1818); +#1821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1822=VECTOR('',#1821,6.096E-1); +#1823=CARTESIAN_POINT('',(-3.01752E1,2.22631E0,-1.8669E1)); +#1824=LINE('',#1823,#1822); +#1825=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1826=VECTOR('',#1825,3.9878E-1); +#1827=CARTESIAN_POINT('',(-3.01752E1,2.62509E0,-1.8669E1)); +#1828=LINE('',#1827,#1826); +#1829=DIRECTION('',(0.E0,1.E0,0.E0)); +#1830=VECTOR('',#1829,3.9878E-1); +#1831=CARTESIAN_POINT('',(-3.07848E1,-2.62509E0,-1.5494E1)); +#1832=LINE('',#1831,#1830); +#1833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1834=VECTOR('',#1833,3.175E0); +#1835=CARTESIAN_POINT('',(-3.07848E1,-2.62509E0,-1.5494E1)); +#1836=LINE('',#1835,#1834); +#1837=DIRECTION('',(1.E0,0.E0,0.E0)); +#1838=VECTOR('',#1837,1.419225E0); +#1839=CARTESIAN_POINT('',(-3.11896125E1,-2.62509E0,-1.397E1)); +#1840=LINE('',#1839,#1838); +#1841=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1842=VECTOR('',#1841,1.576847855741E0); +#1843=CARTESIAN_POINT('',(-3.01752E1,-2.62509E0,-1.5494E1)); +#1844=LINE('',#1843,#1842); +#1845=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1846=VECTOR('',#1845,3.175E0); +#1847=CARTESIAN_POINT('',(-3.01752E1,-2.62509E0,-1.5494E1)); +#1848=LINE('',#1847,#1846); +#1849=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1850=VECTOR('',#1849,1.576847855741E0); +#1851=CARTESIAN_POINT('',(-3.11896125E1,-2.62509E0,-1.397E1)); +#1852=LINE('',#1851,#1850); +#1853=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#1854=VECTOR('',#1853,1.576847855741E0); +#1855=CARTESIAN_POINT('',(-3.01752E1,-2.22631E0,-1.5494E1)); +#1856=LINE('',#1855,#1854); +#1857=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1858=VECTOR('',#1857,1.419225E0); +#1859=CARTESIAN_POINT('',(-2.97703875E1,-2.22631E0,-1.397E1)); +#1860=LINE('',#1859,#1858); +#1861=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1862=VECTOR('',#1861,1.576847855741E0); +#1863=CARTESIAN_POINT('',(-3.11896125E1,-2.22631E0,-1.397E1)); +#1864=LINE('',#1863,#1862); +#1865=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1866=VECTOR('',#1865,3.175E0); +#1867=CARTESIAN_POINT('',(-3.07848E1,-2.22631E0,-1.5494E1)); +#1868=LINE('',#1867,#1866); +#1869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1870=VECTOR('',#1869,3.9878E-1); +#1871=CARTESIAN_POINT('',(-3.01752E1,-2.22631E0,-1.5494E1)); +#1872=LINE('',#1871,#1870); +#1873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1874=VECTOR('',#1873,3.175E0); +#1875=CARTESIAN_POINT('',(-3.01752E1,-2.22631E0,-1.5494E1)); +#1876=LINE('',#1875,#1874); +#1877=DIRECTION('',(0.E0,1.E0,-2.227239128593E-14)); +#1878=VECTOR('',#1877,3.9878E-1); +#1879=CARTESIAN_POINT('',(-3.07848E1,2.22631E0,-1.5494E1)); +#1880=LINE('',#1879,#1878); +#1881=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1882=VECTOR('',#1881,3.175E0); +#1883=CARTESIAN_POINT('',(-3.07848E1,2.22631E0,-1.5494E1)); +#1884=LINE('',#1883,#1882); +#1885=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1886=VECTOR('',#1885,1.576847855741E0); +#1887=CARTESIAN_POINT('',(-2.72303875E1,2.62509E0,-1.397E1)); +#1888=LINE('',#1887,#1886); +#1889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1890=VECTOR('',#1889,1.419225E0); +#1891=CARTESIAN_POINT('',(-2.72303875E1,2.62509E0,-1.397E1)); +#1892=LINE('',#1891,#1890); +#1893=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1894=VECTOR('',#1893,1.576847855741E0); +#1895=CARTESIAN_POINT('',(-2.82448E1,2.62509E0,-1.5494E1)); +#1896=LINE('',#1895,#1894); +#1897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1898=VECTOR('',#1897,3.175E0); +#1899=CARTESIAN_POINT('',(-2.82448E1,2.62509E0,-1.5494E1)); +#1900=LINE('',#1899,#1898); +#1901=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1902=VECTOR('',#1901,3.9878E-1); +#1903=CARTESIAN_POINT('',(-2.76352E1,2.62509E0,-1.5494E1)); +#1904=LINE('',#1903,#1902); +#1905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1906=VECTOR('',#1905,3.175E0); +#1907=CARTESIAN_POINT('',(-2.76352E1,2.62509E0,-1.5494E1)); +#1908=LINE('',#1907,#1906); +#1909=DIRECTION('',(1.E0,0.E0,0.E0)); +#1910=VECTOR('',#1909,1.419225E0); +#1911=CARTESIAN_POINT('',(-2.86496125E1,2.22631E0,-1.397E1)); +#1912=LINE('',#1911,#1910); +#1913=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1914=VECTOR('',#1913,1.576847855741E0); +#1915=CARTESIAN_POINT('',(-2.72303875E1,2.22631E0,-1.397E1)); +#1916=LINE('',#1915,#1914); +#1917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1918=VECTOR('',#1917,3.175E0); +#1919=CARTESIAN_POINT('',(-2.76352E1,2.22631E0,-1.5494E1)); +#1920=LINE('',#1919,#1918); +#1921=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#1922=VECTOR('',#1921,1.576847855741E0); +#1923=CARTESIAN_POINT('',(-2.82448E1,2.22631E0,-1.5494E1)); +#1924=LINE('',#1923,#1922); +#1925=DIRECTION('',(0.E0,1.E0,0.E0)); +#1926=VECTOR('',#1925,3.9878E-1); +#1927=CARTESIAN_POINT('',(-2.82448E1,-2.62509E0,-1.8669E1)); +#1928=LINE('',#1927,#1926); +#1929=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1930=VECTOR('',#1929,6.096E-1); +#1931=CARTESIAN_POINT('',(-2.76352E1,-2.62509E0,-1.8669E1)); +#1932=LINE('',#1931,#1930); +#1933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1934=VECTOR('',#1933,3.9878E-1); +#1935=CARTESIAN_POINT('',(-2.76352E1,-2.22631E0,-1.8669E1)); +#1936=LINE('',#1935,#1934); +#1937=DIRECTION('',(1.E0,0.E0,0.E0)); +#1938=VECTOR('',#1937,6.096E-1); +#1939=CARTESIAN_POINT('',(-2.82448E1,-2.22631E0,-1.8669E1)); +#1940=LINE('',#1939,#1938); +#1941=DIRECTION('',(1.E0,0.E0,0.E0)); +#1942=VECTOR('',#1941,6.096E-1); +#1943=CARTESIAN_POINT('',(-2.82448E1,2.62509E0,-1.8669E1)); +#1944=LINE('',#1943,#1942); +#1945=DIRECTION('',(0.E0,1.E0,0.E0)); +#1946=VECTOR('',#1945,3.9878E-1); +#1947=CARTESIAN_POINT('',(-2.82448E1,2.22631E0,-1.8669E1)); +#1948=LINE('',#1947,#1946); +#1949=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1950=VECTOR('',#1949,6.096E-1); +#1951=CARTESIAN_POINT('',(-2.76352E1,2.22631E0,-1.8669E1)); +#1952=LINE('',#1951,#1950); +#1953=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1954=VECTOR('',#1953,3.9878E-1); +#1955=CARTESIAN_POINT('',(-2.76352E1,2.62509E0,-1.8669E1)); +#1956=LINE('',#1955,#1954); +#1957=DIRECTION('',(0.E0,1.E0,0.E0)); +#1958=VECTOR('',#1957,3.9878E-1); +#1959=CARTESIAN_POINT('',(-2.82448E1,-2.62509E0,-1.5494E1)); +#1960=LINE('',#1959,#1958); +#1961=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1962=VECTOR('',#1961,3.175E0); +#1963=CARTESIAN_POINT('',(-2.82448E1,-2.62509E0,-1.5494E1)); +#1964=LINE('',#1963,#1962); +#1965=DIRECTION('',(1.E0,0.E0,0.E0)); +#1966=VECTOR('',#1965,1.419225E0); +#1967=CARTESIAN_POINT('',(-2.86496125E1,-2.62509E0,-1.397E1)); +#1968=LINE('',#1967,#1966); +#1969=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1970=VECTOR('',#1969,1.576847855741E0); +#1971=CARTESIAN_POINT('',(-2.76352E1,-2.62509E0,-1.5494E1)); +#1972=LINE('',#1971,#1970); +#1973=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1974=VECTOR('',#1973,3.175E0); +#1975=CARTESIAN_POINT('',(-2.76352E1,-2.62509E0,-1.5494E1)); +#1976=LINE('',#1975,#1974); +#1977=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1978=VECTOR('',#1977,1.576847855741E0); +#1979=CARTESIAN_POINT('',(-2.86496125E1,-2.62509E0,-1.397E1)); +#1980=LINE('',#1979,#1978); +#1981=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#1982=VECTOR('',#1981,1.576847855741E0); +#1983=CARTESIAN_POINT('',(-2.76352E1,-2.22631E0,-1.5494E1)); +#1984=LINE('',#1983,#1982); +#1985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1986=VECTOR('',#1985,1.419225E0); +#1987=CARTESIAN_POINT('',(-2.72303875E1,-2.22631E0,-1.397E1)); +#1988=LINE('',#1987,#1986); +#1989=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#1990=VECTOR('',#1989,1.576847855741E0); +#1991=CARTESIAN_POINT('',(-2.86496125E1,-2.22631E0,-1.397E1)); +#1992=LINE('',#1991,#1990); +#1993=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1994=VECTOR('',#1993,3.175E0); +#1995=CARTESIAN_POINT('',(-2.82448E1,-2.22631E0,-1.5494E1)); +#1996=LINE('',#1995,#1994); +#1997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1998=VECTOR('',#1997,3.9878E-1); +#1999=CARTESIAN_POINT('',(-2.76352E1,-2.22631E0,-1.5494E1)); +#2000=LINE('',#1999,#1998); +#2001=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2002=VECTOR('',#2001,3.175E0); +#2003=CARTESIAN_POINT('',(-2.76352E1,-2.22631E0,-1.5494E1)); +#2004=LINE('',#2003,#2002); +#2005=DIRECTION('',(0.E0,1.E0,0.E0)); +#2006=VECTOR('',#2005,3.9878E-1); +#2007=CARTESIAN_POINT('',(-2.82448E1,2.22631E0,-1.5494E1)); +#2008=LINE('',#2007,#2006); +#2009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2010=VECTOR('',#2009,3.175E0); +#2011=CARTESIAN_POINT('',(-2.82448E1,2.22631E0,-1.5494E1)); +#2012=LINE('',#2011,#2010); +#2013=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2014=VECTOR('',#2013,1.576847855741E0); +#2015=CARTESIAN_POINT('',(-2.46903875E1,2.62509E0,-1.397E1)); +#2016=LINE('',#2015,#2014); +#2017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2018=VECTOR('',#2017,1.419225E0); +#2019=CARTESIAN_POINT('',(-2.46903875E1,2.62509E0,-1.397E1)); +#2020=LINE('',#2019,#2018); +#2021=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2022=VECTOR('',#2021,1.576847855741E0); +#2023=CARTESIAN_POINT('',(-2.57048E1,2.62509E0,-1.5494E1)); +#2024=LINE('',#2023,#2022); +#2025=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2026=VECTOR('',#2025,3.175E0); +#2027=CARTESIAN_POINT('',(-2.57048E1,2.62509E0,-1.5494E1)); +#2028=LINE('',#2027,#2026); +#2029=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2030=VECTOR('',#2029,3.9878E-1); +#2031=CARTESIAN_POINT('',(-2.50952E1,2.62509E0,-1.5494E1)); +#2032=LINE('',#2031,#2030); +#2033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2034=VECTOR('',#2033,3.175E0); +#2035=CARTESIAN_POINT('',(-2.50952E1,2.62509E0,-1.5494E1)); +#2036=LINE('',#2035,#2034); +#2037=DIRECTION('',(1.E0,0.E0,0.E0)); +#2038=VECTOR('',#2037,1.419225E0); +#2039=CARTESIAN_POINT('',(-2.61096125E1,2.22631E0,-1.397E1)); +#2040=LINE('',#2039,#2038); +#2041=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2042=VECTOR('',#2041,1.576847855741E0); +#2043=CARTESIAN_POINT('',(-2.46903875E1,2.22631E0,-1.397E1)); +#2044=LINE('',#2043,#2042); +#2045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2046=VECTOR('',#2045,3.175E0); +#2047=CARTESIAN_POINT('',(-2.50952E1,2.22631E0,-1.5494E1)); +#2048=LINE('',#2047,#2046); +#2049=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2050=VECTOR('',#2049,1.576847855741E0); +#2051=CARTESIAN_POINT('',(-2.57048E1,2.22631E0,-1.5494E1)); +#2052=LINE('',#2051,#2050); +#2053=DIRECTION('',(0.E0,1.E0,0.E0)); +#2054=VECTOR('',#2053,3.9878E-1); +#2055=CARTESIAN_POINT('',(-2.57048E1,-2.62509E0,-1.8669E1)); +#2056=LINE('',#2055,#2054); +#2057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2058=VECTOR('',#2057,6.096E-1); +#2059=CARTESIAN_POINT('',(-2.50952E1,-2.62509E0,-1.8669E1)); +#2060=LINE('',#2059,#2058); +#2061=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2062=VECTOR('',#2061,3.9878E-1); +#2063=CARTESIAN_POINT('',(-2.50952E1,-2.22631E0,-1.8669E1)); +#2064=LINE('',#2063,#2062); +#2065=DIRECTION('',(1.E0,0.E0,0.E0)); +#2066=VECTOR('',#2065,6.096E-1); +#2067=CARTESIAN_POINT('',(-2.57048E1,-2.22631E0,-1.8669E1)); +#2068=LINE('',#2067,#2066); +#2069=DIRECTION('',(1.E0,0.E0,0.E0)); +#2070=VECTOR('',#2069,6.096E-1); +#2071=CARTESIAN_POINT('',(-2.57048E1,2.62509E0,-1.8669E1)); +#2072=LINE('',#2071,#2070); +#2073=DIRECTION('',(0.E0,1.E0,0.E0)); +#2074=VECTOR('',#2073,3.9878E-1); +#2075=CARTESIAN_POINT('',(-2.57048E1,2.22631E0,-1.8669E1)); +#2076=LINE('',#2075,#2074); +#2077=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2078=VECTOR('',#2077,6.096E-1); +#2079=CARTESIAN_POINT('',(-2.50952E1,2.22631E0,-1.8669E1)); +#2080=LINE('',#2079,#2078); +#2081=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2082=VECTOR('',#2081,3.9878E-1); +#2083=CARTESIAN_POINT('',(-2.50952E1,2.62509E0,-1.8669E1)); +#2084=LINE('',#2083,#2082); +#2085=DIRECTION('',(0.E0,1.E0,0.E0)); +#2086=VECTOR('',#2085,3.9878E-1); +#2087=CARTESIAN_POINT('',(-2.57048E1,-2.62509E0,-1.5494E1)); +#2088=LINE('',#2087,#2086); +#2089=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2090=VECTOR('',#2089,3.175E0); +#2091=CARTESIAN_POINT('',(-2.57048E1,-2.62509E0,-1.5494E1)); +#2092=LINE('',#2091,#2090); +#2093=DIRECTION('',(1.E0,0.E0,0.E0)); +#2094=VECTOR('',#2093,1.419225E0); +#2095=CARTESIAN_POINT('',(-2.61096125E1,-2.62509E0,-1.397E1)); +#2096=LINE('',#2095,#2094); +#2097=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2098=VECTOR('',#2097,1.576847855741E0); +#2099=CARTESIAN_POINT('',(-2.50952E1,-2.62509E0,-1.5494E1)); +#2100=LINE('',#2099,#2098); +#2101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2102=VECTOR('',#2101,3.175E0); +#2103=CARTESIAN_POINT('',(-2.50952E1,-2.62509E0,-1.5494E1)); +#2104=LINE('',#2103,#2102); +#2105=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2106=VECTOR('',#2105,1.576847855741E0); +#2107=CARTESIAN_POINT('',(-2.61096125E1,-2.62509E0,-1.397E1)); +#2108=LINE('',#2107,#2106); +#2109=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2110=VECTOR('',#2109,1.576847855741E0); +#2111=CARTESIAN_POINT('',(-2.50952E1,-2.22631E0,-1.5494E1)); +#2112=LINE('',#2111,#2110); +#2113=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2114=VECTOR('',#2113,1.419225E0); +#2115=CARTESIAN_POINT('',(-2.46903875E1,-2.22631E0,-1.397E1)); +#2116=LINE('',#2115,#2114); +#2117=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2118=VECTOR('',#2117,1.576847855741E0); +#2119=CARTESIAN_POINT('',(-2.61096125E1,-2.22631E0,-1.397E1)); +#2120=LINE('',#2119,#2118); +#2121=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2122=VECTOR('',#2121,3.175E0); +#2123=CARTESIAN_POINT('',(-2.57048E1,-2.22631E0,-1.5494E1)); +#2124=LINE('',#2123,#2122); +#2125=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2126=VECTOR('',#2125,3.9878E-1); +#2127=CARTESIAN_POINT('',(-2.50952E1,-2.22631E0,-1.5494E1)); +#2128=LINE('',#2127,#2126); +#2129=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2130=VECTOR('',#2129,3.175E0); +#2131=CARTESIAN_POINT('',(-2.50952E1,-2.22631E0,-1.5494E1)); +#2132=LINE('',#2131,#2130); +#2133=DIRECTION('',(0.E0,1.E0,0.E0)); +#2134=VECTOR('',#2133,3.9878E-1); +#2135=CARTESIAN_POINT('',(-2.57048E1,2.22631E0,-1.5494E1)); +#2136=LINE('',#2135,#2134); +#2137=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2138=VECTOR('',#2137,3.175E0); +#2139=CARTESIAN_POINT('',(-2.57048E1,2.22631E0,-1.5494E1)); +#2140=LINE('',#2139,#2138); +#2141=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2142=VECTOR('',#2141,1.576847855741E0); +#2143=CARTESIAN_POINT('',(-2.21503875E1,2.62509E0,-1.397E1)); +#2144=LINE('',#2143,#2142); +#2145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2146=VECTOR('',#2145,1.419225E0); +#2147=CARTESIAN_POINT('',(-2.21503875E1,2.62509E0,-1.397E1)); +#2148=LINE('',#2147,#2146); +#2149=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2150=VECTOR('',#2149,1.576847855741E0); +#2151=CARTESIAN_POINT('',(-2.31648E1,2.62509E0,-1.5494E1)); +#2152=LINE('',#2151,#2150); +#2153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2154=VECTOR('',#2153,3.175E0); +#2155=CARTESIAN_POINT('',(-2.31648E1,2.62509E0,-1.5494E1)); +#2156=LINE('',#2155,#2154); +#2157=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2158=VECTOR('',#2157,3.9878E-1); +#2159=CARTESIAN_POINT('',(-2.25552E1,2.62509E0,-1.5494E1)); +#2160=LINE('',#2159,#2158); +#2161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2162=VECTOR('',#2161,3.175E0); +#2163=CARTESIAN_POINT('',(-2.25552E1,2.62509E0,-1.5494E1)); +#2164=LINE('',#2163,#2162); +#2165=DIRECTION('',(1.E0,0.E0,0.E0)); +#2166=VECTOR('',#2165,1.419225E0); +#2167=CARTESIAN_POINT('',(-2.35696125E1,2.22631E0,-1.397E1)); +#2168=LINE('',#2167,#2166); +#2169=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2170=VECTOR('',#2169,1.576847855741E0); +#2171=CARTESIAN_POINT('',(-2.21503875E1,2.22631E0,-1.397E1)); +#2172=LINE('',#2171,#2170); +#2173=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2174=VECTOR('',#2173,3.175E0); +#2175=CARTESIAN_POINT('',(-2.25552E1,2.22631E0,-1.5494E1)); +#2176=LINE('',#2175,#2174); +#2177=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2178=VECTOR('',#2177,1.576847855741E0); +#2179=CARTESIAN_POINT('',(-2.31648E1,2.22631E0,-1.5494E1)); +#2180=LINE('',#2179,#2178); +#2181=DIRECTION('',(0.E0,1.E0,0.E0)); +#2182=VECTOR('',#2181,3.9878E-1); +#2183=CARTESIAN_POINT('',(-2.31648E1,-2.62509E0,-1.8669E1)); +#2184=LINE('',#2183,#2182); +#2185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2186=VECTOR('',#2185,6.096E-1); +#2187=CARTESIAN_POINT('',(-2.25552E1,-2.62509E0,-1.8669E1)); +#2188=LINE('',#2187,#2186); +#2189=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2190=VECTOR('',#2189,3.9878E-1); +#2191=CARTESIAN_POINT('',(-2.25552E1,-2.22631E0,-1.8669E1)); +#2192=LINE('',#2191,#2190); +#2193=DIRECTION('',(1.E0,0.E0,0.E0)); +#2194=VECTOR('',#2193,6.096E-1); +#2195=CARTESIAN_POINT('',(-2.31648E1,-2.22631E0,-1.8669E1)); +#2196=LINE('',#2195,#2194); +#2197=DIRECTION('',(1.E0,0.E0,0.E0)); +#2198=VECTOR('',#2197,6.096E-1); +#2199=CARTESIAN_POINT('',(-2.31648E1,2.62509E0,-1.8669E1)); +#2200=LINE('',#2199,#2198); +#2201=DIRECTION('',(0.E0,1.E0,0.E0)); +#2202=VECTOR('',#2201,3.9878E-1); +#2203=CARTESIAN_POINT('',(-2.31648E1,2.22631E0,-1.8669E1)); +#2204=LINE('',#2203,#2202); +#2205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2206=VECTOR('',#2205,6.096E-1); +#2207=CARTESIAN_POINT('',(-2.25552E1,2.22631E0,-1.8669E1)); +#2208=LINE('',#2207,#2206); +#2209=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2210=VECTOR('',#2209,3.9878E-1); +#2211=CARTESIAN_POINT('',(-2.25552E1,2.62509E0,-1.8669E1)); +#2212=LINE('',#2211,#2210); +#2213=DIRECTION('',(0.E0,1.E0,0.E0)); +#2214=VECTOR('',#2213,3.9878E-1); +#2215=CARTESIAN_POINT('',(-2.31648E1,-2.62509E0,-1.5494E1)); +#2216=LINE('',#2215,#2214); +#2217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2218=VECTOR('',#2217,3.175E0); +#2219=CARTESIAN_POINT('',(-2.31648E1,-2.62509E0,-1.5494E1)); +#2220=LINE('',#2219,#2218); +#2221=DIRECTION('',(1.E0,0.E0,0.E0)); +#2222=VECTOR('',#2221,1.419225E0); +#2223=CARTESIAN_POINT('',(-2.35696125E1,-2.62509E0,-1.397E1)); +#2224=LINE('',#2223,#2222); +#2225=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2226=VECTOR('',#2225,1.576847855741E0); +#2227=CARTESIAN_POINT('',(-2.25552E1,-2.62509E0,-1.5494E1)); +#2228=LINE('',#2227,#2226); +#2229=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2230=VECTOR('',#2229,3.175E0); +#2231=CARTESIAN_POINT('',(-2.25552E1,-2.62509E0,-1.5494E1)); +#2232=LINE('',#2231,#2230); +#2233=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2234=VECTOR('',#2233,1.576847855741E0); +#2235=CARTESIAN_POINT('',(-2.35696125E1,-2.62509E0,-1.397E1)); +#2236=LINE('',#2235,#2234); +#2237=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2238=VECTOR('',#2237,1.576847855741E0); +#2239=CARTESIAN_POINT('',(-2.25552E1,-2.22631E0,-1.5494E1)); +#2240=LINE('',#2239,#2238); +#2241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2242=VECTOR('',#2241,1.419225E0); +#2243=CARTESIAN_POINT('',(-2.21503875E1,-2.22631E0,-1.397E1)); +#2244=LINE('',#2243,#2242); +#2245=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2246=VECTOR('',#2245,1.576847855741E0); +#2247=CARTESIAN_POINT('',(-2.35696125E1,-2.22631E0,-1.397E1)); +#2248=LINE('',#2247,#2246); +#2249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2250=VECTOR('',#2249,3.175E0); +#2251=CARTESIAN_POINT('',(-2.31648E1,-2.22631E0,-1.5494E1)); +#2252=LINE('',#2251,#2250); +#2253=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2254=VECTOR('',#2253,3.9878E-1); +#2255=CARTESIAN_POINT('',(-2.25552E1,-2.22631E0,-1.5494E1)); +#2256=LINE('',#2255,#2254); +#2257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2258=VECTOR('',#2257,3.175E0); +#2259=CARTESIAN_POINT('',(-2.25552E1,-2.22631E0,-1.5494E1)); +#2260=LINE('',#2259,#2258); +#2261=DIRECTION('',(0.E0,1.E0,0.E0)); +#2262=VECTOR('',#2261,3.9878E-1); +#2263=CARTESIAN_POINT('',(-2.31648E1,2.22631E0,-1.5494E1)); +#2264=LINE('',#2263,#2262); +#2265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2266=VECTOR('',#2265,3.175E0); +#2267=CARTESIAN_POINT('',(-2.31648E1,2.22631E0,-1.5494E1)); +#2268=LINE('',#2267,#2266); +#2269=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2270=VECTOR('',#2269,1.576847855741E0); +#2271=CARTESIAN_POINT('',(-1.96103875E1,2.62509E0,-1.397E1)); +#2272=LINE('',#2271,#2270); +#2273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2274=VECTOR('',#2273,1.419225E0); +#2275=CARTESIAN_POINT('',(-1.96103875E1,2.62509E0,-1.397E1)); +#2276=LINE('',#2275,#2274); +#2277=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2278=VECTOR('',#2277,1.576847855741E0); +#2279=CARTESIAN_POINT('',(-2.06248E1,2.62509E0,-1.5494E1)); +#2280=LINE('',#2279,#2278); +#2281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2282=VECTOR('',#2281,3.175E0); +#2283=CARTESIAN_POINT('',(-2.06248E1,2.62509E0,-1.5494E1)); +#2284=LINE('',#2283,#2282); +#2285=DIRECTION('',(0.E0,-1.E0,-3.563582605748E-14)); +#2286=VECTOR('',#2285,3.9878E-1); +#2287=CARTESIAN_POINT('',(-2.00152E1,2.62509E0,-1.5494E1)); +#2288=LINE('',#2287,#2286); +#2289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2290=VECTOR('',#2289,3.175E0); +#2291=CARTESIAN_POINT('',(-2.00152E1,2.62509E0,-1.5494E1)); +#2292=LINE('',#2291,#2290); +#2293=DIRECTION('',(1.E0,0.E0,0.E0)); +#2294=VECTOR('',#2293,1.419225E0); +#2295=CARTESIAN_POINT('',(-2.10296125E1,2.22631E0,-1.397E1)); +#2296=LINE('',#2295,#2294); +#2297=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2298=VECTOR('',#2297,1.576847855741E0); +#2299=CARTESIAN_POINT('',(-1.96103875E1,2.22631E0,-1.397E1)); +#2300=LINE('',#2299,#2298); +#2301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2302=VECTOR('',#2301,3.175E0); +#2303=CARTESIAN_POINT('',(-2.00152E1,2.22631E0,-1.5494E1)); +#2304=LINE('',#2303,#2302); +#2305=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2306=VECTOR('',#2305,1.576847855741E0); +#2307=CARTESIAN_POINT('',(-2.06248E1,2.22631E0,-1.5494E1)); +#2308=LINE('',#2307,#2306); +#2309=DIRECTION('',(0.E0,1.E0,0.E0)); +#2310=VECTOR('',#2309,3.9878E-1); +#2311=CARTESIAN_POINT('',(-2.06248E1,-2.62509E0,-1.8669E1)); +#2312=LINE('',#2311,#2310); +#2313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2314=VECTOR('',#2313,6.096E-1); +#2315=CARTESIAN_POINT('',(-2.00152E1,-2.62509E0,-1.8669E1)); +#2316=LINE('',#2315,#2314); +#2317=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2318=VECTOR('',#2317,3.9878E-1); +#2319=CARTESIAN_POINT('',(-2.00152E1,-2.22631E0,-1.8669E1)); +#2320=LINE('',#2319,#2318); +#2321=DIRECTION('',(1.E0,0.E0,0.E0)); +#2322=VECTOR('',#2321,6.096E-1); +#2323=CARTESIAN_POINT('',(-2.06248E1,-2.22631E0,-1.8669E1)); +#2324=LINE('',#2323,#2322); +#2325=DIRECTION('',(1.E0,0.E0,0.E0)); +#2326=VECTOR('',#2325,6.096E-1); +#2327=CARTESIAN_POINT('',(-2.06248E1,2.62509E0,-1.8669E1)); +#2328=LINE('',#2327,#2326); +#2329=DIRECTION('',(0.E0,1.E0,0.E0)); +#2330=VECTOR('',#2329,3.9878E-1); +#2331=CARTESIAN_POINT('',(-2.06248E1,2.22631E0,-1.8669E1)); +#2332=LINE('',#2331,#2330); +#2333=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2334=VECTOR('',#2333,6.096E-1); +#2335=CARTESIAN_POINT('',(-2.00152E1,2.22631E0,-1.8669E1)); +#2336=LINE('',#2335,#2334); +#2337=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2338=VECTOR('',#2337,3.9878E-1); +#2339=CARTESIAN_POINT('',(-2.00152E1,2.62509E0,-1.8669E1)); +#2340=LINE('',#2339,#2338); +#2341=DIRECTION('',(0.E0,1.E0,0.E0)); +#2342=VECTOR('',#2341,3.9878E-1); +#2343=CARTESIAN_POINT('',(-2.06248E1,-2.62509E0,-1.5494E1)); +#2344=LINE('',#2343,#2342); +#2345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2346=VECTOR('',#2345,3.175E0); +#2347=CARTESIAN_POINT('',(-2.06248E1,-2.62509E0,-1.5494E1)); +#2348=LINE('',#2347,#2346); +#2349=DIRECTION('',(1.E0,0.E0,0.E0)); +#2350=VECTOR('',#2349,1.419225E0); +#2351=CARTESIAN_POINT('',(-2.10296125E1,-2.62509E0,-1.397E1)); +#2352=LINE('',#2351,#2350); +#2353=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2354=VECTOR('',#2353,1.576847855741E0); +#2355=CARTESIAN_POINT('',(-2.00152E1,-2.62509E0,-1.5494E1)); +#2356=LINE('',#2355,#2354); +#2357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2358=VECTOR('',#2357,3.175E0); +#2359=CARTESIAN_POINT('',(-2.00152E1,-2.62509E0,-1.5494E1)); +#2360=LINE('',#2359,#2358); +#2361=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2362=VECTOR('',#2361,1.576847855741E0); +#2363=CARTESIAN_POINT('',(-2.10296125E1,-2.62509E0,-1.397E1)); +#2364=LINE('',#2363,#2362); +#2365=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2366=VECTOR('',#2365,1.576847855741E0); +#2367=CARTESIAN_POINT('',(-2.00152E1,-2.22631E0,-1.5494E1)); +#2368=LINE('',#2367,#2366); +#2369=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2370=VECTOR('',#2369,1.419225E0); +#2371=CARTESIAN_POINT('',(-1.96103875E1,-2.22631E0,-1.397E1)); +#2372=LINE('',#2371,#2370); +#2373=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2374=VECTOR('',#2373,1.576847855741E0); +#2375=CARTESIAN_POINT('',(-2.10296125E1,-2.22631E0,-1.397E1)); +#2376=LINE('',#2375,#2374); +#2377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2378=VECTOR('',#2377,3.175E0); +#2379=CARTESIAN_POINT('',(-2.06248E1,-2.22631E0,-1.5494E1)); +#2380=LINE('',#2379,#2378); +#2381=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2382=VECTOR('',#2381,3.9878E-1); +#2383=CARTESIAN_POINT('',(-2.00152E1,-2.22631E0,-1.5494E1)); +#2384=LINE('',#2383,#2382); +#2385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2386=VECTOR('',#2385,3.175E0); +#2387=CARTESIAN_POINT('',(-2.00152E1,-2.22631E0,-1.5494E1)); +#2388=LINE('',#2387,#2386); +#2389=DIRECTION('',(0.E0,1.E0,0.E0)); +#2390=VECTOR('',#2389,3.9878E-1); +#2391=CARTESIAN_POINT('',(-2.06248E1,2.22631E0,-1.5494E1)); +#2392=LINE('',#2391,#2390); +#2393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2394=VECTOR('',#2393,3.175E0); +#2395=CARTESIAN_POINT('',(-2.06248E1,2.22631E0,-1.5494E1)); +#2396=LINE('',#2395,#2394); +#2397=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2398=VECTOR('',#2397,1.576847855741E0); +#2399=CARTESIAN_POINT('',(-1.70703875E1,2.62509E0,-1.397E1)); +#2400=LINE('',#2399,#2398); +#2401=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2402=VECTOR('',#2401,1.419225E0); +#2403=CARTESIAN_POINT('',(-1.70703875E1,2.62509E0,-1.397E1)); +#2404=LINE('',#2403,#2402); +#2405=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2406=VECTOR('',#2405,1.576847855741E0); +#2407=CARTESIAN_POINT('',(-1.80848E1,2.62509E0,-1.5494E1)); +#2408=LINE('',#2407,#2406); +#2409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2410=VECTOR('',#2409,3.175E0); +#2411=CARTESIAN_POINT('',(-1.80848E1,2.62509E0,-1.5494E1)); +#2412=LINE('',#2411,#2410); +#2413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2414=VECTOR('',#2413,3.9878E-1); +#2415=CARTESIAN_POINT('',(-1.74752E1,2.62509E0,-1.5494E1)); +#2416=LINE('',#2415,#2414); +#2417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2418=VECTOR('',#2417,3.175E0); +#2419=CARTESIAN_POINT('',(-1.74752E1,2.62509E0,-1.5494E1)); +#2420=LINE('',#2419,#2418); +#2421=DIRECTION('',(1.E0,0.E0,0.E0)); +#2422=VECTOR('',#2421,1.419225E0); +#2423=CARTESIAN_POINT('',(-1.84896125E1,2.22631E0,-1.397E1)); +#2424=LINE('',#2423,#2422); +#2425=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2426=VECTOR('',#2425,1.576847855741E0); +#2427=CARTESIAN_POINT('',(-1.70703875E1,2.22631E0,-1.397E1)); +#2428=LINE('',#2427,#2426); +#2429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2430=VECTOR('',#2429,3.175E0); +#2431=CARTESIAN_POINT('',(-1.74752E1,2.22631E0,-1.5494E1)); +#2432=LINE('',#2431,#2430); +#2433=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2434=VECTOR('',#2433,1.576847855741E0); +#2435=CARTESIAN_POINT('',(-1.80848E1,2.22631E0,-1.5494E1)); +#2436=LINE('',#2435,#2434); +#2437=DIRECTION('',(0.E0,1.E0,0.E0)); +#2438=VECTOR('',#2437,3.9878E-1); +#2439=CARTESIAN_POINT('',(-1.80848E1,-2.62509E0,-1.8669E1)); +#2440=LINE('',#2439,#2438); +#2441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2442=VECTOR('',#2441,6.096E-1); +#2443=CARTESIAN_POINT('',(-1.74752E1,-2.62509E0,-1.8669E1)); +#2444=LINE('',#2443,#2442); +#2445=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2446=VECTOR('',#2445,3.9878E-1); +#2447=CARTESIAN_POINT('',(-1.74752E1,-2.22631E0,-1.8669E1)); +#2448=LINE('',#2447,#2446); +#2449=DIRECTION('',(1.E0,0.E0,0.E0)); +#2450=VECTOR('',#2449,6.096E-1); +#2451=CARTESIAN_POINT('',(-1.80848E1,-2.22631E0,-1.8669E1)); +#2452=LINE('',#2451,#2450); +#2453=DIRECTION('',(1.E0,0.E0,0.E0)); +#2454=VECTOR('',#2453,6.096E-1); +#2455=CARTESIAN_POINT('',(-1.80848E1,2.62509E0,-1.8669E1)); +#2456=LINE('',#2455,#2454); +#2457=DIRECTION('',(0.E0,1.E0,0.E0)); +#2458=VECTOR('',#2457,3.9878E-1); +#2459=CARTESIAN_POINT('',(-1.80848E1,2.22631E0,-1.8669E1)); +#2460=LINE('',#2459,#2458); +#2461=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2462=VECTOR('',#2461,6.096E-1); +#2463=CARTESIAN_POINT('',(-1.74752E1,2.22631E0,-1.8669E1)); +#2464=LINE('',#2463,#2462); +#2465=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2466=VECTOR('',#2465,3.9878E-1); +#2467=CARTESIAN_POINT('',(-1.74752E1,2.62509E0,-1.8669E1)); +#2468=LINE('',#2467,#2466); +#2469=DIRECTION('',(0.E0,1.E0,0.E0)); +#2470=VECTOR('',#2469,3.9878E-1); +#2471=CARTESIAN_POINT('',(-1.80848E1,-2.62509E0,-1.5494E1)); +#2472=LINE('',#2471,#2470); +#2473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2474=VECTOR('',#2473,3.175E0); +#2475=CARTESIAN_POINT('',(-1.80848E1,-2.62509E0,-1.5494E1)); +#2476=LINE('',#2475,#2474); +#2477=DIRECTION('',(1.E0,0.E0,0.E0)); +#2478=VECTOR('',#2477,1.419225E0); +#2479=CARTESIAN_POINT('',(-1.84896125E1,-2.62509E0,-1.397E1)); +#2480=LINE('',#2479,#2478); +#2481=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2482=VECTOR('',#2481,1.576847855741E0); +#2483=CARTESIAN_POINT('',(-1.74752E1,-2.62509E0,-1.5494E1)); +#2484=LINE('',#2483,#2482); +#2485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2486=VECTOR('',#2485,3.175E0); +#2487=CARTESIAN_POINT('',(-1.74752E1,-2.62509E0,-1.5494E1)); +#2488=LINE('',#2487,#2486); +#2489=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2490=VECTOR('',#2489,1.576847855741E0); +#2491=CARTESIAN_POINT('',(-1.84896125E1,-2.62509E0,-1.397E1)); +#2492=LINE('',#2491,#2490); +#2493=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2494=VECTOR('',#2493,1.576847855741E0); +#2495=CARTESIAN_POINT('',(-1.74752E1,-2.22631E0,-1.5494E1)); +#2496=LINE('',#2495,#2494); +#2497=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2498=VECTOR('',#2497,1.419225E0); +#2499=CARTESIAN_POINT('',(-1.70703875E1,-2.22631E0,-1.397E1)); +#2500=LINE('',#2499,#2498); +#2501=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2502=VECTOR('',#2501,1.576847855741E0); +#2503=CARTESIAN_POINT('',(-1.84896125E1,-2.22631E0,-1.397E1)); +#2504=LINE('',#2503,#2502); +#2505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2506=VECTOR('',#2505,3.175E0); +#2507=CARTESIAN_POINT('',(-1.80848E1,-2.22631E0,-1.5494E1)); +#2508=LINE('',#2507,#2506); +#2509=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2510=VECTOR('',#2509,3.9878E-1); +#2511=CARTESIAN_POINT('',(-1.74752E1,-2.22631E0,-1.5494E1)); +#2512=LINE('',#2511,#2510); +#2513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2514=VECTOR('',#2513,3.175E0); +#2515=CARTESIAN_POINT('',(-1.74752E1,-2.22631E0,-1.5494E1)); +#2516=LINE('',#2515,#2514); +#2517=DIRECTION('',(0.E0,1.E0,-3.563582605748E-14)); +#2518=VECTOR('',#2517,3.9878E-1); +#2519=CARTESIAN_POINT('',(-1.80848E1,2.22631E0,-1.5494E1)); +#2520=LINE('',#2519,#2518); +#2521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2522=VECTOR('',#2521,3.175E0); +#2523=CARTESIAN_POINT('',(-1.80848E1,2.22631E0,-1.5494E1)); +#2524=LINE('',#2523,#2522); +#2525=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2526=VECTOR('',#2525,1.576847855741E0); +#2527=CARTESIAN_POINT('',(-1.45303875E1,2.62509E0,-1.397E1)); +#2528=LINE('',#2527,#2526); +#2529=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2530=VECTOR('',#2529,1.419225E0); +#2531=CARTESIAN_POINT('',(-1.45303875E1,2.62509E0,-1.397E1)); +#2532=LINE('',#2531,#2530); +#2533=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2534=VECTOR('',#2533,1.576847855741E0); +#2535=CARTESIAN_POINT('',(-1.55448E1,2.62509E0,-1.5494E1)); +#2536=LINE('',#2535,#2534); +#2537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2538=VECTOR('',#2537,3.175E0); +#2539=CARTESIAN_POINT('',(-1.55448E1,2.62509E0,-1.5494E1)); +#2540=LINE('',#2539,#2538); +#2541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2542=VECTOR('',#2541,3.9878E-1); +#2543=CARTESIAN_POINT('',(-1.49352E1,2.62509E0,-1.5494E1)); +#2544=LINE('',#2543,#2542); +#2545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2546=VECTOR('',#2545,3.175E0); +#2547=CARTESIAN_POINT('',(-1.49352E1,2.62509E0,-1.5494E1)); +#2548=LINE('',#2547,#2546); +#2549=DIRECTION('',(1.E0,0.E0,0.E0)); +#2550=VECTOR('',#2549,1.419225E0); +#2551=CARTESIAN_POINT('',(-1.59496125E1,2.22631E0,-1.397E1)); +#2552=LINE('',#2551,#2550); +#2553=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2554=VECTOR('',#2553,1.576847855741E0); +#2555=CARTESIAN_POINT('',(-1.45303875E1,2.22631E0,-1.397E1)); +#2556=LINE('',#2555,#2554); +#2557=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2558=VECTOR('',#2557,3.175E0); +#2559=CARTESIAN_POINT('',(-1.49352E1,2.22631E0,-1.5494E1)); +#2560=LINE('',#2559,#2558); +#2561=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2562=VECTOR('',#2561,1.576847855741E0); +#2563=CARTESIAN_POINT('',(-1.55448E1,2.22631E0,-1.5494E1)); +#2564=LINE('',#2563,#2562); +#2565=DIRECTION('',(0.E0,1.E0,0.E0)); +#2566=VECTOR('',#2565,3.9878E-1); +#2567=CARTESIAN_POINT('',(-1.55448E1,-2.62509E0,-1.8669E1)); +#2568=LINE('',#2567,#2566); +#2569=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2570=VECTOR('',#2569,6.096E-1); +#2571=CARTESIAN_POINT('',(-1.49352E1,-2.62509E0,-1.8669E1)); +#2572=LINE('',#2571,#2570); +#2573=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2574=VECTOR('',#2573,3.9878E-1); +#2575=CARTESIAN_POINT('',(-1.49352E1,-2.22631E0,-1.8669E1)); +#2576=LINE('',#2575,#2574); +#2577=DIRECTION('',(1.E0,0.E0,0.E0)); +#2578=VECTOR('',#2577,6.096E-1); +#2579=CARTESIAN_POINT('',(-1.55448E1,-2.22631E0,-1.8669E1)); +#2580=LINE('',#2579,#2578); +#2581=DIRECTION('',(1.E0,0.E0,0.E0)); +#2582=VECTOR('',#2581,6.096E-1); +#2583=CARTESIAN_POINT('',(-1.55448E1,2.62509E0,-1.8669E1)); +#2584=LINE('',#2583,#2582); +#2585=DIRECTION('',(0.E0,1.E0,0.E0)); +#2586=VECTOR('',#2585,3.9878E-1); +#2587=CARTESIAN_POINT('',(-1.55448E1,2.22631E0,-1.8669E1)); +#2588=LINE('',#2587,#2586); +#2589=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2590=VECTOR('',#2589,6.096E-1); +#2591=CARTESIAN_POINT('',(-1.49352E1,2.22631E0,-1.8669E1)); +#2592=LINE('',#2591,#2590); +#2593=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2594=VECTOR('',#2593,3.9878E-1); +#2595=CARTESIAN_POINT('',(-1.49352E1,2.62509E0,-1.8669E1)); +#2596=LINE('',#2595,#2594); +#2597=DIRECTION('',(0.E0,1.E0,0.E0)); +#2598=VECTOR('',#2597,3.9878E-1); +#2599=CARTESIAN_POINT('',(-1.55448E1,-2.62509E0,-1.5494E1)); +#2600=LINE('',#2599,#2598); +#2601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2602=VECTOR('',#2601,3.175E0); +#2603=CARTESIAN_POINT('',(-1.55448E1,-2.62509E0,-1.5494E1)); +#2604=LINE('',#2603,#2602); +#2605=DIRECTION('',(1.E0,0.E0,0.E0)); +#2606=VECTOR('',#2605,1.419225E0); +#2607=CARTESIAN_POINT('',(-1.59496125E1,-2.62509E0,-1.397E1)); +#2608=LINE('',#2607,#2606); +#2609=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2610=VECTOR('',#2609,1.576847855741E0); +#2611=CARTESIAN_POINT('',(-1.49352E1,-2.62509E0,-1.5494E1)); +#2612=LINE('',#2611,#2610); +#2613=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2614=VECTOR('',#2613,3.175E0); +#2615=CARTESIAN_POINT('',(-1.49352E1,-2.62509E0,-1.5494E1)); +#2616=LINE('',#2615,#2614); +#2617=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2618=VECTOR('',#2617,1.576847855741E0); +#2619=CARTESIAN_POINT('',(-1.59496125E1,-2.62509E0,-1.397E1)); +#2620=LINE('',#2619,#2618); +#2621=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2622=VECTOR('',#2621,1.576847855741E0); +#2623=CARTESIAN_POINT('',(-1.49352E1,-2.22631E0,-1.5494E1)); +#2624=LINE('',#2623,#2622); +#2625=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2626=VECTOR('',#2625,1.419225E0); +#2627=CARTESIAN_POINT('',(-1.45303875E1,-2.22631E0,-1.397E1)); +#2628=LINE('',#2627,#2626); +#2629=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2630=VECTOR('',#2629,1.576847855741E0); +#2631=CARTESIAN_POINT('',(-1.59496125E1,-2.22631E0,-1.397E1)); +#2632=LINE('',#2631,#2630); +#2633=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2634=VECTOR('',#2633,3.175E0); +#2635=CARTESIAN_POINT('',(-1.55448E1,-2.22631E0,-1.5494E1)); +#2636=LINE('',#2635,#2634); +#2637=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2638=VECTOR('',#2637,3.9878E-1); +#2639=CARTESIAN_POINT('',(-1.49352E1,-2.22631E0,-1.5494E1)); +#2640=LINE('',#2639,#2638); +#2641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2642=VECTOR('',#2641,3.175E0); +#2643=CARTESIAN_POINT('',(-1.49352E1,-2.22631E0,-1.5494E1)); +#2644=LINE('',#2643,#2642); +#2645=DIRECTION('',(0.E0,1.E0,-3.563582605748E-14)); +#2646=VECTOR('',#2645,3.9878E-1); +#2647=CARTESIAN_POINT('',(-1.55448E1,2.22631E0,-1.5494E1)); +#2648=LINE('',#2647,#2646); +#2649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2650=VECTOR('',#2649,3.175E0); +#2651=CARTESIAN_POINT('',(-1.55448E1,2.22631E0,-1.5494E1)); +#2652=LINE('',#2651,#2650); +#2653=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2654=VECTOR('',#2653,1.576847855741E0); +#2655=CARTESIAN_POINT('',(-1.19903875E1,2.62509E0,-1.397E1)); +#2656=LINE('',#2655,#2654); +#2657=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2658=VECTOR('',#2657,1.419225E0); +#2659=CARTESIAN_POINT('',(-1.19903875E1,2.62509E0,-1.397E1)); +#2660=LINE('',#2659,#2658); +#2661=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2662=VECTOR('',#2661,1.576847855741E0); +#2663=CARTESIAN_POINT('',(-1.30048E1,2.62509E0,-1.5494E1)); +#2664=LINE('',#2663,#2662); +#2665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2666=VECTOR('',#2665,3.175E0); +#2667=CARTESIAN_POINT('',(-1.30048E1,2.62509E0,-1.5494E1)); +#2668=LINE('',#2667,#2666); +#2669=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2670=VECTOR('',#2669,3.9878E-1); +#2671=CARTESIAN_POINT('',(-1.23952E1,2.62509E0,-1.5494E1)); +#2672=LINE('',#2671,#2670); +#2673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2674=VECTOR('',#2673,3.175E0); +#2675=CARTESIAN_POINT('',(-1.23952E1,2.62509E0,-1.5494E1)); +#2676=LINE('',#2675,#2674); +#2677=DIRECTION('',(1.E0,0.E0,0.E0)); +#2678=VECTOR('',#2677,1.419225E0); +#2679=CARTESIAN_POINT('',(-1.34096125E1,2.22631E0,-1.397E1)); +#2680=LINE('',#2679,#2678); +#2681=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2682=VECTOR('',#2681,1.576847855741E0); +#2683=CARTESIAN_POINT('',(-1.19903875E1,2.22631E0,-1.397E1)); +#2684=LINE('',#2683,#2682); +#2685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2686=VECTOR('',#2685,3.175E0); +#2687=CARTESIAN_POINT('',(-1.23952E1,2.22631E0,-1.5494E1)); +#2688=LINE('',#2687,#2686); +#2689=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2690=VECTOR('',#2689,1.576847855741E0); +#2691=CARTESIAN_POINT('',(-1.30048E1,2.22631E0,-1.5494E1)); +#2692=LINE('',#2691,#2690); +#2693=DIRECTION('',(0.E0,1.E0,0.E0)); +#2694=VECTOR('',#2693,3.9878E-1); +#2695=CARTESIAN_POINT('',(-1.30048E1,-2.62509E0,-1.8669E1)); +#2696=LINE('',#2695,#2694); +#2697=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2698=VECTOR('',#2697,6.096E-1); +#2699=CARTESIAN_POINT('',(-1.23952E1,-2.62509E0,-1.8669E1)); +#2700=LINE('',#2699,#2698); +#2701=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2702=VECTOR('',#2701,3.9878E-1); +#2703=CARTESIAN_POINT('',(-1.23952E1,-2.22631E0,-1.8669E1)); +#2704=LINE('',#2703,#2702); +#2705=DIRECTION('',(1.E0,0.E0,0.E0)); +#2706=VECTOR('',#2705,6.096E-1); +#2707=CARTESIAN_POINT('',(-1.30048E1,-2.22631E0,-1.8669E1)); +#2708=LINE('',#2707,#2706); +#2709=DIRECTION('',(1.E0,0.E0,0.E0)); +#2710=VECTOR('',#2709,6.096E-1); +#2711=CARTESIAN_POINT('',(-1.30048E1,2.62509E0,-1.8669E1)); +#2712=LINE('',#2711,#2710); +#2713=DIRECTION('',(-1.781791302874E-14,1.E0,0.E0)); +#2714=VECTOR('',#2713,3.9878E-1); +#2715=CARTESIAN_POINT('',(-1.30048E1,2.22631E0,-1.8669E1)); +#2716=LINE('',#2715,#2714); +#2717=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2718=VECTOR('',#2717,6.096E-1); +#2719=CARTESIAN_POINT('',(-1.23952E1,2.22631E0,-1.8669E1)); +#2720=LINE('',#2719,#2718); +#2721=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2722=VECTOR('',#2721,3.9878E-1); +#2723=CARTESIAN_POINT('',(-1.23952E1,2.62509E0,-1.8669E1)); +#2724=LINE('',#2723,#2722); +#2725=DIRECTION('',(0.E0,1.E0,1.336343477156E-14)); +#2726=VECTOR('',#2725,3.9878E-1); +#2727=CARTESIAN_POINT('',(-1.30048E1,-2.62509E0,-1.5494E1)); +#2728=LINE('',#2727,#2726); +#2729=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2730=VECTOR('',#2729,3.175E0); +#2731=CARTESIAN_POINT('',(-1.30048E1,-2.62509E0,-1.5494E1)); +#2732=LINE('',#2731,#2730); +#2733=DIRECTION('',(1.E0,0.E0,0.E0)); +#2734=VECTOR('',#2733,1.419225E0); +#2735=CARTESIAN_POINT('',(-1.34096125E1,-2.62509E0,-1.397E1)); +#2736=LINE('',#2735,#2734); +#2737=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#2738=VECTOR('',#2737,1.576847855741E0); +#2739=CARTESIAN_POINT('',(-1.23952E1,-2.62509E0,-1.5494E1)); +#2740=LINE('',#2739,#2738); +#2741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2742=VECTOR('',#2741,3.175E0); +#2743=CARTESIAN_POINT('',(-1.23952E1,-2.62509E0,-1.5494E1)); +#2744=LINE('',#2743,#2742); +#2745=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2746=VECTOR('',#2745,1.576847855741E0); +#2747=CARTESIAN_POINT('',(-1.34096125E1,-2.62509E0,-1.397E1)); +#2748=LINE('',#2747,#2746); +#2749=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2750=VECTOR('',#2749,1.576847855741E0); +#2751=CARTESIAN_POINT('',(-1.23952E1,-2.22631E0,-1.5494E1)); +#2752=LINE('',#2751,#2750); +#2753=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2754=VECTOR('',#2753,1.419225E0); +#2755=CARTESIAN_POINT('',(-1.19903875E1,-2.22631E0,-1.397E1)); +#2756=LINE('',#2755,#2754); +#2757=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2758=VECTOR('',#2757,1.576847855741E0); +#2759=CARTESIAN_POINT('',(-1.34096125E1,-2.22631E0,-1.397E1)); +#2760=LINE('',#2759,#2758); +#2761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2762=VECTOR('',#2761,3.175E0); +#2763=CARTESIAN_POINT('',(-1.30048E1,-2.22631E0,-1.5494E1)); +#2764=LINE('',#2763,#2762); +#2765=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2766=VECTOR('',#2765,3.9878E-1); +#2767=CARTESIAN_POINT('',(-1.23952E1,-2.22631E0,-1.5494E1)); +#2768=LINE('',#2767,#2766); +#2769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2770=VECTOR('',#2769,3.175E0); +#2771=CARTESIAN_POINT('',(-1.23952E1,-2.22631E0,-1.5494E1)); +#2772=LINE('',#2771,#2770); +#2773=DIRECTION('',(-1.781791302874E-14,1.E0,1.781791302874E-14)); +#2774=VECTOR('',#2773,3.9878E-1); +#2775=CARTESIAN_POINT('',(-1.30048E1,2.22631E0,-1.5494E1)); +#2776=LINE('',#2775,#2774); +#2777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2778=VECTOR('',#2777,3.175E0); +#2779=CARTESIAN_POINT('',(-1.30048E1,2.22631E0,-1.5494E1)); +#2780=LINE('',#2779,#2778); +#2781=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2782=VECTOR('',#2781,1.576847855741E0); +#2783=CARTESIAN_POINT('',(-9.4503875E0,2.62509E0,-1.397E1)); +#2784=LINE('',#2783,#2782); +#2785=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2786=VECTOR('',#2785,1.419225E0); +#2787=CARTESIAN_POINT('',(-9.4503875E0,2.62509E0,-1.397E1)); +#2788=LINE('',#2787,#2786); +#2789=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2790=VECTOR('',#2789,1.576847855741E0); +#2791=CARTESIAN_POINT('',(-1.04648E1,2.62509E0,-1.5494E1)); +#2792=LINE('',#2791,#2790); +#2793=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2794=VECTOR('',#2793,3.175E0); +#2795=CARTESIAN_POINT('',(-1.04648E1,2.62509E0,-1.5494E1)); +#2796=LINE('',#2795,#2794); +#2797=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2798=VECTOR('',#2797,3.9878E-1); +#2799=CARTESIAN_POINT('',(-9.8552E0,2.62509E0,-1.5494E1)); +#2800=LINE('',#2799,#2798); +#2801=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2802=VECTOR('',#2801,3.175E0); +#2803=CARTESIAN_POINT('',(-9.8552E0,2.62509E0,-1.5494E1)); +#2804=LINE('',#2803,#2802); +#2805=DIRECTION('',(1.E0,0.E0,0.E0)); +#2806=VECTOR('',#2805,1.419225E0); +#2807=CARTESIAN_POINT('',(-1.08696125E1,2.22631E0,-1.397E1)); +#2808=LINE('',#2807,#2806); +#2809=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2810=VECTOR('',#2809,1.576847855741E0); +#2811=CARTESIAN_POINT('',(-9.4503875E0,2.22631E0,-1.397E1)); +#2812=LINE('',#2811,#2810); +#2813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2814=VECTOR('',#2813,3.175E0); +#2815=CARTESIAN_POINT('',(-9.8552E0,2.22631E0,-1.5494E1)); +#2816=LINE('',#2815,#2814); +#2817=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#2818=VECTOR('',#2817,1.576847855741E0); +#2819=CARTESIAN_POINT('',(-1.04648E1,2.22631E0,-1.5494E1)); +#2820=LINE('',#2819,#2818); +#2821=DIRECTION('',(0.E0,1.E0,0.E0)); +#2822=VECTOR('',#2821,3.9878E-1); +#2823=CARTESIAN_POINT('',(-1.04648E1,-2.62509E0,-1.8669E1)); +#2824=LINE('',#2823,#2822); +#2825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2826=VECTOR('',#2825,6.096E-1); +#2827=CARTESIAN_POINT('',(-9.8552E0,-2.62509E0,-1.8669E1)); +#2828=LINE('',#2827,#2826); +#2829=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2830=VECTOR('',#2829,3.9878E-1); +#2831=CARTESIAN_POINT('',(-9.8552E0,-2.22631E0,-1.8669E1)); +#2832=LINE('',#2831,#2830); +#2833=DIRECTION('',(1.E0,0.E0,0.E0)); +#2834=VECTOR('',#2833,6.096E-1); +#2835=CARTESIAN_POINT('',(-1.04648E1,-2.22631E0,-1.8669E1)); +#2836=LINE('',#2835,#2834); +#2837=DIRECTION('',(1.E0,0.E0,0.E0)); +#2838=VECTOR('',#2837,6.096E-1); +#2839=CARTESIAN_POINT('',(-1.04648E1,2.62509E0,-1.8669E1)); +#2840=LINE('',#2839,#2838); +#2841=DIRECTION('',(0.E0,1.E0,0.E0)); +#2842=VECTOR('',#2841,3.9878E-1); +#2843=CARTESIAN_POINT('',(-1.04648E1,2.22631E0,-1.8669E1)); +#2844=LINE('',#2843,#2842); +#2845=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2846=VECTOR('',#2845,6.096E-1); +#2847=CARTESIAN_POINT('',(-9.8552E0,2.22631E0,-1.8669E1)); +#2848=LINE('',#2847,#2846); +#2849=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2850=VECTOR('',#2849,3.9878E-1); +#2851=CARTESIAN_POINT('',(-9.8552E0,2.62509E0,-1.8669E1)); +#2852=LINE('',#2851,#2850); +#2853=DIRECTION('',(0.E0,1.E0,0.E0)); +#2854=VECTOR('',#2853,3.9878E-1); +#2855=CARTESIAN_POINT('',(-1.04648E1,-2.62509E0,-1.5494E1)); +#2856=LINE('',#2855,#2854); +#2857=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2858=VECTOR('',#2857,3.175E0); +#2859=CARTESIAN_POINT('',(-1.04648E1,-2.62509E0,-1.5494E1)); +#2860=LINE('',#2859,#2858); +#2861=DIRECTION('',(1.E0,0.E0,0.E0)); +#2862=VECTOR('',#2861,1.419225E0); +#2863=CARTESIAN_POINT('',(-1.08696125E1,-2.62509E0,-1.397E1)); +#2864=LINE('',#2863,#2862); +#2865=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2866=VECTOR('',#2865,1.576847855741E0); +#2867=CARTESIAN_POINT('',(-9.8552E0,-2.62509E0,-1.5494E1)); +#2868=LINE('',#2867,#2866); +#2869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2870=VECTOR('',#2869,3.175E0); +#2871=CARTESIAN_POINT('',(-9.8552E0,-2.62509E0,-1.5494E1)); +#2872=LINE('',#2871,#2870); +#2873=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2874=VECTOR('',#2873,1.576847855741E0); +#2875=CARTESIAN_POINT('',(-1.08696125E1,-2.62509E0,-1.397E1)); +#2876=LINE('',#2875,#2874); +#2877=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2878=VECTOR('',#2877,1.576847855741E0); +#2879=CARTESIAN_POINT('',(-9.8552E0,-2.22631E0,-1.5494E1)); +#2880=LINE('',#2879,#2878); +#2881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2882=VECTOR('',#2881,1.419225E0); +#2883=CARTESIAN_POINT('',(-9.4503875E0,-2.22631E0,-1.397E1)); +#2884=LINE('',#2883,#2882); +#2885=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#2886=VECTOR('',#2885,1.576847855741E0); +#2887=CARTESIAN_POINT('',(-1.08696125E1,-2.22631E0,-1.397E1)); +#2888=LINE('',#2887,#2886); +#2889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2890=VECTOR('',#2889,3.175E0); +#2891=CARTESIAN_POINT('',(-1.04648E1,-2.22631E0,-1.5494E1)); +#2892=LINE('',#2891,#2890); +#2893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2894=VECTOR('',#2893,3.9878E-1); +#2895=CARTESIAN_POINT('',(-9.8552E0,-2.22631E0,-1.5494E1)); +#2896=LINE('',#2895,#2894); +#2897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2898=VECTOR('',#2897,3.175E0); +#2899=CARTESIAN_POINT('',(-9.8552E0,-2.22631E0,-1.5494E1)); +#2900=LINE('',#2899,#2898); +#2901=DIRECTION('',(0.E0,1.E0,0.E0)); +#2902=VECTOR('',#2901,3.9878E-1); +#2903=CARTESIAN_POINT('',(-1.04648E1,2.22631E0,-1.5494E1)); +#2904=LINE('',#2903,#2902); +#2905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2906=VECTOR('',#2905,3.175E0); +#2907=CARTESIAN_POINT('',(-1.04648E1,2.22631E0,-1.5494E1)); +#2908=LINE('',#2907,#2906); +#2909=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2910=VECTOR('',#2909,1.576847855741E0); +#2911=CARTESIAN_POINT('',(-6.9103875E0,2.62509E0,-1.397E1)); +#2912=LINE('',#2911,#2910); +#2913=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2914=VECTOR('',#2913,1.419225E0); +#2915=CARTESIAN_POINT('',(-6.9103875E0,2.62509E0,-1.397E1)); +#2916=LINE('',#2915,#2914); +#2917=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2918=VECTOR('',#2917,1.576847855741E0); +#2919=CARTESIAN_POINT('',(-7.9248E0,2.62509E0,-1.5494E1)); +#2920=LINE('',#2919,#2918); +#2921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2922=VECTOR('',#2921,3.175E0); +#2923=CARTESIAN_POINT('',(-7.9248E0,2.62509E0,-1.5494E1)); +#2924=LINE('',#2923,#2922); +#2925=DIRECTION('',(-1.781791302874E-14,-1.E0,-7.127165211496E-14)); +#2926=VECTOR('',#2925,3.9878E-1); +#2927=CARTESIAN_POINT('',(-7.3152E0,2.62509E0,-1.5494E1)); +#2928=LINE('',#2927,#2926); +#2929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2930=VECTOR('',#2929,3.175E0); +#2931=CARTESIAN_POINT('',(-7.3152E0,2.62509E0,-1.5494E1)); +#2932=LINE('',#2931,#2930); +#2933=DIRECTION('',(1.E0,0.E0,0.E0)); +#2934=VECTOR('',#2933,1.419225E0); +#2935=CARTESIAN_POINT('',(-8.3296125E0,2.22631E0,-1.397E1)); +#2936=LINE('',#2935,#2934); +#2937=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#2938=VECTOR('',#2937,1.576847855741E0); +#2939=CARTESIAN_POINT('',(-6.9103875E0,2.22631E0,-1.397E1)); +#2940=LINE('',#2939,#2938); +#2941=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2942=VECTOR('',#2941,3.175E0); +#2943=CARTESIAN_POINT('',(-7.3152E0,2.22631E0,-1.5494E1)); +#2944=LINE('',#2943,#2942); +#2945=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#2946=VECTOR('',#2945,1.576847855741E0); +#2947=CARTESIAN_POINT('',(-7.9248E0,2.22631E0,-1.5494E1)); +#2948=LINE('',#2947,#2946); +#2949=DIRECTION('',(0.E0,1.E0,0.E0)); +#2950=VECTOR('',#2949,3.9878E-1); +#2951=CARTESIAN_POINT('',(-7.9248E0,-2.62509E0,-1.8669E1)); +#2952=LINE('',#2951,#2950); +#2953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2954=VECTOR('',#2953,6.096E-1); +#2955=CARTESIAN_POINT('',(-7.3152E0,-2.62509E0,-1.8669E1)); +#2956=LINE('',#2955,#2954); +#2957=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2958=VECTOR('',#2957,3.9878E-1); +#2959=CARTESIAN_POINT('',(-7.3152E0,-2.22631E0,-1.8669E1)); +#2960=LINE('',#2959,#2958); +#2961=DIRECTION('',(1.E0,0.E0,0.E0)); +#2962=VECTOR('',#2961,6.096E-1); +#2963=CARTESIAN_POINT('',(-7.9248E0,-2.22631E0,-1.8669E1)); +#2964=LINE('',#2963,#2962); +#2965=DIRECTION('',(1.E0,0.E0,0.E0)); +#2966=VECTOR('',#2965,6.096E-1); +#2967=CARTESIAN_POINT('',(-7.9248E0,2.62509E0,-1.8669E1)); +#2968=LINE('',#2967,#2966); +#2969=DIRECTION('',(0.E0,1.E0,0.E0)); +#2970=VECTOR('',#2969,3.9878E-1); +#2971=CARTESIAN_POINT('',(-7.9248E0,2.22631E0,-1.8669E1)); +#2972=LINE('',#2971,#2970); +#2973=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2974=VECTOR('',#2973,6.096E-1); +#2975=CARTESIAN_POINT('',(-7.3152E0,2.22631E0,-1.8669E1)); +#2976=LINE('',#2975,#2974); +#2977=DIRECTION('',(-1.781791302874E-14,-1.E0,0.E0)); +#2978=VECTOR('',#2977,3.9878E-1); +#2979=CARTESIAN_POINT('',(-7.3152E0,2.62509E0,-1.8669E1)); +#2980=LINE('',#2979,#2978); +#2981=DIRECTION('',(0.E0,1.E0,0.E0)); +#2982=VECTOR('',#2981,3.9878E-1); +#2983=CARTESIAN_POINT('',(-7.9248E0,-2.62509E0,-1.5494E1)); +#2984=LINE('',#2983,#2982); +#2985=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2986=VECTOR('',#2985,3.175E0); +#2987=CARTESIAN_POINT('',(-7.9248E0,-2.62509E0,-1.5494E1)); +#2988=LINE('',#2987,#2986); +#2989=DIRECTION('',(1.E0,0.E0,0.E0)); +#2990=VECTOR('',#2989,1.419225E0); +#2991=CARTESIAN_POINT('',(-8.3296125E0,-2.62509E0,-1.397E1)); +#2992=LINE('',#2991,#2990); +#2993=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#2994=VECTOR('',#2993,1.576847855741E0); +#2995=CARTESIAN_POINT('',(-7.3152E0,-2.62509E0,-1.5494E1)); +#2996=LINE('',#2995,#2994); +#2997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2998=VECTOR('',#2997,3.175E0); +#2999=CARTESIAN_POINT('',(-7.3152E0,-2.62509E0,-1.5494E1)); +#3000=LINE('',#2999,#2998); +#3001=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3002=VECTOR('',#3001,1.576847855741E0); +#3003=CARTESIAN_POINT('',(-8.3296125E0,-2.62509E0,-1.397E1)); +#3004=LINE('',#3003,#3002); +#3005=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#3006=VECTOR('',#3005,1.576847855741E0); +#3007=CARTESIAN_POINT('',(-7.3152E0,-2.22631E0,-1.5494E1)); +#3008=LINE('',#3007,#3006); +#3009=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3010=VECTOR('',#3009,1.419225E0); +#3011=CARTESIAN_POINT('',(-6.9103875E0,-2.22631E0,-1.397E1)); +#3012=LINE('',#3011,#3010); +#3013=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3014=VECTOR('',#3013,1.576847855741E0); +#3015=CARTESIAN_POINT('',(-8.3296125E0,-2.22631E0,-1.397E1)); +#3016=LINE('',#3015,#3014); +#3017=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3018=VECTOR('',#3017,3.175E0); +#3019=CARTESIAN_POINT('',(-7.9248E0,-2.22631E0,-1.5494E1)); +#3020=LINE('',#3019,#3018); +#3021=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3022=VECTOR('',#3021,3.9878E-1); +#3023=CARTESIAN_POINT('',(-7.3152E0,-2.22631E0,-1.5494E1)); +#3024=LINE('',#3023,#3022); +#3025=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3026=VECTOR('',#3025,3.175E0); +#3027=CARTESIAN_POINT('',(-7.3152E0,-2.22631E0,-1.5494E1)); +#3028=LINE('',#3027,#3026); +#3029=DIRECTION('',(0.E0,1.E0,0.E0)); +#3030=VECTOR('',#3029,3.9878E-1); +#3031=CARTESIAN_POINT('',(-7.9248E0,2.22631E0,-1.5494E1)); +#3032=LINE('',#3031,#3030); +#3033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3034=VECTOR('',#3033,3.175E0); +#3035=CARTESIAN_POINT('',(-7.9248E0,2.22631E0,-1.5494E1)); +#3036=LINE('',#3035,#3034); +#3037=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3038=VECTOR('',#3037,1.576847855741E0); +#3039=CARTESIAN_POINT('',(-4.3703875E0,2.62509E0,-1.397E1)); +#3040=LINE('',#3039,#3038); +#3041=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3042=VECTOR('',#3041,1.419225E0); +#3043=CARTESIAN_POINT('',(-4.3703875E0,2.62509E0,-1.397E1)); +#3044=LINE('',#3043,#3042); +#3045=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#3046=VECTOR('',#3045,1.576847855741E0); +#3047=CARTESIAN_POINT('',(-5.3848E0,2.62509E0,-1.5494E1)); +#3048=LINE('',#3047,#3046); +#3049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3050=VECTOR('',#3049,3.175E0); +#3051=CARTESIAN_POINT('',(-5.3848E0,2.62509E0,-1.5494E1)); +#3052=LINE('',#3051,#3050); +#3053=DIRECTION('',(0.E0,-1.E0,-1.336343477156E-14)); +#3054=VECTOR('',#3053,3.9878E-1); +#3055=CARTESIAN_POINT('',(-4.7752E0,2.62509E0,-1.5494E1)); +#3056=LINE('',#3055,#3054); +#3057=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3058=VECTOR('',#3057,3.175E0); +#3059=CARTESIAN_POINT('',(-4.7752E0,2.62509E0,-1.5494E1)); +#3060=LINE('',#3059,#3058); +#3061=DIRECTION('',(1.E0,0.E0,0.E0)); +#3062=VECTOR('',#3061,1.419225E0); +#3063=CARTESIAN_POINT('',(-5.7896125E0,2.22631E0,-1.397E1)); +#3064=LINE('',#3063,#3062); +#3065=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3066=VECTOR('',#3065,1.576847855741E0); +#3067=CARTESIAN_POINT('',(-4.3703875E0,2.22631E0,-1.397E1)); +#3068=LINE('',#3067,#3066); +#3069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3070=VECTOR('',#3069,3.175E0); +#3071=CARTESIAN_POINT('',(-4.7752E0,2.22631E0,-1.5494E1)); +#3072=LINE('',#3071,#3070); +#3073=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3074=VECTOR('',#3073,1.576847855741E0); +#3075=CARTESIAN_POINT('',(-5.3848E0,2.22631E0,-1.5494E1)); +#3076=LINE('',#3075,#3074); +#3077=DIRECTION('',(0.E0,1.E0,0.E0)); +#3078=VECTOR('',#3077,3.9878E-1); +#3079=CARTESIAN_POINT('',(-5.3848E0,-2.62509E0,-1.8669E1)); +#3080=LINE('',#3079,#3078); +#3081=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3082=VECTOR('',#3081,6.096E-1); +#3083=CARTESIAN_POINT('',(-4.7752E0,-2.62509E0,-1.8669E1)); +#3084=LINE('',#3083,#3082); +#3085=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3086=VECTOR('',#3085,3.9878E-1); +#3087=CARTESIAN_POINT('',(-4.7752E0,-2.22631E0,-1.8669E1)); +#3088=LINE('',#3087,#3086); +#3089=DIRECTION('',(1.E0,0.E0,0.E0)); +#3090=VECTOR('',#3089,6.096E-1); +#3091=CARTESIAN_POINT('',(-5.3848E0,-2.22631E0,-1.8669E1)); +#3092=LINE('',#3091,#3090); +#3093=DIRECTION('',(1.E0,0.E0,0.E0)); +#3094=VECTOR('',#3093,6.096E-1); +#3095=CARTESIAN_POINT('',(-5.3848E0,2.62509E0,-1.8669E1)); +#3096=LINE('',#3095,#3094); +#3097=DIRECTION('',(0.E0,1.E0,0.E0)); +#3098=VECTOR('',#3097,3.9878E-1); +#3099=CARTESIAN_POINT('',(-5.3848E0,2.22631E0,-1.8669E1)); +#3100=LINE('',#3099,#3098); +#3101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3102=VECTOR('',#3101,6.096E-1); +#3103=CARTESIAN_POINT('',(-4.7752E0,2.22631E0,-1.8669E1)); +#3104=LINE('',#3103,#3102); +#3105=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3106=VECTOR('',#3105,3.9878E-1); +#3107=CARTESIAN_POINT('',(-4.7752E0,2.62509E0,-1.8669E1)); +#3108=LINE('',#3107,#3106); +#3109=DIRECTION('',(0.E0,1.E0,0.E0)); +#3110=VECTOR('',#3109,3.9878E-1); +#3111=CARTESIAN_POINT('',(-5.3848E0,-2.62509E0,-1.5494E1)); +#3112=LINE('',#3111,#3110); +#3113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3114=VECTOR('',#3113,3.175E0); +#3115=CARTESIAN_POINT('',(-5.3848E0,-2.62509E0,-1.5494E1)); +#3116=LINE('',#3115,#3114); +#3117=DIRECTION('',(1.E0,0.E0,0.E0)); +#3118=VECTOR('',#3117,1.419225E0); +#3119=CARTESIAN_POINT('',(-5.7896125E0,-2.62509E0,-1.397E1)); +#3120=LINE('',#3119,#3118); +#3121=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#3122=VECTOR('',#3121,1.576847855741E0); +#3123=CARTESIAN_POINT('',(-4.7752E0,-2.62509E0,-1.5494E1)); +#3124=LINE('',#3123,#3122); +#3125=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3126=VECTOR('',#3125,3.175E0); +#3127=CARTESIAN_POINT('',(-4.7752E0,-2.62509E0,-1.5494E1)); +#3128=LINE('',#3127,#3126); +#3129=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3130=VECTOR('',#3129,1.576847855741E0); +#3131=CARTESIAN_POINT('',(-5.7896125E0,-2.62509E0,-1.397E1)); +#3132=LINE('',#3131,#3130); +#3133=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#3134=VECTOR('',#3133,1.576847855741E0); +#3135=CARTESIAN_POINT('',(-4.7752E0,-2.22631E0,-1.5494E1)); +#3136=LINE('',#3135,#3134); +#3137=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3138=VECTOR('',#3137,1.419225E0); +#3139=CARTESIAN_POINT('',(-4.3703875E0,-2.22631E0,-1.397E1)); +#3140=LINE('',#3139,#3138); +#3141=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3142=VECTOR('',#3141,1.576847855741E0); +#3143=CARTESIAN_POINT('',(-5.7896125E0,-2.22631E0,-1.397E1)); +#3144=LINE('',#3143,#3142); +#3145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3146=VECTOR('',#3145,3.175E0); +#3147=CARTESIAN_POINT('',(-5.3848E0,-2.22631E0,-1.5494E1)); +#3148=LINE('',#3147,#3146); +#3149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3150=VECTOR('',#3149,3.9878E-1); +#3151=CARTESIAN_POINT('',(-4.7752E0,-2.22631E0,-1.5494E1)); +#3152=LINE('',#3151,#3150); +#3153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3154=VECTOR('',#3153,3.175E0); +#3155=CARTESIAN_POINT('',(-4.7752E0,-2.22631E0,-1.5494E1)); +#3156=LINE('',#3155,#3154); +#3157=DIRECTION('',(0.E0,1.E0,0.E0)); +#3158=VECTOR('',#3157,3.9878E-1); +#3159=CARTESIAN_POINT('',(-5.3848E0,2.22631E0,-1.5494E1)); +#3160=LINE('',#3159,#3158); +#3161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3162=VECTOR('',#3161,3.175E0); +#3163=CARTESIAN_POINT('',(-5.3848E0,2.22631E0,-1.5494E1)); +#3164=LINE('',#3163,#3162); +#3165=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3166=VECTOR('',#3165,1.576847855741E0); +#3167=CARTESIAN_POINT('',(-1.8303875E0,2.62509E0,-1.397E1)); +#3168=LINE('',#3167,#3166); +#3169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3170=VECTOR('',#3169,1.419225E0); +#3171=CARTESIAN_POINT('',(-1.8303875E0,2.62509E0,-1.397E1)); +#3172=LINE('',#3171,#3170); +#3173=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3174=VECTOR('',#3173,1.576847855741E0); +#3175=CARTESIAN_POINT('',(-2.8448E0,2.62509E0,-1.5494E1)); +#3176=LINE('',#3175,#3174); +#3177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3178=VECTOR('',#3177,3.175E0); +#3179=CARTESIAN_POINT('',(-2.8448E0,2.62509E0,-1.5494E1)); +#3180=LINE('',#3179,#3178); +#3181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3182=VECTOR('',#3181,3.9878E-1); +#3183=CARTESIAN_POINT('',(-2.2352E0,2.62509E0,-1.5494E1)); +#3184=LINE('',#3183,#3182); +#3185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3186=VECTOR('',#3185,3.175E0); +#3187=CARTESIAN_POINT('',(-2.2352E0,2.62509E0,-1.5494E1)); +#3188=LINE('',#3187,#3186); +#3189=DIRECTION('',(1.E0,0.E0,0.E0)); +#3190=VECTOR('',#3189,1.419225E0); +#3191=CARTESIAN_POINT('',(-3.2496125E0,2.22631E0,-1.397E1)); +#3192=LINE('',#3191,#3190); +#3193=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3194=VECTOR('',#3193,1.576847855741E0); +#3195=CARTESIAN_POINT('',(-1.8303875E0,2.22631E0,-1.397E1)); +#3196=LINE('',#3195,#3194); +#3197=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3198=VECTOR('',#3197,3.175E0); +#3199=CARTESIAN_POINT('',(-2.2352E0,2.22631E0,-1.5494E1)); +#3200=LINE('',#3199,#3198); +#3201=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3202=VECTOR('',#3201,1.576847855741E0); +#3203=CARTESIAN_POINT('',(-2.8448E0,2.22631E0,-1.5494E1)); +#3204=LINE('',#3203,#3202); +#3205=DIRECTION('',(0.E0,1.E0,0.E0)); +#3206=VECTOR('',#3205,3.9878E-1); +#3207=CARTESIAN_POINT('',(-2.8448E0,-2.62509E0,-1.8669E1)); +#3208=LINE('',#3207,#3206); +#3209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3210=VECTOR('',#3209,6.096E-1); +#3211=CARTESIAN_POINT('',(-2.2352E0,-2.62509E0,-1.8669E1)); +#3212=LINE('',#3211,#3210); +#3213=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3214=VECTOR('',#3213,3.9878E-1); +#3215=CARTESIAN_POINT('',(-2.2352E0,-2.22631E0,-1.8669E1)); +#3216=LINE('',#3215,#3214); +#3217=DIRECTION('',(1.E0,0.E0,0.E0)); +#3218=VECTOR('',#3217,6.096E-1); +#3219=CARTESIAN_POINT('',(-2.8448E0,-2.22631E0,-1.8669E1)); +#3220=LINE('',#3219,#3218); +#3221=DIRECTION('',(1.E0,0.E0,0.E0)); +#3222=VECTOR('',#3221,6.096E-1); +#3223=CARTESIAN_POINT('',(-2.8448E0,2.62509E0,-1.8669E1)); +#3224=LINE('',#3223,#3222); +#3225=DIRECTION('',(0.E0,1.E0,0.E0)); +#3226=VECTOR('',#3225,3.9878E-1); +#3227=CARTESIAN_POINT('',(-2.8448E0,2.22631E0,-1.8669E1)); +#3228=LINE('',#3227,#3226); +#3229=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3230=VECTOR('',#3229,6.096E-1); +#3231=CARTESIAN_POINT('',(-2.2352E0,2.22631E0,-1.8669E1)); +#3232=LINE('',#3231,#3230); +#3233=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3234=VECTOR('',#3233,3.9878E-1); +#3235=CARTESIAN_POINT('',(-2.2352E0,2.62509E0,-1.8669E1)); +#3236=LINE('',#3235,#3234); +#3237=DIRECTION('',(0.E0,1.E0,0.E0)); +#3238=VECTOR('',#3237,3.9878E-1); +#3239=CARTESIAN_POINT('',(-2.8448E0,-2.62509E0,-1.5494E1)); +#3240=LINE('',#3239,#3238); +#3241=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3242=VECTOR('',#3241,3.175E0); +#3243=CARTESIAN_POINT('',(-2.8448E0,-2.62509E0,-1.5494E1)); +#3244=LINE('',#3243,#3242); +#3245=DIRECTION('',(1.E0,0.E0,0.E0)); +#3246=VECTOR('',#3245,1.419225E0); +#3247=CARTESIAN_POINT('',(-3.2496125E0,-2.62509E0,-1.397E1)); +#3248=LINE('',#3247,#3246); +#3249=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3250=VECTOR('',#3249,1.576847855741E0); +#3251=CARTESIAN_POINT('',(-2.2352E0,-2.62509E0,-1.5494E1)); +#3252=LINE('',#3251,#3250); +#3253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3254=VECTOR('',#3253,3.175E0); +#3255=CARTESIAN_POINT('',(-2.2352E0,-2.62509E0,-1.5494E1)); +#3256=LINE('',#3255,#3254); +#3257=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3258=VECTOR('',#3257,1.576847855741E0); +#3259=CARTESIAN_POINT('',(-3.2496125E0,-2.62509E0,-1.397E1)); +#3260=LINE('',#3259,#3258); +#3261=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3262=VECTOR('',#3261,1.576847855741E0); +#3263=CARTESIAN_POINT('',(-2.2352E0,-2.22631E0,-1.5494E1)); +#3264=LINE('',#3263,#3262); +#3265=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3266=VECTOR('',#3265,1.419225E0); +#3267=CARTESIAN_POINT('',(-1.8303875E0,-2.22631E0,-1.397E1)); +#3268=LINE('',#3267,#3266); +#3269=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3270=VECTOR('',#3269,1.576847855741E0); +#3271=CARTESIAN_POINT('',(-3.2496125E0,-2.22631E0,-1.397E1)); +#3272=LINE('',#3271,#3270); +#3273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3274=VECTOR('',#3273,3.175E0); +#3275=CARTESIAN_POINT('',(-2.8448E0,-2.22631E0,-1.5494E1)); +#3276=LINE('',#3275,#3274); +#3277=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3278=VECTOR('',#3277,3.9878E-1); +#3279=CARTESIAN_POINT('',(-2.2352E0,-2.22631E0,-1.5494E1)); +#3280=LINE('',#3279,#3278); +#3281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3282=VECTOR('',#3281,3.175E0); +#3283=CARTESIAN_POINT('',(-2.2352E0,-2.22631E0,-1.5494E1)); +#3284=LINE('',#3283,#3282); +#3285=DIRECTION('',(0.E0,1.E0,0.E0)); +#3286=VECTOR('',#3285,3.9878E-1); +#3287=CARTESIAN_POINT('',(-2.8448E0,2.22631E0,-1.5494E1)); +#3288=LINE('',#3287,#3286); +#3289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3290=VECTOR('',#3289,3.175E0); +#3291=CARTESIAN_POINT('',(-2.8448E0,2.22631E0,-1.5494E1)); +#3292=LINE('',#3291,#3290); +#3293=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3294=VECTOR('',#3293,1.576847855741E0); +#3295=CARTESIAN_POINT('',(7.096125E-1,2.62509E0,-1.397E1)); +#3296=LINE('',#3295,#3294); +#3297=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3298=VECTOR('',#3297,1.419225E0); +#3299=CARTESIAN_POINT('',(7.096125E-1,2.62509E0,-1.397E1)); +#3300=LINE('',#3299,#3298); +#3301=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#3302=VECTOR('',#3301,1.576847855741E0); +#3303=CARTESIAN_POINT('',(-3.048E-1,2.62509E0,-1.5494E1)); +#3304=LINE('',#3303,#3302); +#3305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3306=VECTOR('',#3305,3.175E0); +#3307=CARTESIAN_POINT('',(-3.048E-1,2.62509E0,-1.5494E1)); +#3308=LINE('',#3307,#3306); +#3309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3310=VECTOR('',#3309,3.9878E-1); +#3311=CARTESIAN_POINT('',(3.048E-1,2.62509E0,-1.5494E1)); +#3312=LINE('',#3311,#3310); +#3313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3314=VECTOR('',#3313,3.175E0); +#3315=CARTESIAN_POINT('',(3.048E-1,2.62509E0,-1.5494E1)); +#3316=LINE('',#3315,#3314); +#3317=DIRECTION('',(1.E0,0.E0,0.E0)); +#3318=VECTOR('',#3317,1.419225E0); +#3319=CARTESIAN_POINT('',(-7.096125E-1,2.22631E0,-1.397E1)); +#3320=LINE('',#3319,#3318); +#3321=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3322=VECTOR('',#3321,1.576847855741E0); +#3323=CARTESIAN_POINT('',(7.096125E-1,2.22631E0,-1.397E1)); +#3324=LINE('',#3323,#3322); +#3325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3326=VECTOR('',#3325,3.175E0); +#3327=CARTESIAN_POINT('',(3.048E-1,2.22631E0,-1.5494E1)); +#3328=LINE('',#3327,#3326); +#3329=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#3330=VECTOR('',#3329,1.576847855741E0); +#3331=CARTESIAN_POINT('',(-3.048E-1,2.22631E0,-1.5494E1)); +#3332=LINE('',#3331,#3330); +#3333=DIRECTION('',(0.E0,1.E0,0.E0)); +#3334=VECTOR('',#3333,3.9878E-1); +#3335=CARTESIAN_POINT('',(-3.048E-1,-2.62509E0,-1.8669E1)); +#3336=LINE('',#3335,#3334); +#3337=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3338=VECTOR('',#3337,6.096E-1); +#3339=CARTESIAN_POINT('',(3.048E-1,-2.62509E0,-1.8669E1)); +#3340=LINE('',#3339,#3338); +#3341=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3342=VECTOR('',#3341,3.9878E-1); +#3343=CARTESIAN_POINT('',(3.048E-1,-2.22631E0,-1.8669E1)); +#3344=LINE('',#3343,#3342); +#3345=DIRECTION('',(1.E0,0.E0,0.E0)); +#3346=VECTOR('',#3345,6.096E-1); +#3347=CARTESIAN_POINT('',(-3.048E-1,-2.22631E0,-1.8669E1)); +#3348=LINE('',#3347,#3346); +#3349=DIRECTION('',(1.E0,0.E0,0.E0)); +#3350=VECTOR('',#3349,6.096E-1); +#3351=CARTESIAN_POINT('',(-3.048E-1,2.62509E0,-1.8669E1)); +#3352=LINE('',#3351,#3350); +#3353=DIRECTION('',(-1.781791302874E-14,1.E0,0.E0)); +#3354=VECTOR('',#3353,3.9878E-1); +#3355=CARTESIAN_POINT('',(-3.048E-1,2.22631E0,-1.8669E1)); +#3356=LINE('',#3355,#3354); +#3357=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3358=VECTOR('',#3357,6.096E-1); +#3359=CARTESIAN_POINT('',(3.048E-1,2.22631E0,-1.8669E1)); +#3360=LINE('',#3359,#3358); +#3361=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3362=VECTOR('',#3361,3.9878E-1); +#3363=CARTESIAN_POINT('',(3.048E-1,2.62509E0,-1.8669E1)); +#3364=LINE('',#3363,#3362); +#3365=DIRECTION('',(0.E0,1.E0,0.E0)); +#3366=VECTOR('',#3365,3.9878E-1); +#3367=CARTESIAN_POINT('',(-3.048E-1,-2.62509E0,-1.5494E1)); +#3368=LINE('',#3367,#3366); +#3369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3370=VECTOR('',#3369,3.175E0); +#3371=CARTESIAN_POINT('',(-3.048E-1,-2.62509E0,-1.5494E1)); +#3372=LINE('',#3371,#3370); +#3373=DIRECTION('',(1.E0,0.E0,0.E0)); +#3374=VECTOR('',#3373,1.419225E0); +#3375=CARTESIAN_POINT('',(-7.096125E-1,-2.62509E0,-1.397E1)); +#3376=LINE('',#3375,#3374); +#3377=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#3378=VECTOR('',#3377,1.576847855741E0); +#3379=CARTESIAN_POINT('',(3.048E-1,-2.62509E0,-1.5494E1)); +#3380=LINE('',#3379,#3378); +#3381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3382=VECTOR('',#3381,3.175E0); +#3383=CARTESIAN_POINT('',(3.048E-1,-2.62509E0,-1.5494E1)); +#3384=LINE('',#3383,#3382); +#3385=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3386=VECTOR('',#3385,1.576847855741E0); +#3387=CARTESIAN_POINT('',(-7.096125E-1,-2.62509E0,-1.397E1)); +#3388=LINE('',#3387,#3386); +#3389=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#3390=VECTOR('',#3389,1.576847855741E0); +#3391=CARTESIAN_POINT('',(3.048E-1,-2.22631E0,-1.5494E1)); +#3392=LINE('',#3391,#3390); +#3393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3394=VECTOR('',#3393,1.419225E0); +#3395=CARTESIAN_POINT('',(7.096125E-1,-2.22631E0,-1.397E1)); +#3396=LINE('',#3395,#3394); +#3397=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3398=VECTOR('',#3397,1.576847855741E0); +#3399=CARTESIAN_POINT('',(-7.096125E-1,-2.22631E0,-1.397E1)); +#3400=LINE('',#3399,#3398); +#3401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3402=VECTOR('',#3401,3.175E0); +#3403=CARTESIAN_POINT('',(-3.048E-1,-2.22631E0,-1.5494E1)); +#3404=LINE('',#3403,#3402); +#3405=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3406=VECTOR('',#3405,3.9878E-1); +#3407=CARTESIAN_POINT('',(3.048E-1,-2.22631E0,-1.5494E1)); +#3408=LINE('',#3407,#3406); +#3409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3410=VECTOR('',#3409,3.175E0); +#3411=CARTESIAN_POINT('',(3.048E-1,-2.22631E0,-1.5494E1)); +#3412=LINE('',#3411,#3410); +#3413=DIRECTION('',(-1.795711547428E-14,1.E0,6.681717385778E-14)); +#3414=VECTOR('',#3413,3.9878E-1); +#3415=CARTESIAN_POINT('',(-3.048E-1,2.22631E0,-1.5494E1)); +#3416=LINE('',#3415,#3414); +#3417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3418=VECTOR('',#3417,3.175E0); +#3419=CARTESIAN_POINT('',(-3.048E-1,2.22631E0,-1.5494E1)); +#3420=LINE('',#3419,#3418); +#3421=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3422=VECTOR('',#3421,1.576847855741E0); +#3423=CARTESIAN_POINT('',(3.2496125E0,2.62509E0,-1.397E1)); +#3424=LINE('',#3423,#3422); +#3425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3426=VECTOR('',#3425,1.419225E0); +#3427=CARTESIAN_POINT('',(3.2496125E0,2.62509E0,-1.397E1)); +#3428=LINE('',#3427,#3426); +#3429=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3430=VECTOR('',#3429,1.576847855741E0); +#3431=CARTESIAN_POINT('',(2.2352E0,2.62509E0,-1.5494E1)); +#3432=LINE('',#3431,#3430); +#3433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3434=VECTOR('',#3433,3.175E0); +#3435=CARTESIAN_POINT('',(2.2352E0,2.62509E0,-1.5494E1)); +#3436=LINE('',#3435,#3434); +#3437=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3438=VECTOR('',#3437,3.9878E-1); +#3439=CARTESIAN_POINT('',(2.8448E0,2.62509E0,-1.5494E1)); +#3440=LINE('',#3439,#3438); +#3441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3442=VECTOR('',#3441,3.175E0); +#3443=CARTESIAN_POINT('',(2.8448E0,2.62509E0,-1.5494E1)); +#3444=LINE('',#3443,#3442); +#3445=DIRECTION('',(1.E0,0.E0,0.E0)); +#3446=VECTOR('',#3445,1.419225E0); +#3447=CARTESIAN_POINT('',(1.8303875E0,2.22631E0,-1.397E1)); +#3448=LINE('',#3447,#3446); +#3449=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3450=VECTOR('',#3449,1.576847855741E0); +#3451=CARTESIAN_POINT('',(3.2496125E0,2.22631E0,-1.397E1)); +#3452=LINE('',#3451,#3450); +#3453=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3454=VECTOR('',#3453,3.175E0); +#3455=CARTESIAN_POINT('',(2.8448E0,2.22631E0,-1.5494E1)); +#3456=LINE('',#3455,#3454); +#3457=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3458=VECTOR('',#3457,1.576847855741E0); +#3459=CARTESIAN_POINT('',(2.2352E0,2.22631E0,-1.5494E1)); +#3460=LINE('',#3459,#3458); +#3461=DIRECTION('',(0.E0,1.E0,0.E0)); +#3462=VECTOR('',#3461,3.9878E-1); +#3463=CARTESIAN_POINT('',(2.2352E0,-2.62509E0,-1.8669E1)); +#3464=LINE('',#3463,#3462); +#3465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3466=VECTOR('',#3465,6.096E-1); +#3467=CARTESIAN_POINT('',(2.8448E0,-2.62509E0,-1.8669E1)); +#3468=LINE('',#3467,#3466); +#3469=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3470=VECTOR('',#3469,3.9878E-1); +#3471=CARTESIAN_POINT('',(2.8448E0,-2.22631E0,-1.8669E1)); +#3472=LINE('',#3471,#3470); +#3473=DIRECTION('',(1.E0,0.E0,0.E0)); +#3474=VECTOR('',#3473,6.096E-1); +#3475=CARTESIAN_POINT('',(2.2352E0,-2.22631E0,-1.8669E1)); +#3476=LINE('',#3475,#3474); +#3477=DIRECTION('',(1.E0,0.E0,0.E0)); +#3478=VECTOR('',#3477,6.096E-1); +#3479=CARTESIAN_POINT('',(2.2352E0,2.62509E0,-1.8669E1)); +#3480=LINE('',#3479,#3478); +#3481=DIRECTION('',(0.E0,1.E0,0.E0)); +#3482=VECTOR('',#3481,3.9878E-1); +#3483=CARTESIAN_POINT('',(2.2352E0,2.22631E0,-1.8669E1)); +#3484=LINE('',#3483,#3482); +#3485=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3486=VECTOR('',#3485,6.096E-1); +#3487=CARTESIAN_POINT('',(2.8448E0,2.22631E0,-1.8669E1)); +#3488=LINE('',#3487,#3486); +#3489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3490=VECTOR('',#3489,3.9878E-1); +#3491=CARTESIAN_POINT('',(2.8448E0,2.62509E0,-1.8669E1)); +#3492=LINE('',#3491,#3490); +#3493=DIRECTION('',(0.E0,1.E0,0.E0)); +#3494=VECTOR('',#3493,3.9878E-1); +#3495=CARTESIAN_POINT('',(2.2352E0,-2.62509E0,-1.5494E1)); +#3496=LINE('',#3495,#3494); +#3497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3498=VECTOR('',#3497,3.175E0); +#3499=CARTESIAN_POINT('',(2.2352E0,-2.62509E0,-1.5494E1)); +#3500=LINE('',#3499,#3498); +#3501=DIRECTION('',(1.E0,0.E0,0.E0)); +#3502=VECTOR('',#3501,1.419225E0); +#3503=CARTESIAN_POINT('',(1.8303875E0,-2.62509E0,-1.397E1)); +#3504=LINE('',#3503,#3502); +#3505=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3506=VECTOR('',#3505,1.576847855741E0); +#3507=CARTESIAN_POINT('',(2.8448E0,-2.62509E0,-1.5494E1)); +#3508=LINE('',#3507,#3506); +#3509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3510=VECTOR('',#3509,3.175E0); +#3511=CARTESIAN_POINT('',(2.8448E0,-2.62509E0,-1.5494E1)); +#3512=LINE('',#3511,#3510); +#3513=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3514=VECTOR('',#3513,1.576847855741E0); +#3515=CARTESIAN_POINT('',(1.8303875E0,-2.62509E0,-1.397E1)); +#3516=LINE('',#3515,#3514); +#3517=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3518=VECTOR('',#3517,1.576847855741E0); +#3519=CARTESIAN_POINT('',(2.8448E0,-2.22631E0,-1.5494E1)); +#3520=LINE('',#3519,#3518); +#3521=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3522=VECTOR('',#3521,1.419225E0); +#3523=CARTESIAN_POINT('',(3.2496125E0,-2.22631E0,-1.397E1)); +#3524=LINE('',#3523,#3522); +#3525=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3526=VECTOR('',#3525,1.576847855741E0); +#3527=CARTESIAN_POINT('',(1.8303875E0,-2.22631E0,-1.397E1)); +#3528=LINE('',#3527,#3526); +#3529=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3530=VECTOR('',#3529,3.175E0); +#3531=CARTESIAN_POINT('',(2.2352E0,-2.22631E0,-1.5494E1)); +#3532=LINE('',#3531,#3530); +#3533=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3534=VECTOR('',#3533,3.9878E-1); +#3535=CARTESIAN_POINT('',(2.8448E0,-2.22631E0,-1.5494E1)); +#3536=LINE('',#3535,#3534); +#3537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3538=VECTOR('',#3537,3.175E0); +#3539=CARTESIAN_POINT('',(2.8448E0,-2.22631E0,-1.5494E1)); +#3540=LINE('',#3539,#3538); +#3541=DIRECTION('',(0.E0,1.E0,0.E0)); +#3542=VECTOR('',#3541,3.9878E-1); +#3543=CARTESIAN_POINT('',(2.2352E0,2.22631E0,-1.5494E1)); +#3544=LINE('',#3543,#3542); +#3545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3546=VECTOR('',#3545,3.175E0); +#3547=CARTESIAN_POINT('',(2.2352E0,2.22631E0,-1.5494E1)); +#3548=LINE('',#3547,#3546); +#3549=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3550=VECTOR('',#3549,1.576847855741E0); +#3551=CARTESIAN_POINT('',(5.7896125E0,2.62509E0,-1.397E1)); +#3552=LINE('',#3551,#3550); +#3553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3554=VECTOR('',#3553,1.419225E0); +#3555=CARTESIAN_POINT('',(5.7896125E0,2.62509E0,-1.397E1)); +#3556=LINE('',#3555,#3554); +#3557=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3558=VECTOR('',#3557,1.576847855741E0); +#3559=CARTESIAN_POINT('',(4.7752E0,2.62509E0,-1.5494E1)); +#3560=LINE('',#3559,#3558); +#3561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3562=VECTOR('',#3561,3.175E0); +#3563=CARTESIAN_POINT('',(4.7752E0,2.62509E0,-1.5494E1)); +#3564=LINE('',#3563,#3562); +#3565=DIRECTION('',(1.781791302874E-14,-1.E0,6.681717385778E-14)); +#3566=VECTOR('',#3565,3.9878E-1); +#3567=CARTESIAN_POINT('',(5.3848E0,2.62509E0,-1.5494E1)); +#3568=LINE('',#3567,#3566); +#3569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3570=VECTOR('',#3569,3.175E0); +#3571=CARTESIAN_POINT('',(5.3848E0,2.62509E0,-1.5494E1)); +#3572=LINE('',#3571,#3570); +#3573=DIRECTION('',(1.E0,0.E0,0.E0)); +#3574=VECTOR('',#3573,1.419225E0); +#3575=CARTESIAN_POINT('',(4.3703875E0,2.22631E0,-1.397E1)); +#3576=LINE('',#3575,#3574); +#3577=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3578=VECTOR('',#3577,1.576847855741E0); +#3579=CARTESIAN_POINT('',(5.7896125E0,2.22631E0,-1.397E1)); +#3580=LINE('',#3579,#3578); +#3581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3582=VECTOR('',#3581,3.175E0); +#3583=CARTESIAN_POINT('',(5.3848E0,2.22631E0,-1.5494E1)); +#3584=LINE('',#3583,#3582); +#3585=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3586=VECTOR('',#3585,1.576847855741E0); +#3587=CARTESIAN_POINT('',(4.7752E0,2.22631E0,-1.5494E1)); +#3588=LINE('',#3587,#3586); +#3589=DIRECTION('',(0.E0,1.E0,0.E0)); +#3590=VECTOR('',#3589,3.9878E-1); +#3591=CARTESIAN_POINT('',(4.7752E0,-2.62509E0,-1.8669E1)); +#3592=LINE('',#3591,#3590); +#3593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3594=VECTOR('',#3593,6.096E-1); +#3595=CARTESIAN_POINT('',(5.3848E0,-2.62509E0,-1.8669E1)); +#3596=LINE('',#3595,#3594); +#3597=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3598=VECTOR('',#3597,3.9878E-1); +#3599=CARTESIAN_POINT('',(5.3848E0,-2.22631E0,-1.8669E1)); +#3600=LINE('',#3599,#3598); +#3601=DIRECTION('',(1.E0,0.E0,0.E0)); +#3602=VECTOR('',#3601,6.096E-1); +#3603=CARTESIAN_POINT('',(4.7752E0,-2.22631E0,-1.8669E1)); +#3604=LINE('',#3603,#3602); +#3605=DIRECTION('',(1.E0,0.E0,0.E0)); +#3606=VECTOR('',#3605,6.096E-1); +#3607=CARTESIAN_POINT('',(4.7752E0,2.62509E0,-1.8669E1)); +#3608=LINE('',#3607,#3606); +#3609=DIRECTION('',(0.E0,1.E0,0.E0)); +#3610=VECTOR('',#3609,3.9878E-1); +#3611=CARTESIAN_POINT('',(4.7752E0,2.22631E0,-1.8669E1)); +#3612=LINE('',#3611,#3610); +#3613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3614=VECTOR('',#3613,6.096E-1); +#3615=CARTESIAN_POINT('',(5.3848E0,2.22631E0,-1.8669E1)); +#3616=LINE('',#3615,#3614); +#3617=DIRECTION('',(1.781791302874E-14,-1.E0,0.E0)); +#3618=VECTOR('',#3617,3.9878E-1); +#3619=CARTESIAN_POINT('',(5.3848E0,2.62509E0,-1.8669E1)); +#3620=LINE('',#3619,#3618); +#3621=DIRECTION('',(0.E0,1.E0,0.E0)); +#3622=VECTOR('',#3621,3.9878E-1); +#3623=CARTESIAN_POINT('',(4.7752E0,-2.62509E0,-1.5494E1)); +#3624=LINE('',#3623,#3622); +#3625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3626=VECTOR('',#3625,3.175E0); +#3627=CARTESIAN_POINT('',(4.7752E0,-2.62509E0,-1.5494E1)); +#3628=LINE('',#3627,#3626); +#3629=DIRECTION('',(1.E0,0.E0,0.E0)); +#3630=VECTOR('',#3629,1.419225E0); +#3631=CARTESIAN_POINT('',(4.3703875E0,-2.62509E0,-1.397E1)); +#3632=LINE('',#3631,#3630); +#3633=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3634=VECTOR('',#3633,1.576847855741E0); +#3635=CARTESIAN_POINT('',(5.3848E0,-2.62509E0,-1.5494E1)); +#3636=LINE('',#3635,#3634); +#3637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3638=VECTOR('',#3637,3.175E0); +#3639=CARTESIAN_POINT('',(5.3848E0,-2.62509E0,-1.5494E1)); +#3640=LINE('',#3639,#3638); +#3641=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3642=VECTOR('',#3641,1.576847855741E0); +#3643=CARTESIAN_POINT('',(4.3703875E0,-2.62509E0,-1.397E1)); +#3644=LINE('',#3643,#3642); +#3645=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#3646=VECTOR('',#3645,1.576847855741E0); +#3647=CARTESIAN_POINT('',(5.3848E0,-2.22631E0,-1.5494E1)); +#3648=LINE('',#3647,#3646); +#3649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3650=VECTOR('',#3649,1.419225E0); +#3651=CARTESIAN_POINT('',(5.7896125E0,-2.22631E0,-1.397E1)); +#3652=LINE('',#3651,#3650); +#3653=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3654=VECTOR('',#3653,1.576847855741E0); +#3655=CARTESIAN_POINT('',(4.3703875E0,-2.22631E0,-1.397E1)); +#3656=LINE('',#3655,#3654); +#3657=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3658=VECTOR('',#3657,3.175E0); +#3659=CARTESIAN_POINT('',(4.7752E0,-2.22631E0,-1.5494E1)); +#3660=LINE('',#3659,#3658); +#3661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3662=VECTOR('',#3661,3.9878E-1); +#3663=CARTESIAN_POINT('',(5.3848E0,-2.22631E0,-1.5494E1)); +#3664=LINE('',#3663,#3662); +#3665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3666=VECTOR('',#3665,3.175E0); +#3667=CARTESIAN_POINT('',(5.3848E0,-2.22631E0,-1.5494E1)); +#3668=LINE('',#3667,#3666); +#3669=DIRECTION('',(0.E0,1.E0,0.E0)); +#3670=VECTOR('',#3669,3.9878E-1); +#3671=CARTESIAN_POINT('',(4.7752E0,2.22631E0,-1.5494E1)); +#3672=LINE('',#3671,#3670); +#3673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3674=VECTOR('',#3673,3.175E0); +#3675=CARTESIAN_POINT('',(4.7752E0,2.22631E0,-1.5494E1)); +#3676=LINE('',#3675,#3674); +#3677=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3678=VECTOR('',#3677,1.576847855741E0); +#3679=CARTESIAN_POINT('',(8.3296125E0,2.62509E0,-1.397E1)); +#3680=LINE('',#3679,#3678); +#3681=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3682=VECTOR('',#3681,1.419225E0); +#3683=CARTESIAN_POINT('',(8.3296125E0,2.62509E0,-1.397E1)); +#3684=LINE('',#3683,#3682); +#3685=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3686=VECTOR('',#3685,1.576847855741E0); +#3687=CARTESIAN_POINT('',(7.3152E0,2.62509E0,-1.5494E1)); +#3688=LINE('',#3687,#3686); +#3689=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3690=VECTOR('',#3689,3.175E0); +#3691=CARTESIAN_POINT('',(7.3152E0,2.62509E0,-1.5494E1)); +#3692=LINE('',#3691,#3690); +#3693=DIRECTION('',(-1.781791302874E-14,-1.E0,-6.681717385778E-14)); +#3694=VECTOR('',#3693,3.9878E-1); +#3695=CARTESIAN_POINT('',(7.9248E0,2.62509E0,-1.5494E1)); +#3696=LINE('',#3695,#3694); +#3697=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3698=VECTOR('',#3697,3.175E0); +#3699=CARTESIAN_POINT('',(7.9248E0,2.62509E0,-1.5494E1)); +#3700=LINE('',#3699,#3698); +#3701=DIRECTION('',(1.E0,0.E0,0.E0)); +#3702=VECTOR('',#3701,1.419225E0); +#3703=CARTESIAN_POINT('',(6.9103875E0,2.22631E0,-1.397E1)); +#3704=LINE('',#3703,#3702); +#3705=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3706=VECTOR('',#3705,1.576847855741E0); +#3707=CARTESIAN_POINT('',(8.3296125E0,2.22631E0,-1.397E1)); +#3708=LINE('',#3707,#3706); +#3709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3710=VECTOR('',#3709,3.175E0); +#3711=CARTESIAN_POINT('',(7.9248E0,2.22631E0,-1.5494E1)); +#3712=LINE('',#3711,#3710); +#3713=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3714=VECTOR('',#3713,1.576847855741E0); +#3715=CARTESIAN_POINT('',(7.3152E0,2.22631E0,-1.5494E1)); +#3716=LINE('',#3715,#3714); +#3717=DIRECTION('',(0.E0,1.E0,0.E0)); +#3718=VECTOR('',#3717,3.9878E-1); +#3719=CARTESIAN_POINT('',(7.3152E0,-2.62509E0,-1.8669E1)); +#3720=LINE('',#3719,#3718); +#3721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3722=VECTOR('',#3721,6.096E-1); +#3723=CARTESIAN_POINT('',(7.9248E0,-2.62509E0,-1.8669E1)); +#3724=LINE('',#3723,#3722); +#3725=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3726=VECTOR('',#3725,3.9878E-1); +#3727=CARTESIAN_POINT('',(7.9248E0,-2.22631E0,-1.8669E1)); +#3728=LINE('',#3727,#3726); +#3729=DIRECTION('',(1.E0,0.E0,0.E0)); +#3730=VECTOR('',#3729,6.096E-1); +#3731=CARTESIAN_POINT('',(7.3152E0,-2.22631E0,-1.8669E1)); +#3732=LINE('',#3731,#3730); +#3733=DIRECTION('',(1.E0,0.E0,0.E0)); +#3734=VECTOR('',#3733,6.096E-1); +#3735=CARTESIAN_POINT('',(7.3152E0,2.62509E0,-1.8669E1)); +#3736=LINE('',#3735,#3734); +#3737=DIRECTION('',(0.E0,1.E0,0.E0)); +#3738=VECTOR('',#3737,3.9878E-1); +#3739=CARTESIAN_POINT('',(7.3152E0,2.22631E0,-1.8669E1)); +#3740=LINE('',#3739,#3738); +#3741=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3742=VECTOR('',#3741,6.096E-1); +#3743=CARTESIAN_POINT('',(7.9248E0,2.22631E0,-1.8669E1)); +#3744=LINE('',#3743,#3742); +#3745=DIRECTION('',(-1.781791302874E-14,-1.E0,0.E0)); +#3746=VECTOR('',#3745,3.9878E-1); +#3747=CARTESIAN_POINT('',(7.9248E0,2.62509E0,-1.8669E1)); +#3748=LINE('',#3747,#3746); +#3749=DIRECTION('',(0.E0,1.E0,0.E0)); +#3750=VECTOR('',#3749,3.9878E-1); +#3751=CARTESIAN_POINT('',(7.3152E0,-2.62509E0,-1.5494E1)); +#3752=LINE('',#3751,#3750); +#3753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3754=VECTOR('',#3753,3.175E0); +#3755=CARTESIAN_POINT('',(7.3152E0,-2.62509E0,-1.5494E1)); +#3756=LINE('',#3755,#3754); +#3757=DIRECTION('',(1.E0,0.E0,0.E0)); +#3758=VECTOR('',#3757,1.419225E0); +#3759=CARTESIAN_POINT('',(6.9103875E0,-2.62509E0,-1.397E1)); +#3760=LINE('',#3759,#3758); +#3761=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#3762=VECTOR('',#3761,1.576847855741E0); +#3763=CARTESIAN_POINT('',(7.9248E0,-2.62509E0,-1.5494E1)); +#3764=LINE('',#3763,#3762); +#3765=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3766=VECTOR('',#3765,3.175E0); +#3767=CARTESIAN_POINT('',(7.9248E0,-2.62509E0,-1.5494E1)); +#3768=LINE('',#3767,#3766); +#3769=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3770=VECTOR('',#3769,1.576847855741E0); +#3771=CARTESIAN_POINT('',(6.9103875E0,-2.62509E0,-1.397E1)); +#3772=LINE('',#3771,#3770); +#3773=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#3774=VECTOR('',#3773,1.576847855741E0); +#3775=CARTESIAN_POINT('',(7.9248E0,-2.22631E0,-1.5494E1)); +#3776=LINE('',#3775,#3774); +#3777=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3778=VECTOR('',#3777,1.419225E0); +#3779=CARTESIAN_POINT('',(8.3296125E0,-2.22631E0,-1.397E1)); +#3780=LINE('',#3779,#3778); +#3781=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3782=VECTOR('',#3781,1.576847855741E0); +#3783=CARTESIAN_POINT('',(6.9103875E0,-2.22631E0,-1.397E1)); +#3784=LINE('',#3783,#3782); +#3785=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3786=VECTOR('',#3785,3.175E0); +#3787=CARTESIAN_POINT('',(7.3152E0,-2.22631E0,-1.5494E1)); +#3788=LINE('',#3787,#3786); +#3789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3790=VECTOR('',#3789,3.9878E-1); +#3791=CARTESIAN_POINT('',(7.9248E0,-2.22631E0,-1.5494E1)); +#3792=LINE('',#3791,#3790); +#3793=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3794=VECTOR('',#3793,3.175E0); +#3795=CARTESIAN_POINT('',(7.9248E0,-2.22631E0,-1.5494E1)); +#3796=LINE('',#3795,#3794); +#3797=DIRECTION('',(0.E0,1.E0,0.E0)); +#3798=VECTOR('',#3797,3.9878E-1); +#3799=CARTESIAN_POINT('',(7.3152E0,2.22631E0,-1.5494E1)); +#3800=LINE('',#3799,#3798); +#3801=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3802=VECTOR('',#3801,3.175E0); +#3803=CARTESIAN_POINT('',(7.3152E0,2.22631E0,-1.5494E1)); +#3804=LINE('',#3803,#3802); +#3805=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3806=VECTOR('',#3805,1.576847855741E0); +#3807=CARTESIAN_POINT('',(1.08696125E1,2.62509E0,-1.397E1)); +#3808=LINE('',#3807,#3806); +#3809=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3810=VECTOR('',#3809,1.419225E0); +#3811=CARTESIAN_POINT('',(1.08696125E1,2.62509E0,-1.397E1)); +#3812=LINE('',#3811,#3810); +#3813=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3814=VECTOR('',#3813,1.576847855741E0); +#3815=CARTESIAN_POINT('',(9.8552E0,2.62509E0,-1.5494E1)); +#3816=LINE('',#3815,#3814); +#3817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3818=VECTOR('',#3817,3.175E0); +#3819=CARTESIAN_POINT('',(9.8552E0,2.62509E0,-1.5494E1)); +#3820=LINE('',#3819,#3818); +#3821=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3822=VECTOR('',#3821,3.9878E-1); +#3823=CARTESIAN_POINT('',(1.04648E1,2.62509E0,-1.5494E1)); +#3824=LINE('',#3823,#3822); +#3825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3826=VECTOR('',#3825,3.175E0); +#3827=CARTESIAN_POINT('',(1.04648E1,2.62509E0,-1.5494E1)); +#3828=LINE('',#3827,#3826); +#3829=DIRECTION('',(1.E0,0.E0,0.E0)); +#3830=VECTOR('',#3829,1.419225E0); +#3831=CARTESIAN_POINT('',(9.4503875E0,2.22631E0,-1.397E1)); +#3832=LINE('',#3831,#3830); +#3833=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3834=VECTOR('',#3833,1.576847855741E0); +#3835=CARTESIAN_POINT('',(1.08696125E1,2.22631E0,-1.397E1)); +#3836=LINE('',#3835,#3834); +#3837=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3838=VECTOR('',#3837,3.175E0); +#3839=CARTESIAN_POINT('',(1.04648E1,2.22631E0,-1.5494E1)); +#3840=LINE('',#3839,#3838); +#3841=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3842=VECTOR('',#3841,1.576847855741E0); +#3843=CARTESIAN_POINT('',(9.8552E0,2.22631E0,-1.5494E1)); +#3844=LINE('',#3843,#3842); +#3845=DIRECTION('',(0.E0,1.E0,0.E0)); +#3846=VECTOR('',#3845,3.9878E-1); +#3847=CARTESIAN_POINT('',(9.8552E0,-2.62509E0,-1.8669E1)); +#3848=LINE('',#3847,#3846); +#3849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3850=VECTOR('',#3849,6.096E-1); +#3851=CARTESIAN_POINT('',(1.04648E1,-2.62509E0,-1.8669E1)); +#3852=LINE('',#3851,#3850); +#3853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3854=VECTOR('',#3853,3.9878E-1); +#3855=CARTESIAN_POINT('',(1.04648E1,-2.22631E0,-1.8669E1)); +#3856=LINE('',#3855,#3854); +#3857=DIRECTION('',(1.E0,0.E0,0.E0)); +#3858=VECTOR('',#3857,6.096E-1); +#3859=CARTESIAN_POINT('',(9.8552E0,-2.22631E0,-1.8669E1)); +#3860=LINE('',#3859,#3858); +#3861=DIRECTION('',(1.E0,0.E0,0.E0)); +#3862=VECTOR('',#3861,6.096E-1); +#3863=CARTESIAN_POINT('',(9.8552E0,2.62509E0,-1.8669E1)); +#3864=LINE('',#3863,#3862); +#3865=DIRECTION('',(0.E0,1.E0,0.E0)); +#3866=VECTOR('',#3865,3.9878E-1); +#3867=CARTESIAN_POINT('',(9.8552E0,2.22631E0,-1.8669E1)); +#3868=LINE('',#3867,#3866); +#3869=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3870=VECTOR('',#3869,6.096E-1); +#3871=CARTESIAN_POINT('',(1.04648E1,2.22631E0,-1.8669E1)); +#3872=LINE('',#3871,#3870); +#3873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3874=VECTOR('',#3873,3.9878E-1); +#3875=CARTESIAN_POINT('',(1.04648E1,2.62509E0,-1.8669E1)); +#3876=LINE('',#3875,#3874); +#3877=DIRECTION('',(0.E0,1.E0,0.E0)); +#3878=VECTOR('',#3877,3.9878E-1); +#3879=CARTESIAN_POINT('',(9.8552E0,-2.62509E0,-1.5494E1)); +#3880=LINE('',#3879,#3878); +#3881=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3882=VECTOR('',#3881,3.175E0); +#3883=CARTESIAN_POINT('',(9.8552E0,-2.62509E0,-1.5494E1)); +#3884=LINE('',#3883,#3882); +#3885=DIRECTION('',(1.E0,0.E0,0.E0)); +#3886=VECTOR('',#3885,1.419225E0); +#3887=CARTESIAN_POINT('',(9.4503875E0,-2.62509E0,-1.397E1)); +#3888=LINE('',#3887,#3886); +#3889=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#3890=VECTOR('',#3889,1.576847855741E0); +#3891=CARTESIAN_POINT('',(1.04648E1,-2.62509E0,-1.5494E1)); +#3892=LINE('',#3891,#3890); +#3893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3894=VECTOR('',#3893,3.175E0); +#3895=CARTESIAN_POINT('',(1.04648E1,-2.62509E0,-1.5494E1)); +#3896=LINE('',#3895,#3894); +#3897=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3898=VECTOR('',#3897,1.576847855741E0); +#3899=CARTESIAN_POINT('',(9.4503875E0,-2.62509E0,-1.397E1)); +#3900=LINE('',#3899,#3898); +#3901=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#3902=VECTOR('',#3901,1.576847855741E0); +#3903=CARTESIAN_POINT('',(1.04648E1,-2.22631E0,-1.5494E1)); +#3904=LINE('',#3903,#3902); +#3905=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3906=VECTOR('',#3905,1.419225E0); +#3907=CARTESIAN_POINT('',(1.08696125E1,-2.22631E0,-1.397E1)); +#3908=LINE('',#3907,#3906); +#3909=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#3910=VECTOR('',#3909,1.576847855741E0); +#3911=CARTESIAN_POINT('',(9.4503875E0,-2.22631E0,-1.397E1)); +#3912=LINE('',#3911,#3910); +#3913=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3914=VECTOR('',#3913,3.175E0); +#3915=CARTESIAN_POINT('',(9.8552E0,-2.22631E0,-1.5494E1)); +#3916=LINE('',#3915,#3914); +#3917=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3918=VECTOR('',#3917,3.9878E-1); +#3919=CARTESIAN_POINT('',(1.04648E1,-2.22631E0,-1.5494E1)); +#3920=LINE('',#3919,#3918); +#3921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3922=VECTOR('',#3921,3.175E0); +#3923=CARTESIAN_POINT('',(1.04648E1,-2.22631E0,-1.5494E1)); +#3924=LINE('',#3923,#3922); +#3925=DIRECTION('',(0.E0,1.E0,0.E0)); +#3926=VECTOR('',#3925,3.9878E-1); +#3927=CARTESIAN_POINT('',(9.8552E0,2.22631E0,-1.5494E1)); +#3928=LINE('',#3927,#3926); +#3929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3930=VECTOR('',#3929,3.175E0); +#3931=CARTESIAN_POINT('',(9.8552E0,2.22631E0,-1.5494E1)); +#3932=LINE('',#3931,#3930); +#3933=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3934=VECTOR('',#3933,1.576847855741E0); +#3935=CARTESIAN_POINT('',(1.34096125E1,2.62509E0,-1.397E1)); +#3936=LINE('',#3935,#3934); +#3937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3938=VECTOR('',#3937,1.419225E0); +#3939=CARTESIAN_POINT('',(1.34096125E1,2.62509E0,-1.397E1)); +#3940=LINE('',#3939,#3938); +#3941=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3942=VECTOR('',#3941,1.576847855741E0); +#3943=CARTESIAN_POINT('',(1.23952E1,2.62509E0,-1.5494E1)); +#3944=LINE('',#3943,#3942); +#3945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3946=VECTOR('',#3945,3.175E0); +#3947=CARTESIAN_POINT('',(1.23952E1,2.62509E0,-1.5494E1)); +#3948=LINE('',#3947,#3946); +#3949=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3950=VECTOR('',#3949,3.9878E-1); +#3951=CARTESIAN_POINT('',(1.30048E1,2.62509E0,-1.5494E1)); +#3952=LINE('',#3951,#3950); +#3953=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3954=VECTOR('',#3953,3.175E0); +#3955=CARTESIAN_POINT('',(1.30048E1,2.62509E0,-1.5494E1)); +#3956=LINE('',#3955,#3954); +#3957=DIRECTION('',(1.E0,0.E0,0.E0)); +#3958=VECTOR('',#3957,1.419225E0); +#3959=CARTESIAN_POINT('',(1.19903875E1,2.22631E0,-1.397E1)); +#3960=LINE('',#3959,#3958); +#3961=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#3962=VECTOR('',#3961,1.576847855741E0); +#3963=CARTESIAN_POINT('',(1.34096125E1,2.22631E0,-1.397E1)); +#3964=LINE('',#3963,#3962); +#3965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3966=VECTOR('',#3965,3.175E0); +#3967=CARTESIAN_POINT('',(1.30048E1,2.22631E0,-1.5494E1)); +#3968=LINE('',#3967,#3966); +#3969=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#3970=VECTOR('',#3969,1.576847855741E0); +#3971=CARTESIAN_POINT('',(1.23952E1,2.22631E0,-1.5494E1)); +#3972=LINE('',#3971,#3970); +#3973=DIRECTION('',(0.E0,1.E0,0.E0)); +#3974=VECTOR('',#3973,3.9878E-1); +#3975=CARTESIAN_POINT('',(1.23952E1,-2.62509E0,-1.8669E1)); +#3976=LINE('',#3975,#3974); +#3977=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3978=VECTOR('',#3977,6.096E-1); +#3979=CARTESIAN_POINT('',(1.30048E1,-2.62509E0,-1.8669E1)); +#3980=LINE('',#3979,#3978); +#3981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3982=VECTOR('',#3981,3.9878E-1); +#3983=CARTESIAN_POINT('',(1.30048E1,-2.22631E0,-1.8669E1)); +#3984=LINE('',#3983,#3982); +#3985=DIRECTION('',(1.E0,0.E0,0.E0)); +#3986=VECTOR('',#3985,6.096E-1); +#3987=CARTESIAN_POINT('',(1.23952E1,-2.22631E0,-1.8669E1)); +#3988=LINE('',#3987,#3986); +#3989=DIRECTION('',(1.E0,0.E0,0.E0)); +#3990=VECTOR('',#3989,6.096E-1); +#3991=CARTESIAN_POINT('',(1.23952E1,2.62509E0,-1.8669E1)); +#3992=LINE('',#3991,#3990); +#3993=DIRECTION('',(0.E0,1.E0,0.E0)); +#3994=VECTOR('',#3993,3.9878E-1); +#3995=CARTESIAN_POINT('',(1.23952E1,2.22631E0,-1.8669E1)); +#3996=LINE('',#3995,#3994); +#3997=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3998=VECTOR('',#3997,6.096E-1); +#3999=CARTESIAN_POINT('',(1.30048E1,2.22631E0,-1.8669E1)); +#4000=LINE('',#3999,#3998); +#4001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4002=VECTOR('',#4001,3.9878E-1); +#4003=CARTESIAN_POINT('',(1.30048E1,2.62509E0,-1.8669E1)); +#4004=LINE('',#4003,#4002); +#4005=DIRECTION('',(0.E0,1.E0,0.E0)); +#4006=VECTOR('',#4005,3.9878E-1); +#4007=CARTESIAN_POINT('',(1.23952E1,-2.62509E0,-1.5494E1)); +#4008=LINE('',#4007,#4006); +#4009=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4010=VECTOR('',#4009,3.175E0); +#4011=CARTESIAN_POINT('',(1.23952E1,-2.62509E0,-1.5494E1)); +#4012=LINE('',#4011,#4010); +#4013=DIRECTION('',(1.E0,0.E0,0.E0)); +#4014=VECTOR('',#4013,1.419225E0); +#4015=CARTESIAN_POINT('',(1.19903875E1,-2.62509E0,-1.397E1)); +#4016=LINE('',#4015,#4014); +#4017=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4018=VECTOR('',#4017,1.576847855741E0); +#4019=CARTESIAN_POINT('',(1.30048E1,-2.62509E0,-1.5494E1)); +#4020=LINE('',#4019,#4018); +#4021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4022=VECTOR('',#4021,3.175E0); +#4023=CARTESIAN_POINT('',(1.30048E1,-2.62509E0,-1.5494E1)); +#4024=LINE('',#4023,#4022); +#4025=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4026=VECTOR('',#4025,1.576847855741E0); +#4027=CARTESIAN_POINT('',(1.19903875E1,-2.62509E0,-1.397E1)); +#4028=LINE('',#4027,#4026); +#4029=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4030=VECTOR('',#4029,1.576847855741E0); +#4031=CARTESIAN_POINT('',(1.30048E1,-2.22631E0,-1.5494E1)); +#4032=LINE('',#4031,#4030); +#4033=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4034=VECTOR('',#4033,1.419225E0); +#4035=CARTESIAN_POINT('',(1.34096125E1,-2.22631E0,-1.397E1)); +#4036=LINE('',#4035,#4034); +#4037=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4038=VECTOR('',#4037,1.576847855741E0); +#4039=CARTESIAN_POINT('',(1.19903875E1,-2.22631E0,-1.397E1)); +#4040=LINE('',#4039,#4038); +#4041=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4042=VECTOR('',#4041,3.175E0); +#4043=CARTESIAN_POINT('',(1.23952E1,-2.22631E0,-1.5494E1)); +#4044=LINE('',#4043,#4042); +#4045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4046=VECTOR('',#4045,3.9878E-1); +#4047=CARTESIAN_POINT('',(1.30048E1,-2.22631E0,-1.5494E1)); +#4048=LINE('',#4047,#4046); +#4049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4050=VECTOR('',#4049,3.175E0); +#4051=CARTESIAN_POINT('',(1.30048E1,-2.22631E0,-1.5494E1)); +#4052=LINE('',#4051,#4050); +#4053=DIRECTION('',(0.E0,1.E0,0.E0)); +#4054=VECTOR('',#4053,3.9878E-1); +#4055=CARTESIAN_POINT('',(1.23952E1,2.22631E0,-1.5494E1)); +#4056=LINE('',#4055,#4054); +#4057=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4058=VECTOR('',#4057,3.175E0); +#4059=CARTESIAN_POINT('',(1.23952E1,2.22631E0,-1.5494E1)); +#4060=LINE('',#4059,#4058); +#4061=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4062=VECTOR('',#4061,1.576847855741E0); +#4063=CARTESIAN_POINT('',(1.59496125E1,2.62509E0,-1.397E1)); +#4064=LINE('',#4063,#4062); +#4065=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4066=VECTOR('',#4065,1.419225E0); +#4067=CARTESIAN_POINT('',(1.59496125E1,2.62509E0,-1.397E1)); +#4068=LINE('',#4067,#4066); +#4069=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#4070=VECTOR('',#4069,1.576847855741E0); +#4071=CARTESIAN_POINT('',(1.49352E1,2.62509E0,-1.5494E1)); +#4072=LINE('',#4071,#4070); +#4073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4074=VECTOR('',#4073,3.175E0); +#4075=CARTESIAN_POINT('',(1.49352E1,2.62509E0,-1.5494E1)); +#4076=LINE('',#4075,#4074); +#4077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4078=VECTOR('',#4077,3.9878E-1); +#4079=CARTESIAN_POINT('',(1.55448E1,2.62509E0,-1.5494E1)); +#4080=LINE('',#4079,#4078); +#4081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4082=VECTOR('',#4081,3.175E0); +#4083=CARTESIAN_POINT('',(1.55448E1,2.62509E0,-1.5494E1)); +#4084=LINE('',#4083,#4082); +#4085=DIRECTION('',(1.E0,0.E0,0.E0)); +#4086=VECTOR('',#4085,1.419225E0); +#4087=CARTESIAN_POINT('',(1.45303875E1,2.22631E0,-1.397E1)); +#4088=LINE('',#4087,#4086); +#4089=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4090=VECTOR('',#4089,1.576847855741E0); +#4091=CARTESIAN_POINT('',(1.59496125E1,2.22631E0,-1.397E1)); +#4092=LINE('',#4091,#4090); +#4093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4094=VECTOR('',#4093,3.175E0); +#4095=CARTESIAN_POINT('',(1.55448E1,2.22631E0,-1.5494E1)); +#4096=LINE('',#4095,#4094); +#4097=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#4098=VECTOR('',#4097,1.576847855741E0); +#4099=CARTESIAN_POINT('',(1.49352E1,2.22631E0,-1.5494E1)); +#4100=LINE('',#4099,#4098); +#4101=DIRECTION('',(0.E0,1.E0,0.E0)); +#4102=VECTOR('',#4101,3.9878E-1); +#4103=CARTESIAN_POINT('',(1.49352E1,-2.62509E0,-1.8669E1)); +#4104=LINE('',#4103,#4102); +#4105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4106=VECTOR('',#4105,6.096E-1); +#4107=CARTESIAN_POINT('',(1.55448E1,-2.62509E0,-1.8669E1)); +#4108=LINE('',#4107,#4106); +#4109=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4110=VECTOR('',#4109,3.9878E-1); +#4111=CARTESIAN_POINT('',(1.55448E1,-2.22631E0,-1.8669E1)); +#4112=LINE('',#4111,#4110); +#4113=DIRECTION('',(1.E0,0.E0,0.E0)); +#4114=VECTOR('',#4113,6.096E-1); +#4115=CARTESIAN_POINT('',(1.49352E1,-2.22631E0,-1.8669E1)); +#4116=LINE('',#4115,#4114); +#4117=DIRECTION('',(1.E0,0.E0,0.E0)); +#4118=VECTOR('',#4117,6.096E-1); +#4119=CARTESIAN_POINT('',(1.49352E1,2.62509E0,-1.8669E1)); +#4120=LINE('',#4119,#4118); +#4121=DIRECTION('',(-2.227239128593E-14,1.E0,0.E0)); +#4122=VECTOR('',#4121,3.9878E-1); +#4123=CARTESIAN_POINT('',(1.49352E1,2.22631E0,-1.8669E1)); +#4124=LINE('',#4123,#4122); +#4125=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4126=VECTOR('',#4125,6.096E-1); +#4127=CARTESIAN_POINT('',(1.55448E1,2.22631E0,-1.8669E1)); +#4128=LINE('',#4127,#4126); +#4129=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4130=VECTOR('',#4129,3.9878E-1); +#4131=CARTESIAN_POINT('',(1.55448E1,2.62509E0,-1.8669E1)); +#4132=LINE('',#4131,#4130); +#4133=DIRECTION('',(0.E0,1.E0,0.E0)); +#4134=VECTOR('',#4133,3.9878E-1); +#4135=CARTESIAN_POINT('',(1.49352E1,-2.62509E0,-1.5494E1)); +#4136=LINE('',#4135,#4134); +#4137=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4138=VECTOR('',#4137,3.175E0); +#4139=CARTESIAN_POINT('',(1.49352E1,-2.62509E0,-1.5494E1)); +#4140=LINE('',#4139,#4138); +#4141=DIRECTION('',(1.E0,0.E0,0.E0)); +#4142=VECTOR('',#4141,1.419225E0); +#4143=CARTESIAN_POINT('',(1.45303875E1,-2.62509E0,-1.397E1)); +#4144=LINE('',#4143,#4142); +#4145=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4146=VECTOR('',#4145,1.576847855741E0); +#4147=CARTESIAN_POINT('',(1.55448E1,-2.62509E0,-1.5494E1)); +#4148=LINE('',#4147,#4146); +#4149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4150=VECTOR('',#4149,3.175E0); +#4151=CARTESIAN_POINT('',(1.55448E1,-2.62509E0,-1.5494E1)); +#4152=LINE('',#4151,#4150); +#4153=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4154=VECTOR('',#4153,1.576847855741E0); +#4155=CARTESIAN_POINT('',(1.45303875E1,-2.62509E0,-1.397E1)); +#4156=LINE('',#4155,#4154); +#4157=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#4158=VECTOR('',#4157,1.576847855741E0); +#4159=CARTESIAN_POINT('',(1.55448E1,-2.22631E0,-1.5494E1)); +#4160=LINE('',#4159,#4158); +#4161=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4162=VECTOR('',#4161,1.419225E0); +#4163=CARTESIAN_POINT('',(1.59496125E1,-2.22631E0,-1.397E1)); +#4164=LINE('',#4163,#4162); +#4165=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4166=VECTOR('',#4165,1.576847855741E0); +#4167=CARTESIAN_POINT('',(1.45303875E1,-2.22631E0,-1.397E1)); +#4168=LINE('',#4167,#4166); +#4169=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4170=VECTOR('',#4169,3.175E0); +#4171=CARTESIAN_POINT('',(1.49352E1,-2.22631E0,-1.5494E1)); +#4172=LINE('',#4171,#4170); +#4173=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4174=VECTOR('',#4173,3.9878E-1); +#4175=CARTESIAN_POINT('',(1.55448E1,-2.22631E0,-1.5494E1)); +#4176=LINE('',#4175,#4174); +#4177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4178=VECTOR('',#4177,3.175E0); +#4179=CARTESIAN_POINT('',(1.55448E1,-2.22631E0,-1.5494E1)); +#4180=LINE('',#4179,#4178); +#4181=DIRECTION('',(-2.227239128593E-14,1.E0,6.681717385778E-14)); +#4182=VECTOR('',#4181,3.9878E-1); +#4183=CARTESIAN_POINT('',(1.49352E1,2.22631E0,-1.5494E1)); +#4184=LINE('',#4183,#4182); +#4185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4186=VECTOR('',#4185,3.175E0); +#4187=CARTESIAN_POINT('',(1.49352E1,2.22631E0,-1.5494E1)); +#4188=LINE('',#4187,#4186); +#4189=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4190=VECTOR('',#4189,1.576847855741E0); +#4191=CARTESIAN_POINT('',(1.84896125E1,2.62509E0,-1.397E1)); +#4192=LINE('',#4191,#4190); +#4193=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4194=VECTOR('',#4193,1.419225E0); +#4195=CARTESIAN_POINT('',(1.84896125E1,2.62509E0,-1.397E1)); +#4196=LINE('',#4195,#4194); +#4197=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#4198=VECTOR('',#4197,1.576847855741E0); +#4199=CARTESIAN_POINT('',(1.74752E1,2.62509E0,-1.5494E1)); +#4200=LINE('',#4199,#4198); +#4201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4202=VECTOR('',#4201,3.175E0); +#4203=CARTESIAN_POINT('',(1.74752E1,2.62509E0,-1.5494E1)); +#4204=LINE('',#4203,#4202); +#4205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4206=VECTOR('',#4205,3.9878E-1); +#4207=CARTESIAN_POINT('',(1.80848E1,2.62509E0,-1.5494E1)); +#4208=LINE('',#4207,#4206); +#4209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4210=VECTOR('',#4209,3.175E0); +#4211=CARTESIAN_POINT('',(1.80848E1,2.62509E0,-1.5494E1)); +#4212=LINE('',#4211,#4210); +#4213=DIRECTION('',(1.E0,0.E0,0.E0)); +#4214=VECTOR('',#4213,1.419225E0); +#4215=CARTESIAN_POINT('',(1.70703875E1,2.22631E0,-1.397E1)); +#4216=LINE('',#4215,#4214); +#4217=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4218=VECTOR('',#4217,1.576847855741E0); +#4219=CARTESIAN_POINT('',(1.84896125E1,2.22631E0,-1.397E1)); +#4220=LINE('',#4219,#4218); +#4221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4222=VECTOR('',#4221,3.175E0); +#4223=CARTESIAN_POINT('',(1.80848E1,2.22631E0,-1.5494E1)); +#4224=LINE('',#4223,#4222); +#4225=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#4226=VECTOR('',#4225,1.576847855741E0); +#4227=CARTESIAN_POINT('',(1.74752E1,2.22631E0,-1.5494E1)); +#4228=LINE('',#4227,#4226); +#4229=DIRECTION('',(0.E0,1.E0,0.E0)); +#4230=VECTOR('',#4229,3.9878E-1); +#4231=CARTESIAN_POINT('',(1.74752E1,-2.62509E0,-1.8669E1)); +#4232=LINE('',#4231,#4230); +#4233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4234=VECTOR('',#4233,6.096E-1); +#4235=CARTESIAN_POINT('',(1.80848E1,-2.62509E0,-1.8669E1)); +#4236=LINE('',#4235,#4234); +#4237=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4238=VECTOR('',#4237,3.9878E-1); +#4239=CARTESIAN_POINT('',(1.80848E1,-2.22631E0,-1.8669E1)); +#4240=LINE('',#4239,#4238); +#4241=DIRECTION('',(1.E0,0.E0,0.E0)); +#4242=VECTOR('',#4241,6.096E-1); +#4243=CARTESIAN_POINT('',(1.74752E1,-2.22631E0,-1.8669E1)); +#4244=LINE('',#4243,#4242); +#4245=DIRECTION('',(1.E0,0.E0,0.E0)); +#4246=VECTOR('',#4245,6.096E-1); +#4247=CARTESIAN_POINT('',(1.74752E1,2.62509E0,-1.8669E1)); +#4248=LINE('',#4247,#4246); +#4249=DIRECTION('',(0.E0,1.E0,0.E0)); +#4250=VECTOR('',#4249,3.9878E-1); +#4251=CARTESIAN_POINT('',(1.74752E1,2.22631E0,-1.8669E1)); +#4252=LINE('',#4251,#4250); +#4253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4254=VECTOR('',#4253,6.096E-1); +#4255=CARTESIAN_POINT('',(1.80848E1,2.22631E0,-1.8669E1)); +#4256=LINE('',#4255,#4254); +#4257=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4258=VECTOR('',#4257,3.9878E-1); +#4259=CARTESIAN_POINT('',(1.80848E1,2.62509E0,-1.8669E1)); +#4260=LINE('',#4259,#4258); +#4261=DIRECTION('',(0.E0,1.E0,0.E0)); +#4262=VECTOR('',#4261,3.9878E-1); +#4263=CARTESIAN_POINT('',(1.74752E1,-2.62509E0,-1.5494E1)); +#4264=LINE('',#4263,#4262); +#4265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4266=VECTOR('',#4265,3.175E0); +#4267=CARTESIAN_POINT('',(1.74752E1,-2.62509E0,-1.5494E1)); +#4268=LINE('',#4267,#4266); +#4269=DIRECTION('',(1.E0,0.E0,0.E0)); +#4270=VECTOR('',#4269,1.419225E0); +#4271=CARTESIAN_POINT('',(1.70703875E1,-2.62509E0,-1.397E1)); +#4272=LINE('',#4271,#4270); +#4273=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4274=VECTOR('',#4273,1.576847855741E0); +#4275=CARTESIAN_POINT('',(1.80848E1,-2.62509E0,-1.5494E1)); +#4276=LINE('',#4275,#4274); +#4277=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4278=VECTOR('',#4277,3.175E0); +#4279=CARTESIAN_POINT('',(1.80848E1,-2.62509E0,-1.5494E1)); +#4280=LINE('',#4279,#4278); +#4281=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4282=VECTOR('',#4281,1.576847855741E0); +#4283=CARTESIAN_POINT('',(1.70703875E1,-2.62509E0,-1.397E1)); +#4284=LINE('',#4283,#4282); +#4285=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4286=VECTOR('',#4285,1.576847855741E0); +#4287=CARTESIAN_POINT('',(1.80848E1,-2.22631E0,-1.5494E1)); +#4288=LINE('',#4287,#4286); +#4289=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4290=VECTOR('',#4289,1.419225E0); +#4291=CARTESIAN_POINT('',(1.84896125E1,-2.22631E0,-1.397E1)); +#4292=LINE('',#4291,#4290); +#4293=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4294=VECTOR('',#4293,1.576847855741E0); +#4295=CARTESIAN_POINT('',(1.70703875E1,-2.22631E0,-1.397E1)); +#4296=LINE('',#4295,#4294); +#4297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4298=VECTOR('',#4297,3.175E0); +#4299=CARTESIAN_POINT('',(1.74752E1,-2.22631E0,-1.5494E1)); +#4300=LINE('',#4299,#4298); +#4301=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4302=VECTOR('',#4301,3.9878E-1); +#4303=CARTESIAN_POINT('',(1.80848E1,-2.22631E0,-1.5494E1)); +#4304=LINE('',#4303,#4302); +#4305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4306=VECTOR('',#4305,3.175E0); +#4307=CARTESIAN_POINT('',(1.80848E1,-2.22631E0,-1.5494E1)); +#4308=LINE('',#4307,#4306); +#4309=DIRECTION('',(0.E0,1.E0,0.E0)); +#4310=VECTOR('',#4309,3.9878E-1); +#4311=CARTESIAN_POINT('',(1.74752E1,2.22631E0,-1.5494E1)); +#4312=LINE('',#4311,#4310); +#4313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4314=VECTOR('',#4313,3.175E0); +#4315=CARTESIAN_POINT('',(1.74752E1,2.22631E0,-1.5494E1)); +#4316=LINE('',#4315,#4314); +#4317=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4318=VECTOR('',#4317,1.576847855741E0); +#4319=CARTESIAN_POINT('',(2.10296125E1,2.62509E0,-1.397E1)); +#4320=LINE('',#4319,#4318); +#4321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4322=VECTOR('',#4321,1.419225E0); +#4323=CARTESIAN_POINT('',(2.10296125E1,2.62509E0,-1.397E1)); +#4324=LINE('',#4323,#4322); +#4325=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#4326=VECTOR('',#4325,1.576847855741E0); +#4327=CARTESIAN_POINT('',(2.00152E1,2.62509E0,-1.5494E1)); +#4328=LINE('',#4327,#4326); +#4329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4330=VECTOR('',#4329,3.175E0); +#4331=CARTESIAN_POINT('',(2.00152E1,2.62509E0,-1.5494E1)); +#4332=LINE('',#4331,#4330); +#4333=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4334=VECTOR('',#4333,3.9878E-1); +#4335=CARTESIAN_POINT('',(2.06248E1,2.62509E0,-1.5494E1)); +#4336=LINE('',#4335,#4334); +#4337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4338=VECTOR('',#4337,3.175E0); +#4339=CARTESIAN_POINT('',(2.06248E1,2.62509E0,-1.5494E1)); +#4340=LINE('',#4339,#4338); +#4341=DIRECTION('',(1.E0,0.E0,0.E0)); +#4342=VECTOR('',#4341,1.419225E0); +#4343=CARTESIAN_POINT('',(1.96103875E1,2.22631E0,-1.397E1)); +#4344=LINE('',#4343,#4342); +#4345=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4346=VECTOR('',#4345,1.576847855741E0); +#4347=CARTESIAN_POINT('',(2.10296125E1,2.22631E0,-1.397E1)); +#4348=LINE('',#4347,#4346); +#4349=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4350=VECTOR('',#4349,3.175E0); +#4351=CARTESIAN_POINT('',(2.06248E1,2.22631E0,-1.5494E1)); +#4352=LINE('',#4351,#4350); +#4353=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#4354=VECTOR('',#4353,1.576847855741E0); +#4355=CARTESIAN_POINT('',(2.00152E1,2.22631E0,-1.5494E1)); +#4356=LINE('',#4355,#4354); +#4357=DIRECTION('',(0.E0,1.E0,0.E0)); +#4358=VECTOR('',#4357,3.9878E-1); +#4359=CARTESIAN_POINT('',(2.00152E1,-2.62509E0,-1.8669E1)); +#4360=LINE('',#4359,#4358); +#4361=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4362=VECTOR('',#4361,6.096E-1); +#4363=CARTESIAN_POINT('',(2.06248E1,-2.62509E0,-1.8669E1)); +#4364=LINE('',#4363,#4362); +#4365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4366=VECTOR('',#4365,3.9878E-1); +#4367=CARTESIAN_POINT('',(2.06248E1,-2.22631E0,-1.8669E1)); +#4368=LINE('',#4367,#4366); +#4369=DIRECTION('',(1.E0,0.E0,0.E0)); +#4370=VECTOR('',#4369,6.096E-1); +#4371=CARTESIAN_POINT('',(2.00152E1,-2.22631E0,-1.8669E1)); +#4372=LINE('',#4371,#4370); +#4373=DIRECTION('',(1.E0,0.E0,0.E0)); +#4374=VECTOR('',#4373,6.096E-1); +#4375=CARTESIAN_POINT('',(2.00152E1,2.62509E0,-1.8669E1)); +#4376=LINE('',#4375,#4374); +#4377=DIRECTION('',(0.E0,1.E0,0.E0)); +#4378=VECTOR('',#4377,3.9878E-1); +#4379=CARTESIAN_POINT('',(2.00152E1,2.22631E0,-1.8669E1)); +#4380=LINE('',#4379,#4378); +#4381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4382=VECTOR('',#4381,6.096E-1); +#4383=CARTESIAN_POINT('',(2.06248E1,2.22631E0,-1.8669E1)); +#4384=LINE('',#4383,#4382); +#4385=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4386=VECTOR('',#4385,3.9878E-1); +#4387=CARTESIAN_POINT('',(2.06248E1,2.62509E0,-1.8669E1)); +#4388=LINE('',#4387,#4386); +#4389=DIRECTION('',(0.E0,1.E0,0.E0)); +#4390=VECTOR('',#4389,3.9878E-1); +#4391=CARTESIAN_POINT('',(2.00152E1,-2.62509E0,-1.5494E1)); +#4392=LINE('',#4391,#4390); +#4393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4394=VECTOR('',#4393,3.175E0); +#4395=CARTESIAN_POINT('',(2.00152E1,-2.62509E0,-1.5494E1)); +#4396=LINE('',#4395,#4394); +#4397=DIRECTION('',(1.E0,0.E0,0.E0)); +#4398=VECTOR('',#4397,1.419225E0); +#4399=CARTESIAN_POINT('',(1.96103875E1,-2.62509E0,-1.397E1)); +#4400=LINE('',#4399,#4398); +#4401=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4402=VECTOR('',#4401,1.576847855741E0); +#4403=CARTESIAN_POINT('',(2.06248E1,-2.62509E0,-1.5494E1)); +#4404=LINE('',#4403,#4402); +#4405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4406=VECTOR('',#4405,3.175E0); +#4407=CARTESIAN_POINT('',(2.06248E1,-2.62509E0,-1.5494E1)); +#4408=LINE('',#4407,#4406); +#4409=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4410=VECTOR('',#4409,1.576847855741E0); +#4411=CARTESIAN_POINT('',(1.96103875E1,-2.62509E0,-1.397E1)); +#4412=LINE('',#4411,#4410); +#4413=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4414=VECTOR('',#4413,1.576847855741E0); +#4415=CARTESIAN_POINT('',(2.06248E1,-2.22631E0,-1.5494E1)); +#4416=LINE('',#4415,#4414); +#4417=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4418=VECTOR('',#4417,1.419225E0); +#4419=CARTESIAN_POINT('',(2.10296125E1,-2.22631E0,-1.397E1)); +#4420=LINE('',#4419,#4418); +#4421=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4422=VECTOR('',#4421,1.576847855741E0); +#4423=CARTESIAN_POINT('',(1.96103875E1,-2.22631E0,-1.397E1)); +#4424=LINE('',#4423,#4422); +#4425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4426=VECTOR('',#4425,3.175E0); +#4427=CARTESIAN_POINT('',(2.00152E1,-2.22631E0,-1.5494E1)); +#4428=LINE('',#4427,#4426); +#4429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4430=VECTOR('',#4429,3.9878E-1); +#4431=CARTESIAN_POINT('',(2.06248E1,-2.22631E0,-1.5494E1)); +#4432=LINE('',#4431,#4430); +#4433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4434=VECTOR('',#4433,3.175E0); +#4435=CARTESIAN_POINT('',(2.06248E1,-2.22631E0,-1.5494E1)); +#4436=LINE('',#4435,#4434); +#4437=DIRECTION('',(0.E0,1.E0,2.672686954311E-14)); +#4438=VECTOR('',#4437,3.9878E-1); +#4439=CARTESIAN_POINT('',(2.00152E1,2.22631E0,-1.5494E1)); +#4440=LINE('',#4439,#4438); +#4441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4442=VECTOR('',#4441,3.175E0); +#4443=CARTESIAN_POINT('',(2.00152E1,2.22631E0,-1.5494E1)); +#4444=LINE('',#4443,#4442); +#4445=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4446=VECTOR('',#4445,1.576847855741E0); +#4447=CARTESIAN_POINT('',(2.35696125E1,2.62509E0,-1.397E1)); +#4448=LINE('',#4447,#4446); +#4449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4450=VECTOR('',#4449,1.419225E0); +#4451=CARTESIAN_POINT('',(2.35696125E1,2.62509E0,-1.397E1)); +#4452=LINE('',#4451,#4450); +#4453=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#4454=VECTOR('',#4453,1.576847855741E0); +#4455=CARTESIAN_POINT('',(2.25552E1,2.62509E0,-1.5494E1)); +#4456=LINE('',#4455,#4454); +#4457=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4458=VECTOR('',#4457,3.175E0); +#4459=CARTESIAN_POINT('',(2.25552E1,2.62509E0,-1.5494E1)); +#4460=LINE('',#4459,#4458); +#4461=DIRECTION('',(0.E0,-1.E0,-1.336343477156E-14)); +#4462=VECTOR('',#4461,3.9878E-1); +#4463=CARTESIAN_POINT('',(2.31648E1,2.62509E0,-1.5494E1)); +#4464=LINE('',#4463,#4462); +#4465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4466=VECTOR('',#4465,3.175E0); +#4467=CARTESIAN_POINT('',(2.31648E1,2.62509E0,-1.5494E1)); +#4468=LINE('',#4467,#4466); +#4469=DIRECTION('',(1.E0,0.E0,0.E0)); +#4470=VECTOR('',#4469,1.419225E0); +#4471=CARTESIAN_POINT('',(2.21503875E1,2.22631E0,-1.397E1)); +#4472=LINE('',#4471,#4470); +#4473=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4474=VECTOR('',#4473,1.576847855741E0); +#4475=CARTESIAN_POINT('',(2.35696125E1,2.22631E0,-1.397E1)); +#4476=LINE('',#4475,#4474); +#4477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4478=VECTOR('',#4477,3.175E0); +#4479=CARTESIAN_POINT('',(2.31648E1,2.22631E0,-1.5494E1)); +#4480=LINE('',#4479,#4478); +#4481=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#4482=VECTOR('',#4481,1.576847855741E0); +#4483=CARTESIAN_POINT('',(2.25552E1,2.22631E0,-1.5494E1)); +#4484=LINE('',#4483,#4482); +#4485=DIRECTION('',(0.E0,1.E0,0.E0)); +#4486=VECTOR('',#4485,3.9878E-1); +#4487=CARTESIAN_POINT('',(2.25552E1,-2.62509E0,-1.8669E1)); +#4488=LINE('',#4487,#4486); +#4489=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4490=VECTOR('',#4489,6.096E-1); +#4491=CARTESIAN_POINT('',(2.31648E1,-2.62509E0,-1.8669E1)); +#4492=LINE('',#4491,#4490); +#4493=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4494=VECTOR('',#4493,3.9878E-1); +#4495=CARTESIAN_POINT('',(2.31648E1,-2.22631E0,-1.8669E1)); +#4496=LINE('',#4495,#4494); +#4497=DIRECTION('',(1.E0,0.E0,0.E0)); +#4498=VECTOR('',#4497,6.096E-1); +#4499=CARTESIAN_POINT('',(2.25552E1,-2.22631E0,-1.8669E1)); +#4500=LINE('',#4499,#4498); +#4501=DIRECTION('',(1.E0,0.E0,0.E0)); +#4502=VECTOR('',#4501,6.096E-1); +#4503=CARTESIAN_POINT('',(2.25552E1,2.62509E0,-1.8669E1)); +#4504=LINE('',#4503,#4502); +#4505=DIRECTION('',(0.E0,1.E0,0.E0)); +#4506=VECTOR('',#4505,3.9878E-1); +#4507=CARTESIAN_POINT('',(2.25552E1,2.22631E0,-1.8669E1)); +#4508=LINE('',#4507,#4506); +#4509=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4510=VECTOR('',#4509,6.096E-1); +#4511=CARTESIAN_POINT('',(2.31648E1,2.22631E0,-1.8669E1)); +#4512=LINE('',#4511,#4510); +#4513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4514=VECTOR('',#4513,3.9878E-1); +#4515=CARTESIAN_POINT('',(2.31648E1,2.62509E0,-1.8669E1)); +#4516=LINE('',#4515,#4514); +#4517=DIRECTION('',(0.E0,1.E0,1.336343477156E-14)); +#4518=VECTOR('',#4517,3.9878E-1); +#4519=CARTESIAN_POINT('',(2.25552E1,-2.62509E0,-1.5494E1)); +#4520=LINE('',#4519,#4518); +#4521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4522=VECTOR('',#4521,3.175E0); +#4523=CARTESIAN_POINT('',(2.25552E1,-2.62509E0,-1.5494E1)); +#4524=LINE('',#4523,#4522); +#4525=DIRECTION('',(1.E0,0.E0,0.E0)); +#4526=VECTOR('',#4525,1.419225E0); +#4527=CARTESIAN_POINT('',(2.21503875E1,-2.62509E0,-1.397E1)); +#4528=LINE('',#4527,#4526); +#4529=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4530=VECTOR('',#4529,1.576847855741E0); +#4531=CARTESIAN_POINT('',(2.31648E1,-2.62509E0,-1.5494E1)); +#4532=LINE('',#4531,#4530); +#4533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4534=VECTOR('',#4533,3.175E0); +#4535=CARTESIAN_POINT('',(2.31648E1,-2.62509E0,-1.5494E1)); +#4536=LINE('',#4535,#4534); +#4537=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4538=VECTOR('',#4537,1.576847855741E0); +#4539=CARTESIAN_POINT('',(2.21503875E1,-2.62509E0,-1.397E1)); +#4540=LINE('',#4539,#4538); +#4541=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4542=VECTOR('',#4541,1.576847855741E0); +#4543=CARTESIAN_POINT('',(2.31648E1,-2.22631E0,-1.5494E1)); +#4544=LINE('',#4543,#4542); +#4545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4546=VECTOR('',#4545,1.419225E0); +#4547=CARTESIAN_POINT('',(2.35696125E1,-2.22631E0,-1.397E1)); +#4548=LINE('',#4547,#4546); +#4549=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4550=VECTOR('',#4549,1.576847855741E0); +#4551=CARTESIAN_POINT('',(2.21503875E1,-2.22631E0,-1.397E1)); +#4552=LINE('',#4551,#4550); +#4553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4554=VECTOR('',#4553,3.175E0); +#4555=CARTESIAN_POINT('',(2.25552E1,-2.22631E0,-1.5494E1)); +#4556=LINE('',#4555,#4554); +#4557=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4558=VECTOR('',#4557,3.9878E-1); +#4559=CARTESIAN_POINT('',(2.31648E1,-2.22631E0,-1.5494E1)); +#4560=LINE('',#4559,#4558); +#4561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4562=VECTOR('',#4561,3.175E0); +#4563=CARTESIAN_POINT('',(2.31648E1,-2.22631E0,-1.5494E1)); +#4564=LINE('',#4563,#4562); +#4565=DIRECTION('',(0.E0,1.E0,0.E0)); +#4566=VECTOR('',#4565,3.9878E-1); +#4567=CARTESIAN_POINT('',(2.25552E1,2.22631E0,-1.5494E1)); +#4568=LINE('',#4567,#4566); +#4569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4570=VECTOR('',#4569,3.175E0); +#4571=CARTESIAN_POINT('',(2.25552E1,2.22631E0,-1.5494E1)); +#4572=LINE('',#4571,#4570); +#4573=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4574=VECTOR('',#4573,1.576847855741E0); +#4575=CARTESIAN_POINT('',(2.61096125E1,2.62509E0,-1.397E1)); +#4576=LINE('',#4575,#4574); +#4577=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4578=VECTOR('',#4577,1.419225E0); +#4579=CARTESIAN_POINT('',(2.61096125E1,2.62509E0,-1.397E1)); +#4580=LINE('',#4579,#4578); +#4581=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#4582=VECTOR('',#4581,1.576847855741E0); +#4583=CARTESIAN_POINT('',(2.50952E1,2.62509E0,-1.5494E1)); +#4584=LINE('',#4583,#4582); +#4585=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4586=VECTOR('',#4585,3.175E0); +#4587=CARTESIAN_POINT('',(2.50952E1,2.62509E0,-1.5494E1)); +#4588=LINE('',#4587,#4586); +#4589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4590=VECTOR('',#4589,3.9878E-1); +#4591=CARTESIAN_POINT('',(2.57048E1,2.62509E0,-1.5494E1)); +#4592=LINE('',#4591,#4590); +#4593=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4594=VECTOR('',#4593,3.175E0); +#4595=CARTESIAN_POINT('',(2.57048E1,2.62509E0,-1.5494E1)); +#4596=LINE('',#4595,#4594); +#4597=DIRECTION('',(1.E0,0.E0,0.E0)); +#4598=VECTOR('',#4597,1.419225E0); +#4599=CARTESIAN_POINT('',(2.46903875E1,2.22631E0,-1.397E1)); +#4600=LINE('',#4599,#4598); +#4601=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4602=VECTOR('',#4601,1.576847855741E0); +#4603=CARTESIAN_POINT('',(2.61096125E1,2.22631E0,-1.397E1)); +#4604=LINE('',#4603,#4602); +#4605=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4606=VECTOR('',#4605,3.175E0); +#4607=CARTESIAN_POINT('',(2.57048E1,2.22631E0,-1.5494E1)); +#4608=LINE('',#4607,#4606); +#4609=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#4610=VECTOR('',#4609,1.576847855741E0); +#4611=CARTESIAN_POINT('',(2.50952E1,2.22631E0,-1.5494E1)); +#4612=LINE('',#4611,#4610); +#4613=DIRECTION('',(0.E0,1.E0,0.E0)); +#4614=VECTOR('',#4613,3.9878E-1); +#4615=CARTESIAN_POINT('',(2.50952E1,-2.62509E0,-1.8669E1)); +#4616=LINE('',#4615,#4614); +#4617=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4618=VECTOR('',#4617,6.096E-1); +#4619=CARTESIAN_POINT('',(2.57048E1,-2.62509E0,-1.8669E1)); +#4620=LINE('',#4619,#4618); +#4621=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4622=VECTOR('',#4621,3.9878E-1); +#4623=CARTESIAN_POINT('',(2.57048E1,-2.22631E0,-1.8669E1)); +#4624=LINE('',#4623,#4622); +#4625=DIRECTION('',(1.E0,0.E0,0.E0)); +#4626=VECTOR('',#4625,6.096E-1); +#4627=CARTESIAN_POINT('',(2.50952E1,-2.22631E0,-1.8669E1)); +#4628=LINE('',#4627,#4626); +#4629=DIRECTION('',(1.E0,0.E0,0.E0)); +#4630=VECTOR('',#4629,6.096E-1); +#4631=CARTESIAN_POINT('',(2.50952E1,2.62509E0,-1.8669E1)); +#4632=LINE('',#4631,#4630); +#4633=DIRECTION('',(0.E0,1.E0,0.E0)); +#4634=VECTOR('',#4633,3.9878E-1); +#4635=CARTESIAN_POINT('',(2.50952E1,2.22631E0,-1.8669E1)); +#4636=LINE('',#4635,#4634); +#4637=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4638=VECTOR('',#4637,6.096E-1); +#4639=CARTESIAN_POINT('',(2.57048E1,2.22631E0,-1.8669E1)); +#4640=LINE('',#4639,#4638); +#4641=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4642=VECTOR('',#4641,3.9878E-1); +#4643=CARTESIAN_POINT('',(2.57048E1,2.62509E0,-1.8669E1)); +#4644=LINE('',#4643,#4642); +#4645=DIRECTION('',(0.E0,1.E0,0.E0)); +#4646=VECTOR('',#4645,3.9878E-1); +#4647=CARTESIAN_POINT('',(2.50952E1,-2.62509E0,-1.5494E1)); +#4648=LINE('',#4647,#4646); +#4649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4650=VECTOR('',#4649,3.175E0); +#4651=CARTESIAN_POINT('',(2.50952E1,-2.62509E0,-1.5494E1)); +#4652=LINE('',#4651,#4650); +#4653=DIRECTION('',(1.E0,0.E0,0.E0)); +#4654=VECTOR('',#4653,1.419225E0); +#4655=CARTESIAN_POINT('',(2.46903875E1,-2.62509E0,-1.397E1)); +#4656=LINE('',#4655,#4654); +#4657=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4658=VECTOR('',#4657,1.576847855741E0); +#4659=CARTESIAN_POINT('',(2.57048E1,-2.62509E0,-1.5494E1)); +#4660=LINE('',#4659,#4658); +#4661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4662=VECTOR('',#4661,3.175E0); +#4663=CARTESIAN_POINT('',(2.57048E1,-2.62509E0,-1.5494E1)); +#4664=LINE('',#4663,#4662); +#4665=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4666=VECTOR('',#4665,1.576847855741E0); +#4667=CARTESIAN_POINT('',(2.46903875E1,-2.62509E0,-1.397E1)); +#4668=LINE('',#4667,#4666); +#4669=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#4670=VECTOR('',#4669,1.576847855741E0); +#4671=CARTESIAN_POINT('',(2.57048E1,-2.22631E0,-1.5494E1)); +#4672=LINE('',#4671,#4670); +#4673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4674=VECTOR('',#4673,1.419225E0); +#4675=CARTESIAN_POINT('',(2.61096125E1,-2.22631E0,-1.397E1)); +#4676=LINE('',#4675,#4674); +#4677=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4678=VECTOR('',#4677,1.576847855741E0); +#4679=CARTESIAN_POINT('',(2.46903875E1,-2.22631E0,-1.397E1)); +#4680=LINE('',#4679,#4678); +#4681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4682=VECTOR('',#4681,3.175E0); +#4683=CARTESIAN_POINT('',(2.50952E1,-2.22631E0,-1.5494E1)); +#4684=LINE('',#4683,#4682); +#4685=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4686=VECTOR('',#4685,3.9878E-1); +#4687=CARTESIAN_POINT('',(2.57048E1,-2.22631E0,-1.5494E1)); +#4688=LINE('',#4687,#4686); +#4689=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4690=VECTOR('',#4689,3.175E0); +#4691=CARTESIAN_POINT('',(2.57048E1,-2.22631E0,-1.5494E1)); +#4692=LINE('',#4691,#4690); +#4693=DIRECTION('',(0.E0,1.E0,0.E0)); +#4694=VECTOR('',#4693,3.9878E-1); +#4695=CARTESIAN_POINT('',(2.50952E1,2.22631E0,-1.5494E1)); +#4696=LINE('',#4695,#4694); +#4697=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4698=VECTOR('',#4697,3.175E0); +#4699=CARTESIAN_POINT('',(2.50952E1,2.22631E0,-1.5494E1)); +#4700=LINE('',#4699,#4698); +#4701=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4702=VECTOR('',#4701,1.576847855741E0); +#4703=CARTESIAN_POINT('',(2.86496125E1,2.62509E0,-1.397E1)); +#4704=LINE('',#4703,#4702); +#4705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4706=VECTOR('',#4705,1.419225E0); +#4707=CARTESIAN_POINT('',(2.86496125E1,2.62509E0,-1.397E1)); +#4708=LINE('',#4707,#4706); +#4709=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#4710=VECTOR('',#4709,1.576847855741E0); +#4711=CARTESIAN_POINT('',(2.76352E1,2.62509E0,-1.5494E1)); +#4712=LINE('',#4711,#4710); +#4713=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4714=VECTOR('',#4713,3.175E0); +#4715=CARTESIAN_POINT('',(2.76352E1,2.62509E0,-1.5494E1)); +#4716=LINE('',#4715,#4714); +#4717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4718=VECTOR('',#4717,3.9878E-1); +#4719=CARTESIAN_POINT('',(2.82448E1,2.62509E0,-1.5494E1)); +#4720=LINE('',#4719,#4718); +#4721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4722=VECTOR('',#4721,3.175E0); +#4723=CARTESIAN_POINT('',(2.82448E1,2.62509E0,-1.5494E1)); +#4724=LINE('',#4723,#4722); +#4725=DIRECTION('',(1.E0,0.E0,0.E0)); +#4726=VECTOR('',#4725,1.419225E0); +#4727=CARTESIAN_POINT('',(2.72303875E1,2.22631E0,-1.397E1)); +#4728=LINE('',#4727,#4726); +#4729=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4730=VECTOR('',#4729,1.576847855741E0); +#4731=CARTESIAN_POINT('',(2.86496125E1,2.22631E0,-1.397E1)); +#4732=LINE('',#4731,#4730); +#4733=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4734=VECTOR('',#4733,3.175E0); +#4735=CARTESIAN_POINT('',(2.82448E1,2.22631E0,-1.5494E1)); +#4736=LINE('',#4735,#4734); +#4737=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#4738=VECTOR('',#4737,1.576847855741E0); +#4739=CARTESIAN_POINT('',(2.76352E1,2.22631E0,-1.5494E1)); +#4740=LINE('',#4739,#4738); +#4741=DIRECTION('',(0.E0,1.E0,0.E0)); +#4742=VECTOR('',#4741,3.9878E-1); +#4743=CARTESIAN_POINT('',(2.76352E1,-2.62509E0,-1.8669E1)); +#4744=LINE('',#4743,#4742); +#4745=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4746=VECTOR('',#4745,6.096E-1); +#4747=CARTESIAN_POINT('',(2.82448E1,-2.62509E0,-1.8669E1)); +#4748=LINE('',#4747,#4746); +#4749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4750=VECTOR('',#4749,3.9878E-1); +#4751=CARTESIAN_POINT('',(2.82448E1,-2.22631E0,-1.8669E1)); +#4752=LINE('',#4751,#4750); +#4753=DIRECTION('',(1.E0,0.E0,0.E0)); +#4754=VECTOR('',#4753,6.096E-1); +#4755=CARTESIAN_POINT('',(2.76352E1,-2.22631E0,-1.8669E1)); +#4756=LINE('',#4755,#4754); +#4757=DIRECTION('',(1.E0,0.E0,0.E0)); +#4758=VECTOR('',#4757,6.096E-1); +#4759=CARTESIAN_POINT('',(2.76352E1,2.62509E0,-1.8669E1)); +#4760=LINE('',#4759,#4758); +#4761=DIRECTION('',(-4.454478257185E-14,1.E0,0.E0)); +#4762=VECTOR('',#4761,3.9878E-1); +#4763=CARTESIAN_POINT('',(2.76352E1,2.22631E0,-1.8669E1)); +#4764=LINE('',#4763,#4762); +#4765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4766=VECTOR('',#4765,6.096E-1); +#4767=CARTESIAN_POINT('',(2.82448E1,2.22631E0,-1.8669E1)); +#4768=LINE('',#4767,#4766); +#4769=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4770=VECTOR('',#4769,3.9878E-1); +#4771=CARTESIAN_POINT('',(2.82448E1,2.62509E0,-1.8669E1)); +#4772=LINE('',#4771,#4770); +#4773=DIRECTION('',(0.E0,1.E0,0.E0)); +#4774=VECTOR('',#4773,3.9878E-1); +#4775=CARTESIAN_POINT('',(2.76352E1,-2.62509E0,-1.5494E1)); +#4776=LINE('',#4775,#4774); +#4777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4778=VECTOR('',#4777,3.175E0); +#4779=CARTESIAN_POINT('',(2.76352E1,-2.62509E0,-1.5494E1)); +#4780=LINE('',#4779,#4778); +#4781=DIRECTION('',(1.E0,0.E0,0.E0)); +#4782=VECTOR('',#4781,1.419225E0); +#4783=CARTESIAN_POINT('',(2.72303875E1,-2.62509E0,-1.397E1)); +#4784=LINE('',#4783,#4782); +#4785=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#4786=VECTOR('',#4785,1.576847855741E0); +#4787=CARTESIAN_POINT('',(2.82448E1,-2.62509E0,-1.5494E1)); +#4788=LINE('',#4787,#4786); +#4789=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4790=VECTOR('',#4789,3.175E0); +#4791=CARTESIAN_POINT('',(2.82448E1,-2.62509E0,-1.5494E1)); +#4792=LINE('',#4791,#4790); +#4793=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4794=VECTOR('',#4793,1.576847855741E0); +#4795=CARTESIAN_POINT('',(2.72303875E1,-2.62509E0,-1.397E1)); +#4796=LINE('',#4795,#4794); +#4797=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#4798=VECTOR('',#4797,1.576847855741E0); +#4799=CARTESIAN_POINT('',(2.82448E1,-2.22631E0,-1.5494E1)); +#4800=LINE('',#4799,#4798); +#4801=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4802=VECTOR('',#4801,1.419225E0); +#4803=CARTESIAN_POINT('',(2.86496125E1,-2.22631E0,-1.397E1)); +#4804=LINE('',#4803,#4802); +#4805=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4806=VECTOR('',#4805,1.576847855741E0); +#4807=CARTESIAN_POINT('',(2.72303875E1,-2.22631E0,-1.397E1)); +#4808=LINE('',#4807,#4806); +#4809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4810=VECTOR('',#4809,3.175E0); +#4811=CARTESIAN_POINT('',(2.76352E1,-2.22631E0,-1.5494E1)); +#4812=LINE('',#4811,#4810); +#4813=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4814=VECTOR('',#4813,3.9878E-1); +#4815=CARTESIAN_POINT('',(2.82448E1,-2.22631E0,-1.5494E1)); +#4816=LINE('',#4815,#4814); +#4817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4818=VECTOR('',#4817,3.175E0); +#4819=CARTESIAN_POINT('',(2.82448E1,-2.22631E0,-1.5494E1)); +#4820=LINE('',#4819,#4818); +#4821=DIRECTION('',(-4.454478257185E-14,1.E0,1.380888259727E-13)); +#4822=VECTOR('',#4821,3.9878E-1); +#4823=CARTESIAN_POINT('',(2.76352E1,2.22631E0,-1.5494E1)); +#4824=LINE('',#4823,#4822); +#4825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4826=VECTOR('',#4825,3.175E0); +#4827=CARTESIAN_POINT('',(2.76352E1,2.22631E0,-1.5494E1)); +#4828=LINE('',#4827,#4826); +#4829=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4830=VECTOR('',#4829,1.576847855741E0); +#4831=CARTESIAN_POINT('',(3.11896125E1,2.62509E0,-1.397E1)); +#4832=LINE('',#4831,#4830); +#4833=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4834=VECTOR('',#4833,1.419225E0); +#4835=CARTESIAN_POINT('',(3.11896125E1,2.62509E0,-1.397E1)); +#4836=LINE('',#4835,#4834); +#4837=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#4838=VECTOR('',#4837,1.576847855741E0); +#4839=CARTESIAN_POINT('',(3.01752E1,2.62509E0,-1.5494E1)); +#4840=LINE('',#4839,#4838); +#4841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4842=VECTOR('',#4841,3.175E0); +#4843=CARTESIAN_POINT('',(3.01752E1,2.62509E0,-1.5494E1)); +#4844=LINE('',#4843,#4842); +#4845=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4846=VECTOR('',#4845,3.9878E-1); +#4847=CARTESIAN_POINT('',(3.07848E1,2.62509E0,-1.5494E1)); +#4848=LINE('',#4847,#4846); +#4849=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4850=VECTOR('',#4849,3.175E0); +#4851=CARTESIAN_POINT('',(3.07848E1,2.62509E0,-1.5494E1)); +#4852=LINE('',#4851,#4850); +#4853=DIRECTION('',(1.E0,0.E0,0.E0)); +#4854=VECTOR('',#4853,1.419225E0); +#4855=CARTESIAN_POINT('',(2.97703875E1,2.22631E0,-1.397E1)); +#4856=LINE('',#4855,#4854); +#4857=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4858=VECTOR('',#4857,1.576847855741E0); +#4859=CARTESIAN_POINT('',(3.11896125E1,2.22631E0,-1.397E1)); +#4860=LINE('',#4859,#4858); +#4861=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4862=VECTOR('',#4861,3.175E0); +#4863=CARTESIAN_POINT('',(3.07848E1,2.22631E0,-1.5494E1)); +#4864=LINE('',#4863,#4862); +#4865=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#4866=VECTOR('',#4865,1.576847855741E0); +#4867=CARTESIAN_POINT('',(3.01752E1,2.22631E0,-1.5494E1)); +#4868=LINE('',#4867,#4866); +#4869=DIRECTION('',(0.E0,1.E0,0.E0)); +#4870=VECTOR('',#4869,3.9878E-1); +#4871=CARTESIAN_POINT('',(3.01752E1,-2.62509E0,-1.8669E1)); +#4872=LINE('',#4871,#4870); +#4873=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4874=VECTOR('',#4873,6.096E-1); +#4875=CARTESIAN_POINT('',(3.07848E1,-2.62509E0,-1.8669E1)); +#4876=LINE('',#4875,#4874); +#4877=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4878=VECTOR('',#4877,3.9878E-1); +#4879=CARTESIAN_POINT('',(3.07848E1,-2.22631E0,-1.8669E1)); +#4880=LINE('',#4879,#4878); +#4881=DIRECTION('',(1.E0,0.E0,0.E0)); +#4882=VECTOR('',#4881,6.096E-1); +#4883=CARTESIAN_POINT('',(3.01752E1,-2.22631E0,-1.8669E1)); +#4884=LINE('',#4883,#4882); +#4885=DIRECTION('',(1.E0,0.E0,0.E0)); +#4886=VECTOR('',#4885,6.096E-1); +#4887=CARTESIAN_POINT('',(3.01752E1,2.62509E0,-1.8669E1)); +#4888=LINE('',#4887,#4886); +#4889=DIRECTION('',(2.672686954311E-14,1.E0,0.E0)); +#4890=VECTOR('',#4889,3.9878E-1); +#4891=CARTESIAN_POINT('',(3.01752E1,2.22631E0,-1.8669E1)); +#4892=LINE('',#4891,#4890); +#4893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4894=VECTOR('',#4893,6.096E-1); +#4895=CARTESIAN_POINT('',(3.07848E1,2.22631E0,-1.8669E1)); +#4896=LINE('',#4895,#4894); +#4897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4898=VECTOR('',#4897,3.9878E-1); +#4899=CARTESIAN_POINT('',(3.07848E1,2.62509E0,-1.8669E1)); +#4900=LINE('',#4899,#4898); +#4901=DIRECTION('',(0.E0,1.E0,0.E0)); +#4902=VECTOR('',#4901,3.9878E-1); +#4903=CARTESIAN_POINT('',(3.01752E1,-2.62509E0,-1.5494E1)); +#4904=LINE('',#4903,#4902); +#4905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4906=VECTOR('',#4905,3.175E0); +#4907=CARTESIAN_POINT('',(3.01752E1,-2.62509E0,-1.5494E1)); +#4908=LINE('',#4907,#4906); +#4909=DIRECTION('',(1.E0,0.E0,0.E0)); +#4910=VECTOR('',#4909,1.419225E0); +#4911=CARTESIAN_POINT('',(2.97703875E1,-2.62509E0,-1.397E1)); +#4912=LINE('',#4911,#4910); +#4913=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#4914=VECTOR('',#4913,1.576847855741E0); +#4915=CARTESIAN_POINT('',(3.07848E1,-2.62509E0,-1.5494E1)); +#4916=LINE('',#4915,#4914); +#4917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4918=VECTOR('',#4917,3.175E0); +#4919=CARTESIAN_POINT('',(3.07848E1,-2.62509E0,-1.5494E1)); +#4920=LINE('',#4919,#4918); +#4921=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4922=VECTOR('',#4921,1.576847855741E0); +#4923=CARTESIAN_POINT('',(2.97703875E1,-2.62509E0,-1.397E1)); +#4924=LINE('',#4923,#4922); +#4925=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#4926=VECTOR('',#4925,1.576847855741E0); +#4927=CARTESIAN_POINT('',(3.07848E1,-2.22631E0,-1.5494E1)); +#4928=LINE('',#4927,#4926); +#4929=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4930=VECTOR('',#4929,1.419225E0); +#4931=CARTESIAN_POINT('',(3.11896125E1,-2.22631E0,-1.397E1)); +#4932=LINE('',#4931,#4930); +#4933=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#4934=VECTOR('',#4933,1.576847855741E0); +#4935=CARTESIAN_POINT('',(2.97703875E1,-2.22631E0,-1.397E1)); +#4936=LINE('',#4935,#4934); +#4937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4938=VECTOR('',#4937,3.175E0); +#4939=CARTESIAN_POINT('',(3.01752E1,-2.22631E0,-1.5494E1)); +#4940=LINE('',#4939,#4938); +#4941=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4942=VECTOR('',#4941,3.9878E-1); +#4943=CARTESIAN_POINT('',(3.07848E1,-2.22631E0,-1.5494E1)); +#4944=LINE('',#4943,#4942); +#4945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4946=VECTOR('',#4945,3.175E0); +#4947=CARTESIAN_POINT('',(3.07848E1,-2.22631E0,-1.5494E1)); +#4948=LINE('',#4947,#4946); +#4949=DIRECTION('',(2.672686954311E-14,1.E0,-1.247253912012E-13)); +#4950=VECTOR('',#4949,3.9878E-1); +#4951=CARTESIAN_POINT('',(3.01752E1,2.22631E0,-1.5494E1)); +#4952=LINE('',#4951,#4950); +#4953=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4954=VECTOR('',#4953,3.175E0); +#4955=CARTESIAN_POINT('',(3.01752E1,2.22631E0,-1.5494E1)); +#4956=LINE('',#4955,#4954); +#4957=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4958=VECTOR('',#4957,1.576847855741E0); +#4959=CARTESIAN_POINT('',(3.37296125E1,2.62509E0,-1.397E1)); +#4960=LINE('',#4959,#4958); +#4961=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4962=VECTOR('',#4961,1.419225E0); +#4963=CARTESIAN_POINT('',(3.37296125E1,2.62509E0,-1.397E1)); +#4964=LINE('',#4963,#4962); +#4965=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#4966=VECTOR('',#4965,1.576847855741E0); +#4967=CARTESIAN_POINT('',(3.27152E1,2.62509E0,-1.5494E1)); +#4968=LINE('',#4967,#4966); +#4969=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4970=VECTOR('',#4969,3.175E0); +#4971=CARTESIAN_POINT('',(3.27152E1,2.62509E0,-1.5494E1)); +#4972=LINE('',#4971,#4970); +#4973=DIRECTION('',(0.E0,-1.E0,-2.227239128593E-14)); +#4974=VECTOR('',#4973,3.9878E-1); +#4975=CARTESIAN_POINT('',(3.33248E1,2.62509E0,-1.5494E1)); +#4976=LINE('',#4975,#4974); +#4977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4978=VECTOR('',#4977,3.175E0); +#4979=CARTESIAN_POINT('',(3.33248E1,2.62509E0,-1.5494E1)); +#4980=LINE('',#4979,#4978); +#4981=DIRECTION('',(1.E0,0.E0,0.E0)); +#4982=VECTOR('',#4981,1.419225E0); +#4983=CARTESIAN_POINT('',(3.23103875E1,2.22631E0,-1.397E1)); +#4984=LINE('',#4983,#4982); +#4985=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#4986=VECTOR('',#4985,1.576847855741E0); +#4987=CARTESIAN_POINT('',(3.37296125E1,2.22631E0,-1.397E1)); +#4988=LINE('',#4987,#4986); +#4989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4990=VECTOR('',#4989,3.175E0); +#4991=CARTESIAN_POINT('',(3.33248E1,2.22631E0,-1.5494E1)); +#4992=LINE('',#4991,#4990); +#4993=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#4994=VECTOR('',#4993,1.576847855741E0); +#4995=CARTESIAN_POINT('',(3.27152E1,2.22631E0,-1.5494E1)); +#4996=LINE('',#4995,#4994); +#4997=DIRECTION('',(0.E0,1.E0,0.E0)); +#4998=VECTOR('',#4997,3.9878E-1); +#4999=CARTESIAN_POINT('',(3.27152E1,-2.62509E0,-1.8669E1)); +#5000=LINE('',#4999,#4998); +#5001=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5002=VECTOR('',#5001,6.096E-1); +#5003=CARTESIAN_POINT('',(3.33248E1,-2.62509E0,-1.8669E1)); +#5004=LINE('',#5003,#5002); +#5005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5006=VECTOR('',#5005,3.9878E-1); +#5007=CARTESIAN_POINT('',(3.33248E1,-2.22631E0,-1.8669E1)); +#5008=LINE('',#5007,#5006); +#5009=DIRECTION('',(1.E0,0.E0,0.E0)); +#5010=VECTOR('',#5009,6.096E-1); +#5011=CARTESIAN_POINT('',(3.27152E1,-2.22631E0,-1.8669E1)); +#5012=LINE('',#5011,#5010); +#5013=DIRECTION('',(1.E0,0.E0,0.E0)); +#5014=VECTOR('',#5013,6.096E-1); +#5015=CARTESIAN_POINT('',(3.27152E1,2.62509E0,-1.8669E1)); +#5016=LINE('',#5015,#5014); +#5017=DIRECTION('',(-5.345373908622E-14,1.E0,0.E0)); +#5018=VECTOR('',#5017,3.9878E-1); +#5019=CARTESIAN_POINT('',(3.27152E1,2.22631E0,-1.8669E1)); +#5020=LINE('',#5019,#5018); +#5021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5022=VECTOR('',#5021,6.096E-1); +#5023=CARTESIAN_POINT('',(3.33248E1,2.22631E0,-1.8669E1)); +#5024=LINE('',#5023,#5022); +#5025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5026=VECTOR('',#5025,3.9878E-1); +#5027=CARTESIAN_POINT('',(3.33248E1,2.62509E0,-1.8669E1)); +#5028=LINE('',#5027,#5026); +#5029=DIRECTION('',(0.E0,1.E0,0.E0)); +#5030=VECTOR('',#5029,3.9878E-1); +#5031=CARTESIAN_POINT('',(3.27152E1,-2.62509E0,-1.5494E1)); +#5032=LINE('',#5031,#5030); +#5033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5034=VECTOR('',#5033,3.175E0); +#5035=CARTESIAN_POINT('',(3.27152E1,-2.62509E0,-1.5494E1)); +#5036=LINE('',#5035,#5034); +#5037=DIRECTION('',(1.E0,0.E0,0.E0)); +#5038=VECTOR('',#5037,1.419225E0); +#5039=CARTESIAN_POINT('',(3.23103875E1,-2.62509E0,-1.397E1)); +#5040=LINE('',#5039,#5038); +#5041=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#5042=VECTOR('',#5041,1.576847855741E0); +#5043=CARTESIAN_POINT('',(3.33248E1,-2.62509E0,-1.5494E1)); +#5044=LINE('',#5043,#5042); +#5045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5046=VECTOR('',#5045,3.175E0); +#5047=CARTESIAN_POINT('',(3.33248E1,-2.62509E0,-1.5494E1)); +#5048=LINE('',#5047,#5046); +#5049=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#5050=VECTOR('',#5049,1.576847855741E0); +#5051=CARTESIAN_POINT('',(3.23103875E1,-2.62509E0,-1.397E1)); +#5052=LINE('',#5051,#5050); +#5053=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#5054=VECTOR('',#5053,1.576847855741E0); +#5055=CARTESIAN_POINT('',(3.33248E1,-2.22631E0,-1.5494E1)); +#5056=LINE('',#5055,#5054); +#5057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5058=VECTOR('',#5057,1.419225E0); +#5059=CARTESIAN_POINT('',(3.37296125E1,-2.22631E0,-1.397E1)); +#5060=LINE('',#5059,#5058); +#5061=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#5062=VECTOR('',#5061,1.576847855741E0); +#5063=CARTESIAN_POINT('',(3.23103875E1,-2.22631E0,-1.397E1)); +#5064=LINE('',#5063,#5062); +#5065=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5066=VECTOR('',#5065,3.175E0); +#5067=CARTESIAN_POINT('',(3.27152E1,-2.22631E0,-1.5494E1)); +#5068=LINE('',#5067,#5066); +#5069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5070=VECTOR('',#5069,3.9878E-1); +#5071=CARTESIAN_POINT('',(3.33248E1,-2.22631E0,-1.5494E1)); +#5072=LINE('',#5071,#5070); +#5073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5074=VECTOR('',#5073,3.175E0); +#5075=CARTESIAN_POINT('',(3.33248E1,-2.22631E0,-1.5494E1)); +#5076=LINE('',#5075,#5074); +#5077=DIRECTION('',(-5.345373908622E-14,1.E0,1.425433042299E-13)); +#5078=VECTOR('',#5077,3.9878E-1); +#5079=CARTESIAN_POINT('',(3.27152E1,2.22631E0,-1.5494E1)); +#5080=LINE('',#5079,#5078); +#5081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5082=VECTOR('',#5081,3.175E0); +#5083=CARTESIAN_POINT('',(3.27152E1,2.22631E0,-1.5494E1)); +#5084=LINE('',#5083,#5082); +#5085=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#5086=VECTOR('',#5085,1.576847855741E0); +#5087=CARTESIAN_POINT('',(3.62696125E1,2.62509E0,-1.397E1)); +#5088=LINE('',#5087,#5086); +#5089=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5090=VECTOR('',#5089,1.419225E0); +#5091=CARTESIAN_POINT('',(3.62696125E1,2.62509E0,-1.397E1)); +#5092=LINE('',#5091,#5090); +#5093=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#5094=VECTOR('',#5093,1.576847855741E0); +#5095=CARTESIAN_POINT('',(3.52552E1,2.62509E0,-1.5494E1)); +#5096=LINE('',#5095,#5094); +#5097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5098=VECTOR('',#5097,3.175E0); +#5099=CARTESIAN_POINT('',(3.52552E1,2.62509E0,-1.5494E1)); +#5100=LINE('',#5099,#5098); +#5101=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5102=VECTOR('',#5101,3.9878E-1); +#5103=CARTESIAN_POINT('',(3.58648E1,2.62509E0,-1.5494E1)); +#5104=LINE('',#5103,#5102); +#5105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5106=VECTOR('',#5105,3.175E0); +#5107=CARTESIAN_POINT('',(3.58648E1,2.62509E0,-1.5494E1)); +#5108=LINE('',#5107,#5106); +#5109=DIRECTION('',(1.E0,0.E0,0.E0)); +#5110=VECTOR('',#5109,1.419225E0); +#5111=CARTESIAN_POINT('',(3.48503875E1,2.22631E0,-1.397E1)); +#5112=LINE('',#5111,#5110); +#5113=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#5114=VECTOR('',#5113,1.576847855741E0); +#5115=CARTESIAN_POINT('',(3.62696125E1,2.22631E0,-1.397E1)); +#5116=LINE('',#5115,#5114); +#5117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5118=VECTOR('',#5117,3.175E0); +#5119=CARTESIAN_POINT('',(3.58648E1,2.22631E0,-1.5494E1)); +#5120=LINE('',#5119,#5118); +#5121=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#5122=VECTOR('',#5121,1.576847855741E0); +#5123=CARTESIAN_POINT('',(3.52552E1,2.22631E0,-1.5494E1)); +#5124=LINE('',#5123,#5122); +#5125=DIRECTION('',(0.E0,1.E0,0.E0)); +#5126=VECTOR('',#5125,3.9878E-1); +#5127=CARTESIAN_POINT('',(3.52552E1,-2.62509E0,-1.8669E1)); +#5128=LINE('',#5127,#5126); +#5129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5130=VECTOR('',#5129,6.096E-1); +#5131=CARTESIAN_POINT('',(3.58648E1,-2.62509E0,-1.8669E1)); +#5132=LINE('',#5131,#5130); +#5133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5134=VECTOR('',#5133,3.9878E-1); +#5135=CARTESIAN_POINT('',(3.58648E1,-2.22631E0,-1.8669E1)); +#5136=LINE('',#5135,#5134); +#5137=DIRECTION('',(1.E0,0.E0,0.E0)); +#5138=VECTOR('',#5137,6.096E-1); +#5139=CARTESIAN_POINT('',(3.52552E1,-2.22631E0,-1.8669E1)); +#5140=LINE('',#5139,#5138); +#5141=DIRECTION('',(1.E0,0.E0,0.E0)); +#5142=VECTOR('',#5141,6.096E-1); +#5143=CARTESIAN_POINT('',(3.52552E1,2.62509E0,-1.8669E1)); +#5144=LINE('',#5143,#5142); +#5145=DIRECTION('',(1.781791302874E-14,1.E0,0.E0)); +#5146=VECTOR('',#5145,3.9878E-1); +#5147=CARTESIAN_POINT('',(3.52552E1,2.22631E0,-1.8669E1)); +#5148=LINE('',#5147,#5146); +#5149=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5150=VECTOR('',#5149,6.096E-1); +#5151=CARTESIAN_POINT('',(3.58648E1,2.22631E0,-1.8669E1)); +#5152=LINE('',#5151,#5150); +#5153=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5154=VECTOR('',#5153,3.9878E-1); +#5155=CARTESIAN_POINT('',(3.58648E1,2.62509E0,-1.8669E1)); +#5156=LINE('',#5155,#5154); +#5157=DIRECTION('',(0.E0,1.E0,0.E0)); +#5158=VECTOR('',#5157,3.9878E-1); +#5159=CARTESIAN_POINT('',(3.52552E1,-2.62509E0,-1.5494E1)); +#5160=LINE('',#5159,#5158); +#5161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5162=VECTOR('',#5161,3.175E0); +#5163=CARTESIAN_POINT('',(3.52552E1,-2.62509E0,-1.5494E1)); +#5164=LINE('',#5163,#5162); +#5165=DIRECTION('',(1.E0,0.E0,0.E0)); +#5166=VECTOR('',#5165,1.419225E0); +#5167=CARTESIAN_POINT('',(3.48503875E1,-2.62509E0,-1.397E1)); +#5168=LINE('',#5167,#5166); +#5169=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#5170=VECTOR('',#5169,1.576847855741E0); +#5171=CARTESIAN_POINT('',(3.58648E1,-2.62509E0,-1.5494E1)); +#5172=LINE('',#5171,#5170); +#5173=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5174=VECTOR('',#5173,3.175E0); +#5175=CARTESIAN_POINT('',(3.58648E1,-2.62509E0,-1.5494E1)); +#5176=LINE('',#5175,#5174); +#5177=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#5178=VECTOR('',#5177,1.576847855741E0); +#5179=CARTESIAN_POINT('',(3.48503875E1,-2.62509E0,-1.397E1)); +#5180=LINE('',#5179,#5178); +#5181=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#5182=VECTOR('',#5181,1.576847855741E0); +#5183=CARTESIAN_POINT('',(3.58648E1,-2.22631E0,-1.5494E1)); +#5184=LINE('',#5183,#5182); +#5185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5186=VECTOR('',#5185,1.419225E0); +#5187=CARTESIAN_POINT('',(3.62696125E1,-2.22631E0,-1.397E1)); +#5188=LINE('',#5187,#5186); +#5189=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#5190=VECTOR('',#5189,1.576847855741E0); +#5191=CARTESIAN_POINT('',(3.48503875E1,-2.22631E0,-1.397E1)); +#5192=LINE('',#5191,#5190); +#5193=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5194=VECTOR('',#5193,3.175E0); +#5195=CARTESIAN_POINT('',(3.52552E1,-2.22631E0,-1.5494E1)); +#5196=LINE('',#5195,#5194); +#5197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5198=VECTOR('',#5197,3.9878E-1); +#5199=CARTESIAN_POINT('',(3.58648E1,-2.22631E0,-1.5494E1)); +#5200=LINE('',#5199,#5198); +#5201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5202=VECTOR('',#5201,3.175E0); +#5203=CARTESIAN_POINT('',(3.58648E1,-2.22631E0,-1.5494E1)); +#5204=LINE('',#5203,#5202); +#5205=DIRECTION('',(1.781791302874E-14,1.E0,-1.158164346868E-13)); +#5206=VECTOR('',#5205,3.9878E-1); +#5207=CARTESIAN_POINT('',(3.52552E1,2.22631E0,-1.5494E1)); +#5208=LINE('',#5207,#5206); +#5209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5210=VECTOR('',#5209,3.175E0); +#5211=CARTESIAN_POINT('',(3.52552E1,2.22631E0,-1.5494E1)); +#5212=LINE('',#5211,#5210); +#5213=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#5214=VECTOR('',#5213,1.576847855741E0); +#5215=CARTESIAN_POINT('',(3.88096125E1,2.62509E0,-1.397E1)); +#5216=LINE('',#5215,#5214); +#5217=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5218=VECTOR('',#5217,1.419225E0); +#5219=CARTESIAN_POINT('',(3.88096125E1,2.62509E0,-1.397E1)); +#5220=LINE('',#5219,#5218); +#5221=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#5222=VECTOR('',#5221,1.576847855741E0); +#5223=CARTESIAN_POINT('',(3.77952E1,2.62509E0,-1.5494E1)); +#5224=LINE('',#5223,#5222); +#5225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5226=VECTOR('',#5225,3.175E0); +#5227=CARTESIAN_POINT('',(3.77952E1,2.62509E0,-1.5494E1)); +#5228=LINE('',#5227,#5226); +#5229=DIRECTION('',(-5.345373908622E-14,-1.E0,-1.514522607443E-13)); +#5230=VECTOR('',#5229,3.9878E-1); +#5231=CARTESIAN_POINT('',(3.84048E1,2.62509E0,-1.5494E1)); +#5232=LINE('',#5231,#5230); +#5233=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5234=VECTOR('',#5233,3.175E0); +#5235=CARTESIAN_POINT('',(3.84048E1,2.62509E0,-1.5494E1)); +#5236=LINE('',#5235,#5234); +#5237=DIRECTION('',(1.E0,0.E0,0.E0)); +#5238=VECTOR('',#5237,1.419225E0); +#5239=CARTESIAN_POINT('',(3.73903875E1,2.22631E0,-1.397E1)); +#5240=LINE('',#5239,#5238); +#5241=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#5242=VECTOR('',#5241,1.576847855741E0); +#5243=CARTESIAN_POINT('',(3.88096125E1,2.22631E0,-1.397E1)); +#5244=LINE('',#5243,#5242); +#5245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5246=VECTOR('',#5245,3.175E0); +#5247=CARTESIAN_POINT('',(3.84048E1,2.22631E0,-1.5494E1)); +#5248=LINE('',#5247,#5246); +#5249=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#5250=VECTOR('',#5249,1.576847855741E0); +#5251=CARTESIAN_POINT('',(3.77952E1,2.22631E0,-1.5494E1)); +#5252=LINE('',#5251,#5250); +#5253=DIRECTION('',(0.E0,1.E0,0.E0)); +#5254=VECTOR('',#5253,3.9878E-1); +#5255=CARTESIAN_POINT('',(3.77952E1,-2.62509E0,-1.8669E1)); +#5256=LINE('',#5255,#5254); +#5257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5258=VECTOR('',#5257,6.096E-1); +#5259=CARTESIAN_POINT('',(3.84048E1,-2.62509E0,-1.8669E1)); +#5260=LINE('',#5259,#5258); +#5261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5262=VECTOR('',#5261,3.9878E-1); +#5263=CARTESIAN_POINT('',(3.84048E1,-2.22631E0,-1.8669E1)); +#5264=LINE('',#5263,#5262); +#5265=DIRECTION('',(1.E0,0.E0,0.E0)); +#5266=VECTOR('',#5265,6.096E-1); +#5267=CARTESIAN_POINT('',(3.77952E1,-2.22631E0,-1.8669E1)); +#5268=LINE('',#5267,#5266); +#5269=DIRECTION('',(1.E0,0.E0,0.E0)); +#5270=VECTOR('',#5269,6.096E-1); +#5271=CARTESIAN_POINT('',(3.77952E1,2.62509E0,-1.8669E1)); +#5272=LINE('',#5271,#5270); +#5273=DIRECTION('',(0.E0,1.E0,0.E0)); +#5274=VECTOR('',#5273,3.9878E-1); +#5275=CARTESIAN_POINT('',(3.77952E1,2.22631E0,-1.8669E1)); +#5276=LINE('',#5275,#5274); +#5277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5278=VECTOR('',#5277,6.096E-1); +#5279=CARTESIAN_POINT('',(3.84048E1,2.22631E0,-1.8669E1)); +#5280=LINE('',#5279,#5278); +#5281=DIRECTION('',(-5.345373908622E-14,-1.E0,0.E0)); +#5282=VECTOR('',#5281,3.9878E-1); +#5283=CARTESIAN_POINT('',(3.84048E1,2.62509E0,-1.8669E1)); +#5284=LINE('',#5283,#5282); +#5285=DIRECTION('',(0.E0,1.E0,0.E0)); +#5286=VECTOR('',#5285,3.9878E-1); +#5287=CARTESIAN_POINT('',(3.77952E1,-2.62509E0,-1.5494E1)); +#5288=LINE('',#5287,#5286); +#5289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5290=VECTOR('',#5289,3.175E0); +#5291=CARTESIAN_POINT('',(3.77952E1,-2.62509E0,-1.5494E1)); +#5292=LINE('',#5291,#5290); +#5293=DIRECTION('',(1.E0,0.E0,0.E0)); +#5294=VECTOR('',#5293,1.419225E0); +#5295=CARTESIAN_POINT('',(3.73903875E1,-2.62509E0,-1.397E1)); +#5296=LINE('',#5295,#5294); +#5297=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#5298=VECTOR('',#5297,1.576847855741E0); +#5299=CARTESIAN_POINT('',(3.84048E1,-2.62509E0,-1.5494E1)); +#5300=LINE('',#5299,#5298); +#5301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5302=VECTOR('',#5301,3.175E0); +#5303=CARTESIAN_POINT('',(3.84048E1,-2.62509E0,-1.5494E1)); +#5304=LINE('',#5303,#5302); +#5305=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#5306=VECTOR('',#5305,1.576847855741E0); +#5307=CARTESIAN_POINT('',(3.73903875E1,-2.62509E0,-1.397E1)); +#5308=LINE('',#5307,#5306); +#5309=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#5310=VECTOR('',#5309,1.576847855741E0); +#5311=CARTESIAN_POINT('',(3.84048E1,-2.22631E0,-1.5494E1)); +#5312=LINE('',#5311,#5310); +#5313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5314=VECTOR('',#5313,1.419225E0); +#5315=CARTESIAN_POINT('',(3.88096125E1,-2.22631E0,-1.397E1)); +#5316=LINE('',#5315,#5314); +#5317=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#5318=VECTOR('',#5317,1.576847855741E0); +#5319=CARTESIAN_POINT('',(3.73903875E1,-2.22631E0,-1.397E1)); +#5320=LINE('',#5319,#5318); +#5321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5322=VECTOR('',#5321,3.175E0); +#5323=CARTESIAN_POINT('',(3.77952E1,-2.22631E0,-1.5494E1)); +#5324=LINE('',#5323,#5322); +#5325=DIRECTION('',(0.E0,-1.E0,2.227239128593E-14)); +#5326=VECTOR('',#5325,3.9878E-1); +#5327=CARTESIAN_POINT('',(3.84048E1,-2.22631E0,-1.5494E1)); +#5328=LINE('',#5327,#5326); +#5329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5330=VECTOR('',#5329,3.175E0); +#5331=CARTESIAN_POINT('',(3.84048E1,-2.22631E0,-1.5494E1)); +#5332=LINE('',#5331,#5330); +#5333=DIRECTION('',(0.E0,1.E0,0.E0)); +#5334=VECTOR('',#5333,3.9878E-1); +#5335=CARTESIAN_POINT('',(3.77952E1,2.22631E0,-1.5494E1)); +#5336=LINE('',#5335,#5334); +#5337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5338=VECTOR('',#5337,3.175E0); +#5339=CARTESIAN_POINT('',(3.77952E1,2.22631E0,-1.5494E1)); +#5340=LINE('',#5339,#5338); +#5341=CARTESIAN_POINT('',(-4.191E1,-3.048E0,-8.89E0)); +#5342=DIRECTION('',(0.E0,0.E0,1.E0)); +#5343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5344=AXIS2_PLACEMENT_3D('',#5341,#5342,#5343); +#5346=DIRECTION('',(0.E0,0.E0,1.E0)); +#5347=VECTOR('',#5346,8.89E0); +#5348=CARTESIAN_POINT('',(-4.191E1,-4.6736E0,-8.89E0)); +#5349=LINE('',#5348,#5347); +#5350=DIRECTION('',(1.E0,0.E0,0.E0)); +#5351=VECTOR('',#5350,8.382E1); +#5352=CARTESIAN_POINT('',(-4.191E1,-4.6736E0,0.E0)); +#5353=LINE('',#5352,#5351); +#5354=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5355=VECTOR('',#5354,8.89E0); +#5356=CARTESIAN_POINT('',(4.191E1,-4.6736E0,0.E0)); +#5357=LINE('',#5356,#5355); +#5358=DIRECTION('',(1.E0,0.E0,0.E0)); +#5359=VECTOR('',#5358,8.4328E1); +#5360=CARTESIAN_POINT('',(-4.2164E1,2.5146E0,0.E0)); +#5361=LINE('',#5360,#5359); +#5362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5363=VECTOR('',#5362,8.4328E1); +#5364=CARTESIAN_POINT('',(4.2164E1,-2.5146E0,0.E0)); +#5365=LINE('',#5364,#5363); +#5366=CARTESIAN_POINT('',(-4.191E1,-3.9116E0,0.E0)); +#5367=DIRECTION('',(0.E0,0.E0,1.E0)); +#5368=DIRECTION('',(-1.E0,-4.545795061457E-14,0.E0)); +#5369=AXIS2_PLACEMENT_3D('',#5366,#5367,#5368); +#5371=CARTESIAN_POINT('',(-4.191E1,3.9116E0,0.E0)); +#5372=DIRECTION('',(0.E0,0.E0,1.E0)); +#5373=DIRECTION('',(0.E0,1.E0,0.E0)); +#5374=AXIS2_PLACEMENT_3D('',#5371,#5372,#5373); +#5376=DIRECTION('',(1.E0,0.E0,0.E0)); +#5377=VECTOR('',#5376,8.382E1); +#5378=CARTESIAN_POINT('',(-4.191E1,4.6736E0,0.E0)); +#5379=LINE('',#5378,#5377); +#5380=CARTESIAN_POINT('',(4.191E1,3.9116E0,0.E0)); +#5381=DIRECTION('',(0.E0,0.E0,1.E0)); +#5382=DIRECTION('',(1.E0,0.E0,0.E0)); +#5383=AXIS2_PLACEMENT_3D('',#5380,#5381,#5382); +#5385=CARTESIAN_POINT('',(4.191E1,-3.9116E0,0.E0)); +#5386=DIRECTION('',(0.E0,0.E0,1.E0)); +#5387=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5388=AXIS2_PLACEMENT_3D('',#5385,#5386,#5387); +#5390=CARTESIAN_POINT('',(-4.064E1,9.906E-1,-1.524E0)); +#5391=CARTESIAN_POINT('',(-4.074840128061E1,9.906E-1,-1.415598719395E0)); +#5392=CARTESIAN_POINT('',(-4.094931719276E1,1.013067114552E0, +-1.214682807237E0)); +#5393=CARTESIAN_POINT('',(-4.130544035941E1,1.122643147248E0, +-8.585596405909E-1)); +#5394=CARTESIAN_POINT('',(-4.160514348451E1,1.312009167893E0, +-5.588565154937E-1)); +#5395=CARTESIAN_POINT('',(-4.185171508910E1,1.576514505424E0, +-3.122849109010E-1)); +#5396=CARTESIAN_POINT('',(-4.200620011712E1,1.817947367251E0, +-1.577998828836E-1)); +#5397=CARTESIAN_POINT('',(-4.210371321011E1,2.063299431651E0, +-6.028678988545E-2)); +#5398=CARTESIAN_POINT('',(-4.215290936601E1,2.300330683190E0, +-1.109063399180E-2)); +#5399=CARTESIAN_POINT('',(-4.2164E1,2.437948719395E0,0.E0)); +#5400=CARTESIAN_POINT('',(-4.2164E1,2.5146E0,0.E0)); +#5402=CARTESIAN_POINT('',(4.2164E1,2.5146E0,0.E0)); +#5403=CARTESIAN_POINT('',(4.2164E1,2.437948719395E0,0.E0)); +#5404=CARTESIAN_POINT('',(4.215290936601E1,2.300330683190E0, +-1.109063399180E-2)); +#5405=CARTESIAN_POINT('',(4.210371321011E1,2.063299431651E0, +-6.028678988545E-2)); +#5406=CARTESIAN_POINT('',(4.200620011712E1,1.817947367251E0, +-1.577998828836E-1)); +#5407=CARTESIAN_POINT('',(4.185171508910E1,1.576514505424E0, +-3.122849109010E-1)); +#5408=CARTESIAN_POINT('',(4.160514348451E1,1.312009167893E0, +-5.588565154937E-1)); +#5409=CARTESIAN_POINT('',(4.130544035941E1,1.122643147248E0, +-8.585596405909E-1)); +#5410=CARTESIAN_POINT('',(4.094931719276E1,1.013067114552E0,-1.214682807237E0)); +#5411=CARTESIAN_POINT('',(4.074840128061E1,9.906E-1,-1.415598719395E0)); +#5412=CARTESIAN_POINT('',(4.064E1,9.906E-1,-1.524E0)); +#5414=DIRECTION('',(1.E0,0.E0,0.E0)); +#5415=VECTOR('',#5414,8.128E1); +#5416=CARTESIAN_POINT('',(-4.064E1,9.906E-1,-1.524E0)); +#5417=LINE('',#5416,#5415); +#5418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5419=VECTOR('',#5418,5.969E0); +#5420=CARTESIAN_POINT('',(4.064E1,9.906E-1,-1.524E0)); +#5421=LINE('',#5420,#5419); +#5422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5423=VECTOR('',#5422,8.128E1); +#5424=CARTESIAN_POINT('',(4.064E1,9.906E-1,-7.493E0)); +#5425=LINE('',#5424,#5423); +#5426=DIRECTION('',(0.E0,0.E0,1.E0)); +#5427=VECTOR('',#5426,5.969E0); +#5428=CARTESIAN_POINT('',(-4.064E1,9.906E-1,-7.493E0)); +#5429=LINE('',#5428,#5427); +#5430=DIRECTION('',(0.E0,0.E0,1.E0)); +#5431=VECTOR('',#5430,3.203220978952E0); +#5432=CARTESIAN_POINT('',(-3.883025E1,9.906E-1,-5.157610489476E0)); +#5433=LINE('',#5432,#5431); +#5434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5435=VECTOR('',#5434,1.4605E0); +#5436=CARTESIAN_POINT('',(-3.736975E1,9.906E-1,-5.157610489476E0)); +#5437=LINE('',#5436,#5435); +#5438=DIRECTION('',(0.E0,0.E0,1.E0)); +#5439=VECTOR('',#5438,3.203220978952E0); +#5440=CARTESIAN_POINT('',(-3.736975E1,9.906E-1,-5.157610489476E0)); +#5441=LINE('',#5440,#5439); +#5442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5443=VECTOR('',#5442,1.4605E0); +#5444=CARTESIAN_POINT('',(-3.736975E1,9.906E-1,-1.954389510524E0)); +#5445=LINE('',#5444,#5443); +#5446=DIRECTION('',(0.E0,0.E0,1.E0)); +#5447=VECTOR('',#5446,3.203220978952E0); +#5448=CARTESIAN_POINT('',(-3.629025E1,9.906E-1,-5.157610489476E0)); +#5449=LINE('',#5448,#5447); +#5450=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5451=VECTOR('',#5450,1.4605E0); +#5452=CARTESIAN_POINT('',(-3.482975E1,9.906E-1,-5.157610489476E0)); +#5453=LINE('',#5452,#5451); +#5454=DIRECTION('',(0.E0,0.E0,1.E0)); +#5455=VECTOR('',#5454,3.203220978952E0); +#5456=CARTESIAN_POINT('',(-3.482975E1,9.906E-1,-5.157610489476E0)); +#5457=LINE('',#5456,#5455); +#5458=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5459=VECTOR('',#5458,1.4605E0); +#5460=CARTESIAN_POINT('',(-3.482975E1,9.906E-1,-1.954389510524E0)); +#5461=LINE('',#5460,#5459); +#5462=DIRECTION('',(0.E0,0.E0,1.E0)); +#5463=VECTOR('',#5462,3.203220978952E0); +#5464=CARTESIAN_POINT('',(-3.375025E1,9.906E-1,-5.157610489476E0)); +#5465=LINE('',#5464,#5463); +#5466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5467=VECTOR('',#5466,1.4605E0); +#5468=CARTESIAN_POINT('',(-3.228975E1,9.906E-1,-5.157610489476E0)); +#5469=LINE('',#5468,#5467); +#5470=DIRECTION('',(0.E0,0.E0,1.E0)); +#5471=VECTOR('',#5470,3.203220978952E0); +#5472=CARTESIAN_POINT('',(-3.228975E1,9.906E-1,-5.157610489476E0)); +#5473=LINE('',#5472,#5471); +#5474=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5475=VECTOR('',#5474,1.4605E0); +#5476=CARTESIAN_POINT('',(-3.228975E1,9.906E-1,-1.954389510524E0)); +#5477=LINE('',#5476,#5475); +#5478=DIRECTION('',(0.E0,0.E0,1.E0)); +#5479=VECTOR('',#5478,3.203220978952E0); +#5480=CARTESIAN_POINT('',(-3.121025E1,9.906E-1,-5.157610489476E0)); +#5481=LINE('',#5480,#5479); +#5482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5483=VECTOR('',#5482,1.4605E0); +#5484=CARTESIAN_POINT('',(-2.974975E1,9.906E-1,-5.157610489476E0)); +#5485=LINE('',#5484,#5483); +#5486=DIRECTION('',(0.E0,0.E0,1.E0)); +#5487=VECTOR('',#5486,3.203220978952E0); +#5488=CARTESIAN_POINT('',(-2.974975E1,9.906E-1,-5.157610489476E0)); +#5489=LINE('',#5488,#5487); +#5490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5491=VECTOR('',#5490,1.4605E0); +#5492=CARTESIAN_POINT('',(-2.974975E1,9.906E-1,-1.954389510524E0)); +#5493=LINE('',#5492,#5491); +#5494=DIRECTION('',(0.E0,0.E0,1.E0)); +#5495=VECTOR('',#5494,3.203220978952E0); +#5496=CARTESIAN_POINT('',(-2.867025E1,9.906E-1,-5.157610489476E0)); +#5497=LINE('',#5496,#5495); +#5498=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5499=VECTOR('',#5498,1.4605E0); +#5500=CARTESIAN_POINT('',(-2.720975E1,9.906E-1,-5.157610489476E0)); +#5501=LINE('',#5500,#5499); +#5502=DIRECTION('',(0.E0,0.E0,1.E0)); +#5503=VECTOR('',#5502,3.203220978952E0); +#5504=CARTESIAN_POINT('',(-2.720975E1,9.906E-1,-5.157610489476E0)); +#5505=LINE('',#5504,#5503); +#5506=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5507=VECTOR('',#5506,1.4605E0); +#5508=CARTESIAN_POINT('',(-2.720975E1,9.906E-1,-1.954389510524E0)); +#5509=LINE('',#5508,#5507); +#5510=DIRECTION('',(0.E0,0.E0,1.E0)); +#5511=VECTOR('',#5510,3.203220978952E0); +#5512=CARTESIAN_POINT('',(-2.613025E1,9.906E-1,-5.157610489476E0)); +#5513=LINE('',#5512,#5511); +#5514=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5515=VECTOR('',#5514,1.4605E0); +#5516=CARTESIAN_POINT('',(-2.466975E1,9.906E-1,-5.157610489476E0)); +#5517=LINE('',#5516,#5515); +#5518=DIRECTION('',(0.E0,0.E0,1.E0)); +#5519=VECTOR('',#5518,3.203220978952E0); +#5520=CARTESIAN_POINT('',(-2.466975E1,9.906E-1,-5.157610489476E0)); +#5521=LINE('',#5520,#5519); +#5522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5523=VECTOR('',#5522,1.4605E0); +#5524=CARTESIAN_POINT('',(-2.466975E1,9.906E-1,-1.954389510524E0)); +#5525=LINE('',#5524,#5523); +#5526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5527=VECTOR('',#5526,3.203220978952E0); +#5528=CARTESIAN_POINT('',(-2.359025E1,9.906E-1,-1.954389510524E0)); +#5529=LINE('',#5528,#5527); +#5530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5531=VECTOR('',#5530,1.4605E0); +#5532=CARTESIAN_POINT('',(-2.212975E1,9.906E-1,-5.157610489476E0)); +#5533=LINE('',#5532,#5531); +#5534=DIRECTION('',(0.E0,0.E0,1.E0)); +#5535=VECTOR('',#5534,3.203220978952E0); +#5536=CARTESIAN_POINT('',(-2.212975E1,9.906E-1,-5.157610489476E0)); +#5537=LINE('',#5536,#5535); +#5538=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5539=VECTOR('',#5538,1.4605E0); +#5540=CARTESIAN_POINT('',(-2.212975E1,9.906E-1,-1.954389510524E0)); +#5541=LINE('',#5540,#5539); +#5542=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5543=VECTOR('',#5542,3.203220978952E0); +#5544=CARTESIAN_POINT('',(-2.105025E1,9.906E-1,-1.954389510524E0)); +#5545=LINE('',#5544,#5543); +#5546=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5547=VECTOR('',#5546,1.4605E0); +#5548=CARTESIAN_POINT('',(-1.958975E1,9.906E-1,-5.157610489476E0)); +#5549=LINE('',#5548,#5547); +#5550=DIRECTION('',(0.E0,0.E0,1.E0)); +#5551=VECTOR('',#5550,3.203220978952E0); +#5552=CARTESIAN_POINT('',(-1.958975E1,9.906E-1,-5.157610489476E0)); +#5553=LINE('',#5552,#5551); +#5554=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5555=VECTOR('',#5554,1.4605E0); +#5556=CARTESIAN_POINT('',(-1.958975E1,9.906E-1,-1.954389510524E0)); +#5557=LINE('',#5556,#5555); +#5558=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5559=VECTOR('',#5558,3.203220978952E0); +#5560=CARTESIAN_POINT('',(-1.851025E1,9.906E-1,-1.954389510524E0)); +#5561=LINE('',#5560,#5559); +#5562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5563=VECTOR('',#5562,1.4605E0); +#5564=CARTESIAN_POINT('',(-1.704975E1,9.906E-1,-5.157610489476E0)); +#5565=LINE('',#5564,#5563); +#5566=DIRECTION('',(0.E0,0.E0,1.E0)); +#5567=VECTOR('',#5566,3.203220978952E0); +#5568=CARTESIAN_POINT('',(-1.704975E1,9.906E-1,-5.157610489476E0)); +#5569=LINE('',#5568,#5567); +#5570=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5571=VECTOR('',#5570,1.4605E0); +#5572=CARTESIAN_POINT('',(-1.704975E1,9.906E-1,-1.954389510524E0)); +#5573=LINE('',#5572,#5571); +#5574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5575=VECTOR('',#5574,3.203220978952E0); +#5576=CARTESIAN_POINT('',(-1.597025E1,9.906E-1,-1.954389510524E0)); +#5577=LINE('',#5576,#5575); +#5578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5579=VECTOR('',#5578,1.4605E0); +#5580=CARTESIAN_POINT('',(-1.450975E1,9.906E-1,-5.157610489476E0)); +#5581=LINE('',#5580,#5579); +#5582=DIRECTION('',(0.E0,0.E0,1.E0)); +#5583=VECTOR('',#5582,3.203220978952E0); +#5584=CARTESIAN_POINT('',(-1.450975E1,9.906E-1,-5.157610489476E0)); +#5585=LINE('',#5584,#5583); +#5586=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5587=VECTOR('',#5586,1.4605E0); +#5588=CARTESIAN_POINT('',(-1.450975E1,9.906E-1,-1.954389510524E0)); +#5589=LINE('',#5588,#5587); +#5590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5591=VECTOR('',#5590,3.203220978952E0); +#5592=CARTESIAN_POINT('',(-1.343025E1,9.906E-1,-1.954389510524E0)); +#5593=LINE('',#5592,#5591); +#5594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5595=VECTOR('',#5594,1.4605E0); +#5596=CARTESIAN_POINT('',(-1.196975E1,9.906E-1,-5.157610489476E0)); +#5597=LINE('',#5596,#5595); +#5598=DIRECTION('',(0.E0,0.E0,1.E0)); +#5599=VECTOR('',#5598,3.203220978952E0); +#5600=CARTESIAN_POINT('',(-1.196975E1,9.906E-1,-5.157610489476E0)); +#5601=LINE('',#5600,#5599); +#5602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5603=VECTOR('',#5602,1.4605E0); +#5604=CARTESIAN_POINT('',(-1.196975E1,9.906E-1,-1.954389510524E0)); +#5605=LINE('',#5604,#5603); +#5606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5607=VECTOR('',#5606,3.203220978952E0); +#5608=CARTESIAN_POINT('',(-1.089025E1,9.906E-1,-1.954389510524E0)); +#5609=LINE('',#5608,#5607); +#5610=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5611=VECTOR('',#5610,1.4605E0); +#5612=CARTESIAN_POINT('',(-9.42975E0,9.906E-1,-5.157610489476E0)); +#5613=LINE('',#5612,#5611); +#5614=DIRECTION('',(0.E0,0.E0,1.E0)); +#5615=VECTOR('',#5614,3.203220978952E0); +#5616=CARTESIAN_POINT('',(-9.42975E0,9.906E-1,-5.157610489476E0)); +#5617=LINE('',#5616,#5615); +#5618=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5619=VECTOR('',#5618,1.4605E0); +#5620=CARTESIAN_POINT('',(-9.42975E0,9.906E-1,-1.954389510524E0)); +#5621=LINE('',#5620,#5619); +#5622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5623=VECTOR('',#5622,3.203220978952E0); +#5624=CARTESIAN_POINT('',(-8.35025E0,9.906E-1,-1.954389510524E0)); +#5625=LINE('',#5624,#5623); +#5626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5627=VECTOR('',#5626,1.4605E0); +#5628=CARTESIAN_POINT('',(-6.88975E0,9.906E-1,-5.157610489476E0)); +#5629=LINE('',#5628,#5627); +#5630=DIRECTION('',(0.E0,0.E0,1.E0)); +#5631=VECTOR('',#5630,3.203220978952E0); +#5632=CARTESIAN_POINT('',(-6.88975E0,9.906E-1,-5.157610489476E0)); +#5633=LINE('',#5632,#5631); +#5634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5635=VECTOR('',#5634,1.4605E0); +#5636=CARTESIAN_POINT('',(-6.88975E0,9.906E-1,-1.954389510524E0)); +#5637=LINE('',#5636,#5635); +#5638=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5639=VECTOR('',#5638,3.203220978952E0); +#5640=CARTESIAN_POINT('',(-5.81025E0,9.906E-1,-1.954389510524E0)); +#5641=LINE('',#5640,#5639); +#5642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5643=VECTOR('',#5642,1.4605E0); +#5644=CARTESIAN_POINT('',(-4.34975E0,9.906E-1,-5.157610489476E0)); +#5645=LINE('',#5644,#5643); +#5646=DIRECTION('',(0.E0,0.E0,1.E0)); +#5647=VECTOR('',#5646,3.203220978952E0); +#5648=CARTESIAN_POINT('',(-4.34975E0,9.906E-1,-5.157610489476E0)); +#5649=LINE('',#5648,#5647); +#5650=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5651=VECTOR('',#5650,1.4605E0); +#5652=CARTESIAN_POINT('',(-4.34975E0,9.906E-1,-1.954389510524E0)); +#5653=LINE('',#5652,#5651); +#5654=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5655=VECTOR('',#5654,3.203220978952E0); +#5656=CARTESIAN_POINT('',(-3.27025E0,9.906E-1,-1.954389510524E0)); +#5657=LINE('',#5656,#5655); +#5658=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5659=VECTOR('',#5658,1.4605E0); +#5660=CARTESIAN_POINT('',(-1.80975E0,9.906E-1,-5.157610489476E0)); +#5661=LINE('',#5660,#5659); +#5662=DIRECTION('',(0.E0,0.E0,1.E0)); +#5663=VECTOR('',#5662,3.203220978952E0); +#5664=CARTESIAN_POINT('',(-1.80975E0,9.906E-1,-5.157610489476E0)); +#5665=LINE('',#5664,#5663); +#5666=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5667=VECTOR('',#5666,1.4605E0); +#5668=CARTESIAN_POINT('',(-1.80975E0,9.906E-1,-1.954389510524E0)); +#5669=LINE('',#5668,#5667); +#5670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5671=VECTOR('',#5670,3.203220978952E0); +#5672=CARTESIAN_POINT('',(-7.3025E-1,9.906E-1,-1.954389510524E0)); +#5673=LINE('',#5672,#5671); +#5674=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5675=VECTOR('',#5674,1.4605E0); +#5676=CARTESIAN_POINT('',(7.3025E-1,9.906E-1,-5.157610489476E0)); +#5677=LINE('',#5676,#5675); +#5678=DIRECTION('',(0.E0,0.E0,1.E0)); +#5679=VECTOR('',#5678,3.203220978952E0); +#5680=CARTESIAN_POINT('',(7.3025E-1,9.906E-1,-5.157610489476E0)); +#5681=LINE('',#5680,#5679); +#5682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5683=VECTOR('',#5682,1.4605E0); +#5684=CARTESIAN_POINT('',(7.3025E-1,9.906E-1,-1.954389510524E0)); +#5685=LINE('',#5684,#5683); +#5686=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5687=VECTOR('',#5686,3.203220978952E0); +#5688=CARTESIAN_POINT('',(1.80975E0,9.906E-1,-1.954389510524E0)); +#5689=LINE('',#5688,#5687); +#5690=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5691=VECTOR('',#5690,1.4605E0); +#5692=CARTESIAN_POINT('',(3.27025E0,9.906E-1,-5.157610489476E0)); +#5693=LINE('',#5692,#5691); +#5694=DIRECTION('',(0.E0,0.E0,1.E0)); +#5695=VECTOR('',#5694,3.203220978952E0); +#5696=CARTESIAN_POINT('',(3.27025E0,9.906E-1,-5.157610489476E0)); +#5697=LINE('',#5696,#5695); +#5698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5699=VECTOR('',#5698,1.4605E0); +#5700=CARTESIAN_POINT('',(3.27025E0,9.906E-1,-1.954389510524E0)); +#5701=LINE('',#5700,#5699); +#5702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5703=VECTOR('',#5702,3.203220978952E0); +#5704=CARTESIAN_POINT('',(4.34975E0,9.906E-1,-1.954389510524E0)); +#5705=LINE('',#5704,#5703); +#5706=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5707=VECTOR('',#5706,1.4605E0); +#5708=CARTESIAN_POINT('',(5.81025E0,9.906E-1,-5.157610489476E0)); +#5709=LINE('',#5708,#5707); +#5710=DIRECTION('',(0.E0,0.E0,1.E0)); +#5711=VECTOR('',#5710,3.203220978952E0); +#5712=CARTESIAN_POINT('',(5.81025E0,9.906E-1,-5.157610489476E0)); +#5713=LINE('',#5712,#5711); +#5714=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5715=VECTOR('',#5714,1.4605E0); +#5716=CARTESIAN_POINT('',(5.81025E0,9.906E-1,-1.954389510524E0)); +#5717=LINE('',#5716,#5715); +#5718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5719=VECTOR('',#5718,3.203220978952E0); +#5720=CARTESIAN_POINT('',(6.88975E0,9.906E-1,-1.954389510524E0)); +#5721=LINE('',#5720,#5719); +#5722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5723=VECTOR('',#5722,1.4605E0); +#5724=CARTESIAN_POINT('',(8.35025E0,9.906E-1,-5.157610489476E0)); +#5725=LINE('',#5724,#5723); +#5726=DIRECTION('',(0.E0,0.E0,1.E0)); +#5727=VECTOR('',#5726,3.203220978952E0); +#5728=CARTESIAN_POINT('',(8.35025E0,9.906E-1,-5.157610489476E0)); +#5729=LINE('',#5728,#5727); +#5730=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5731=VECTOR('',#5730,1.4605E0); +#5732=CARTESIAN_POINT('',(8.35025E0,9.906E-1,-1.954389510524E0)); +#5733=LINE('',#5732,#5731); +#5734=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5735=VECTOR('',#5734,3.203220978952E0); +#5736=CARTESIAN_POINT('',(9.42975E0,9.906E-1,-1.954389510524E0)); +#5737=LINE('',#5736,#5735); +#5738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5739=VECTOR('',#5738,1.4605E0); +#5740=CARTESIAN_POINT('',(1.089025E1,9.906E-1,-5.157610489476E0)); +#5741=LINE('',#5740,#5739); +#5742=DIRECTION('',(0.E0,0.E0,1.E0)); +#5743=VECTOR('',#5742,3.203220978952E0); +#5744=CARTESIAN_POINT('',(1.089025E1,9.906E-1,-5.157610489476E0)); +#5745=LINE('',#5744,#5743); +#5746=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5747=VECTOR('',#5746,1.4605E0); +#5748=CARTESIAN_POINT('',(1.089025E1,9.906E-1,-1.954389510524E0)); +#5749=LINE('',#5748,#5747); +#5750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5751=VECTOR('',#5750,3.203220978952E0); +#5752=CARTESIAN_POINT('',(1.196975E1,9.906E-1,-1.954389510524E0)); +#5753=LINE('',#5752,#5751); +#5754=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5755=VECTOR('',#5754,1.4605E0); +#5756=CARTESIAN_POINT('',(1.343025E1,9.906E-1,-5.157610489476E0)); +#5757=LINE('',#5756,#5755); +#5758=DIRECTION('',(0.E0,0.E0,1.E0)); +#5759=VECTOR('',#5758,3.203220978952E0); +#5760=CARTESIAN_POINT('',(1.343025E1,9.906E-1,-5.157610489476E0)); +#5761=LINE('',#5760,#5759); +#5762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5763=VECTOR('',#5762,1.4605E0); +#5764=CARTESIAN_POINT('',(1.343025E1,9.906E-1,-1.954389510524E0)); +#5765=LINE('',#5764,#5763); +#5766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5767=VECTOR('',#5766,3.203220978952E0); +#5768=CARTESIAN_POINT('',(1.450975E1,9.906E-1,-1.954389510524E0)); +#5769=LINE('',#5768,#5767); +#5770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5771=VECTOR('',#5770,1.4605E0); +#5772=CARTESIAN_POINT('',(1.597025E1,9.906E-1,-5.157610489476E0)); +#5773=LINE('',#5772,#5771); +#5774=DIRECTION('',(0.E0,0.E0,1.E0)); +#5775=VECTOR('',#5774,3.203220978952E0); +#5776=CARTESIAN_POINT('',(1.597025E1,9.906E-1,-5.157610489476E0)); +#5777=LINE('',#5776,#5775); +#5778=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5779=VECTOR('',#5778,1.4605E0); +#5780=CARTESIAN_POINT('',(1.597025E1,9.906E-1,-1.954389510524E0)); +#5781=LINE('',#5780,#5779); +#5782=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5783=VECTOR('',#5782,3.203220978952E0); +#5784=CARTESIAN_POINT('',(1.704975E1,9.906E-1,-1.954389510524E0)); +#5785=LINE('',#5784,#5783); +#5786=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5787=VECTOR('',#5786,1.4605E0); +#5788=CARTESIAN_POINT('',(1.851025E1,9.906E-1,-5.157610489476E0)); +#5789=LINE('',#5788,#5787); +#5790=DIRECTION('',(0.E0,0.E0,1.E0)); +#5791=VECTOR('',#5790,3.203220978952E0); +#5792=CARTESIAN_POINT('',(1.851025E1,9.906E-1,-5.157610489476E0)); +#5793=LINE('',#5792,#5791); +#5794=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5795=VECTOR('',#5794,1.4605E0); +#5796=CARTESIAN_POINT('',(1.851025E1,9.906E-1,-1.954389510524E0)); +#5797=LINE('',#5796,#5795); +#5798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5799=VECTOR('',#5798,3.203220978952E0); +#5800=CARTESIAN_POINT('',(1.958975E1,9.906E-1,-1.954389510524E0)); +#5801=LINE('',#5800,#5799); +#5802=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5803=VECTOR('',#5802,1.4605E0); +#5804=CARTESIAN_POINT('',(2.105025E1,9.906E-1,-5.157610489476E0)); +#5805=LINE('',#5804,#5803); +#5806=DIRECTION('',(0.E0,0.E0,1.E0)); +#5807=VECTOR('',#5806,3.203220978952E0); +#5808=CARTESIAN_POINT('',(2.105025E1,9.906E-1,-5.157610489476E0)); +#5809=LINE('',#5808,#5807); +#5810=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5811=VECTOR('',#5810,1.4605E0); +#5812=CARTESIAN_POINT('',(2.105025E1,9.906E-1,-1.954389510524E0)); +#5813=LINE('',#5812,#5811); +#5814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5815=VECTOR('',#5814,3.203220978952E0); +#5816=CARTESIAN_POINT('',(2.212975E1,9.906E-1,-1.954389510524E0)); +#5817=LINE('',#5816,#5815); +#5818=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5819=VECTOR('',#5818,1.4605E0); +#5820=CARTESIAN_POINT('',(2.359025E1,9.906E-1,-5.157610489476E0)); +#5821=LINE('',#5820,#5819); +#5822=DIRECTION('',(0.E0,0.E0,1.E0)); +#5823=VECTOR('',#5822,3.203220978952E0); +#5824=CARTESIAN_POINT('',(2.359025E1,9.906E-1,-5.157610489476E0)); +#5825=LINE('',#5824,#5823); +#5826=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5827=VECTOR('',#5826,1.4605E0); +#5828=CARTESIAN_POINT('',(2.359025E1,9.906E-1,-1.954389510524E0)); +#5829=LINE('',#5828,#5827); +#5830=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5831=VECTOR('',#5830,3.203220978952E0); +#5832=CARTESIAN_POINT('',(2.466975E1,9.906E-1,-1.954389510524E0)); +#5833=LINE('',#5832,#5831); +#5834=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5835=VECTOR('',#5834,1.4605E0); +#5836=CARTESIAN_POINT('',(2.613025E1,9.906E-1,-5.157610489476E0)); +#5837=LINE('',#5836,#5835); +#5838=DIRECTION('',(0.E0,0.E0,1.E0)); +#5839=VECTOR('',#5838,3.203220978952E0); +#5840=CARTESIAN_POINT('',(2.613025E1,9.906E-1,-5.157610489476E0)); +#5841=LINE('',#5840,#5839); +#5842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5843=VECTOR('',#5842,1.4605E0); +#5844=CARTESIAN_POINT('',(2.613025E1,9.906E-1,-1.954389510524E0)); +#5845=LINE('',#5844,#5843); +#5846=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5847=VECTOR('',#5846,3.203220978952E0); +#5848=CARTESIAN_POINT('',(2.720975E1,9.906E-1,-1.954389510524E0)); +#5849=LINE('',#5848,#5847); +#5850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5851=VECTOR('',#5850,1.4605E0); +#5852=CARTESIAN_POINT('',(2.867025E1,9.906E-1,-5.157610489476E0)); +#5853=LINE('',#5852,#5851); +#5854=DIRECTION('',(0.E0,0.E0,1.E0)); +#5855=VECTOR('',#5854,3.203220978952E0); +#5856=CARTESIAN_POINT('',(2.867025E1,9.906E-1,-5.157610489476E0)); +#5857=LINE('',#5856,#5855); +#5858=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5859=VECTOR('',#5858,1.4605E0); +#5860=CARTESIAN_POINT('',(2.867025E1,9.906E-1,-1.954389510524E0)); +#5861=LINE('',#5860,#5859); +#5862=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5863=VECTOR('',#5862,3.203220978952E0); +#5864=CARTESIAN_POINT('',(2.974975E1,9.906E-1,-1.954389510524E0)); +#5865=LINE('',#5864,#5863); +#5866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5867=VECTOR('',#5866,1.4605E0); +#5868=CARTESIAN_POINT('',(3.121025E1,9.906E-1,-5.157610489476E0)); +#5869=LINE('',#5868,#5867); +#5870=DIRECTION('',(0.E0,0.E0,1.E0)); +#5871=VECTOR('',#5870,3.203220978952E0); +#5872=CARTESIAN_POINT('',(3.121025E1,9.906E-1,-5.157610489476E0)); +#5873=LINE('',#5872,#5871); +#5874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5875=VECTOR('',#5874,1.4605E0); +#5876=CARTESIAN_POINT('',(3.121025E1,9.906E-1,-1.954389510524E0)); +#5877=LINE('',#5876,#5875); +#5878=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5879=VECTOR('',#5878,3.203220978952E0); +#5880=CARTESIAN_POINT('',(3.228975E1,9.906E-1,-1.954389510524E0)); +#5881=LINE('',#5880,#5879); +#5882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5883=VECTOR('',#5882,1.4605E0); +#5884=CARTESIAN_POINT('',(3.375025E1,9.906E-1,-5.157610489476E0)); +#5885=LINE('',#5884,#5883); +#5886=DIRECTION('',(0.E0,0.E0,1.E0)); +#5887=VECTOR('',#5886,3.203220978952E0); +#5888=CARTESIAN_POINT('',(3.375025E1,9.906E-1,-5.157610489476E0)); +#5889=LINE('',#5888,#5887); +#5890=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5891=VECTOR('',#5890,1.4605E0); +#5892=CARTESIAN_POINT('',(3.375025E1,9.906E-1,-1.954389510524E0)); +#5893=LINE('',#5892,#5891); +#5894=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5895=VECTOR('',#5894,3.203220978952E0); +#5896=CARTESIAN_POINT('',(3.482975E1,9.906E-1,-1.954389510524E0)); +#5897=LINE('',#5896,#5895); +#5898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5899=VECTOR('',#5898,1.4605E0); +#5900=CARTESIAN_POINT('',(3.629025E1,9.906E-1,-5.157610489476E0)); +#5901=LINE('',#5900,#5899); +#5902=DIRECTION('',(0.E0,0.E0,1.E0)); +#5903=VECTOR('',#5902,3.203220978952E0); +#5904=CARTESIAN_POINT('',(3.629025E1,9.906E-1,-5.157610489476E0)); +#5905=LINE('',#5904,#5903); +#5906=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5907=VECTOR('',#5906,1.4605E0); +#5908=CARTESIAN_POINT('',(3.629025E1,9.906E-1,-1.954389510524E0)); +#5909=LINE('',#5908,#5907); +#5910=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5911=VECTOR('',#5910,3.203220978952E0); +#5912=CARTESIAN_POINT('',(3.736975E1,9.906E-1,-1.954389510524E0)); +#5913=LINE('',#5912,#5911); +#5914=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5915=VECTOR('',#5914,1.4605E0); +#5916=CARTESIAN_POINT('',(3.883025E1,9.906E-1,-5.157610489476E0)); +#5917=LINE('',#5916,#5915); +#5918=DIRECTION('',(0.E0,0.E0,1.E0)); +#5919=VECTOR('',#5918,3.203220978952E0); +#5920=CARTESIAN_POINT('',(3.883025E1,9.906E-1,-5.157610489476E0)); +#5921=LINE('',#5920,#5919); +#5922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5923=VECTOR('',#5922,1.4605E0); +#5924=CARTESIAN_POINT('',(3.883025E1,9.906E-1,-1.954389510524E0)); +#5925=LINE('',#5924,#5923); +#5926=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5927=VECTOR('',#5926,1.9812E0); +#5928=CARTESIAN_POINT('',(4.064E1,9.906E-1,-1.524E0)); +#5929=LINE('',#5928,#5927); +#5930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5931=VECTOR('',#5930,5.0292E0); +#5932=CARTESIAN_POINT('',(4.2164E1,2.5146E0,0.E0)); +#5933=LINE('',#5932,#5931); +#5934=CARTESIAN_POINT('',(4.064E1,-9.906E-1,-1.524E0)); +#5935=CARTESIAN_POINT('',(4.074840128061E1,-9.906E-1,-1.415598719395E0)); +#5936=CARTESIAN_POINT('',(4.094931719276E1,-1.013067114552E0, +-1.214682807237E0)); +#5937=CARTESIAN_POINT('',(4.130544035941E1,-1.122643147248E0, +-8.585596405909E-1)); +#5938=CARTESIAN_POINT('',(4.160514348451E1,-1.312009167893E0, +-5.588565154937E-1)); +#5939=CARTESIAN_POINT('',(4.185171508910E1,-1.576514505424E0, +-3.122849109010E-1)); +#5940=CARTESIAN_POINT('',(4.200620011712E1,-1.817947367251E0, +-1.577998828836E-1)); +#5941=CARTESIAN_POINT('',(4.210371321011E1,-2.063299431651E0, +-6.028678988545E-2)); +#5942=CARTESIAN_POINT('',(4.215290936601E1,-2.300330683190E0, +-1.109063399180E-2)); +#5943=CARTESIAN_POINT('',(4.2164E1,-2.437948719395E0,0.E0)); +#5944=CARTESIAN_POINT('',(4.2164E1,-2.5146E0,0.E0)); +#5946=CARTESIAN_POINT('',(-4.2164E1,-2.5146E0,0.E0)); +#5947=CARTESIAN_POINT('',(-4.2164E1,-2.437948719395E0,0.E0)); +#5948=CARTESIAN_POINT('',(-4.215290936601E1,-2.300330683190E0, +-1.109063399180E-2)); +#5949=CARTESIAN_POINT('',(-4.210371321011E1,-2.063299431651E0, +-6.028678988545E-2)); +#5950=CARTESIAN_POINT('',(-4.200620011712E1,-1.817947367251E0, +-1.577998828836E-1)); +#5951=CARTESIAN_POINT('',(-4.185171508910E1,-1.576514505424E0, +-3.122849109010E-1)); +#5952=CARTESIAN_POINT('',(-4.160514348451E1,-1.312009167893E0, +-5.588565154937E-1)); +#5953=CARTESIAN_POINT('',(-4.130544035941E1,-1.122643147248E0, +-8.585596405909E-1)); +#5954=CARTESIAN_POINT('',(-4.094931719276E1,-1.013067114552E0, +-1.214682807237E0)); +#5955=CARTESIAN_POINT('',(-4.074840128061E1,-9.906E-1,-1.415598719395E0)); +#5956=CARTESIAN_POINT('',(-4.064E1,-9.906E-1,-1.524E0)); +#5958=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5959=VECTOR('',#5958,8.128E1); +#5960=CARTESIAN_POINT('',(4.064E1,-9.906E-1,-1.524E0)); +#5961=LINE('',#5960,#5959); +#5962=DIRECTION('',(0.E0,0.E0,1.E0)); +#5963=VECTOR('',#5962,5.969E0); +#5964=CARTESIAN_POINT('',(-4.064E1,-9.906E-1,-7.493E0)); +#5965=LINE('',#5964,#5963); +#5966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5967=VECTOR('',#5966,8.128E1); +#5968=CARTESIAN_POINT('',(4.064E1,-9.906E-1,-7.493E0)); +#5969=LINE('',#5968,#5967); +#5970=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5971=VECTOR('',#5970,5.969E0); +#5972=CARTESIAN_POINT('',(4.064E1,-9.906E-1,-1.524E0)); +#5973=LINE('',#5972,#5971); +#5974=DIRECTION('',(0.E0,0.E0,1.E0)); +#5975=VECTOR('',#5974,3.203220978952E0); +#5976=CARTESIAN_POINT('',(-3.883025E1,-9.906E-1,-5.157610489476E0)); +#5977=LINE('',#5976,#5975); +#5978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5979=VECTOR('',#5978,1.4605E0); +#5980=CARTESIAN_POINT('',(-3.736975E1,-9.906E-1,-1.954389510524E0)); +#5981=LINE('',#5980,#5979); +#5982=DIRECTION('',(0.E0,0.E0,1.E0)); +#5983=VECTOR('',#5982,3.203220978952E0); +#5984=CARTESIAN_POINT('',(-3.736975E1,-9.906E-1,-5.157610489476E0)); +#5985=LINE('',#5984,#5983); +#5986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5987=VECTOR('',#5986,1.4605E0); +#5988=CARTESIAN_POINT('',(-3.736975E1,-9.906E-1,-5.157610489476E0)); +#5989=LINE('',#5988,#5987); +#5990=DIRECTION('',(0.E0,0.E0,1.E0)); +#5991=VECTOR('',#5990,3.203220978952E0); +#5992=CARTESIAN_POINT('',(-3.629025E1,-9.906E-1,-5.157610489476E0)); +#5993=LINE('',#5992,#5991); +#5994=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5995=VECTOR('',#5994,1.4605E0); +#5996=CARTESIAN_POINT('',(-3.482975E1,-9.906E-1,-1.954389510524E0)); +#5997=LINE('',#5996,#5995); +#5998=DIRECTION('',(0.E0,0.E0,1.E0)); +#5999=VECTOR('',#5998,3.203220978952E0); +#6000=CARTESIAN_POINT('',(-3.482975E1,-9.906E-1,-5.157610489476E0)); +#6001=LINE('',#6000,#5999); +#6002=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6003=VECTOR('',#6002,1.4605E0); +#6004=CARTESIAN_POINT('',(-3.482975E1,-9.906E-1,-5.157610489476E0)); +#6005=LINE('',#6004,#6003); +#6006=DIRECTION('',(0.E0,0.E0,1.E0)); +#6007=VECTOR('',#6006,3.203220978952E0); +#6008=CARTESIAN_POINT('',(-3.375025E1,-9.906E-1,-5.157610489476E0)); +#6009=LINE('',#6008,#6007); +#6010=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6011=VECTOR('',#6010,1.4605E0); +#6012=CARTESIAN_POINT('',(-3.228975E1,-9.906E-1,-1.954389510524E0)); +#6013=LINE('',#6012,#6011); +#6014=DIRECTION('',(0.E0,0.E0,1.E0)); +#6015=VECTOR('',#6014,3.203220978952E0); +#6016=CARTESIAN_POINT('',(-3.228975E1,-9.906E-1,-5.157610489476E0)); +#6017=LINE('',#6016,#6015); +#6018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6019=VECTOR('',#6018,1.4605E0); +#6020=CARTESIAN_POINT('',(-3.228975E1,-9.906E-1,-5.157610489476E0)); +#6021=LINE('',#6020,#6019); +#6022=DIRECTION('',(0.E0,0.E0,1.E0)); +#6023=VECTOR('',#6022,3.203220978952E0); +#6024=CARTESIAN_POINT('',(-3.121025E1,-9.906E-1,-5.157610489476E0)); +#6025=LINE('',#6024,#6023); +#6026=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6027=VECTOR('',#6026,1.4605E0); +#6028=CARTESIAN_POINT('',(-2.974975E1,-9.906E-1,-1.954389510524E0)); +#6029=LINE('',#6028,#6027); +#6030=DIRECTION('',(0.E0,0.E0,1.E0)); +#6031=VECTOR('',#6030,3.203220978952E0); +#6032=CARTESIAN_POINT('',(-2.974975E1,-9.906E-1,-5.157610489476E0)); +#6033=LINE('',#6032,#6031); +#6034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6035=VECTOR('',#6034,1.4605E0); +#6036=CARTESIAN_POINT('',(-2.974975E1,-9.906E-1,-5.157610489476E0)); +#6037=LINE('',#6036,#6035); +#6038=DIRECTION('',(0.E0,0.E0,1.E0)); +#6039=VECTOR('',#6038,3.203220978952E0); +#6040=CARTESIAN_POINT('',(-2.867025E1,-9.906E-1,-5.157610489476E0)); +#6041=LINE('',#6040,#6039); +#6042=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6043=VECTOR('',#6042,1.4605E0); +#6044=CARTESIAN_POINT('',(-2.720975E1,-9.906E-1,-1.954389510524E0)); +#6045=LINE('',#6044,#6043); +#6046=DIRECTION('',(0.E0,0.E0,1.E0)); +#6047=VECTOR('',#6046,3.203220978952E0); +#6048=CARTESIAN_POINT('',(-2.720975E1,-9.906E-1,-5.157610489476E0)); +#6049=LINE('',#6048,#6047); +#6050=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6051=VECTOR('',#6050,1.4605E0); +#6052=CARTESIAN_POINT('',(-2.720975E1,-9.906E-1,-5.157610489476E0)); +#6053=LINE('',#6052,#6051); +#6054=DIRECTION('',(0.E0,0.E0,1.E0)); +#6055=VECTOR('',#6054,3.203220978952E0); +#6056=CARTESIAN_POINT('',(-2.613025E1,-9.906E-1,-5.157610489476E0)); +#6057=LINE('',#6056,#6055); +#6058=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6059=VECTOR('',#6058,1.4605E0); +#6060=CARTESIAN_POINT('',(-2.466975E1,-9.906E-1,-1.954389510524E0)); +#6061=LINE('',#6060,#6059); +#6062=DIRECTION('',(0.E0,0.E0,1.E0)); +#6063=VECTOR('',#6062,3.203220978952E0); +#6064=CARTESIAN_POINT('',(-2.466975E1,-9.906E-1,-5.157610489476E0)); +#6065=LINE('',#6064,#6063); +#6066=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6067=VECTOR('',#6066,1.4605E0); +#6068=CARTESIAN_POINT('',(-2.466975E1,-9.906E-1,-5.157610489476E0)); +#6069=LINE('',#6068,#6067); +#6070=DIRECTION('',(0.E0,0.E0,1.E0)); +#6071=VECTOR('',#6070,3.203220978952E0); +#6072=CARTESIAN_POINT('',(-2.359025E1,-9.906E-1,-5.157610489476E0)); +#6073=LINE('',#6072,#6071); +#6074=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6075=VECTOR('',#6074,1.4605E0); +#6076=CARTESIAN_POINT('',(-2.212975E1,-9.906E-1,-1.954389510524E0)); +#6077=LINE('',#6076,#6075); +#6078=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6079=VECTOR('',#6078,3.203220978952E0); +#6080=CARTESIAN_POINT('',(-2.212975E1,-9.906E-1,-1.954389510524E0)); +#6081=LINE('',#6080,#6079); +#6082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6083=VECTOR('',#6082,1.4605E0); +#6084=CARTESIAN_POINT('',(-2.212975E1,-9.906E-1,-5.157610489476E0)); +#6085=LINE('',#6084,#6083); +#6086=DIRECTION('',(0.E0,0.E0,1.E0)); +#6087=VECTOR('',#6086,3.203220978952E0); +#6088=CARTESIAN_POINT('',(-2.105025E1,-9.906E-1,-5.157610489476E0)); +#6089=LINE('',#6088,#6087); +#6090=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6091=VECTOR('',#6090,1.4605E0); +#6092=CARTESIAN_POINT('',(-1.958975E1,-9.906E-1,-1.954389510524E0)); +#6093=LINE('',#6092,#6091); +#6094=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6095=VECTOR('',#6094,3.203220978952E0); +#6096=CARTESIAN_POINT('',(-1.958975E1,-9.906E-1,-1.954389510524E0)); +#6097=LINE('',#6096,#6095); +#6098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6099=VECTOR('',#6098,1.4605E0); +#6100=CARTESIAN_POINT('',(-1.958975E1,-9.906E-1,-5.157610489476E0)); +#6101=LINE('',#6100,#6099); +#6102=DIRECTION('',(0.E0,0.E0,1.E0)); +#6103=VECTOR('',#6102,3.203220978952E0); +#6104=CARTESIAN_POINT('',(-1.851025E1,-9.906E-1,-5.157610489476E0)); +#6105=LINE('',#6104,#6103); +#6106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6107=VECTOR('',#6106,1.4605E0); +#6108=CARTESIAN_POINT('',(-1.704975E1,-9.906E-1,-1.954389510524E0)); +#6109=LINE('',#6108,#6107); +#6110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6111=VECTOR('',#6110,3.203220978952E0); +#6112=CARTESIAN_POINT('',(-1.704975E1,-9.906E-1,-1.954389510524E0)); +#6113=LINE('',#6112,#6111); +#6114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6115=VECTOR('',#6114,1.4605E0); +#6116=CARTESIAN_POINT('',(-1.704975E1,-9.906E-1,-5.157610489476E0)); +#6117=LINE('',#6116,#6115); +#6118=DIRECTION('',(0.E0,0.E0,1.E0)); +#6119=VECTOR('',#6118,3.203220978952E0); +#6120=CARTESIAN_POINT('',(-1.597025E1,-9.906E-1,-5.157610489476E0)); +#6121=LINE('',#6120,#6119); +#6122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6123=VECTOR('',#6122,1.4605E0); +#6124=CARTESIAN_POINT('',(-1.450975E1,-9.906E-1,-1.954389510524E0)); +#6125=LINE('',#6124,#6123); +#6126=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6127=VECTOR('',#6126,3.203220978952E0); +#6128=CARTESIAN_POINT('',(-1.450975E1,-9.906E-1,-1.954389510524E0)); +#6129=LINE('',#6128,#6127); +#6130=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6131=VECTOR('',#6130,1.4605E0); +#6132=CARTESIAN_POINT('',(-1.450975E1,-9.906E-1,-5.157610489476E0)); +#6133=LINE('',#6132,#6131); +#6134=DIRECTION('',(0.E0,0.E0,1.E0)); +#6135=VECTOR('',#6134,3.203220978952E0); +#6136=CARTESIAN_POINT('',(-1.343025E1,-9.906E-1,-5.157610489476E0)); +#6137=LINE('',#6136,#6135); +#6138=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6139=VECTOR('',#6138,1.4605E0); +#6140=CARTESIAN_POINT('',(-1.196975E1,-9.906E-1,-1.954389510524E0)); +#6141=LINE('',#6140,#6139); +#6142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6143=VECTOR('',#6142,3.203220978952E0); +#6144=CARTESIAN_POINT('',(-1.196975E1,-9.906E-1,-1.954389510524E0)); +#6145=LINE('',#6144,#6143); +#6146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6147=VECTOR('',#6146,1.4605E0); +#6148=CARTESIAN_POINT('',(-1.196975E1,-9.906E-1,-5.157610489476E0)); +#6149=LINE('',#6148,#6147); +#6150=DIRECTION('',(0.E0,0.E0,1.E0)); +#6151=VECTOR('',#6150,3.203220978952E0); +#6152=CARTESIAN_POINT('',(-1.089025E1,-9.906E-1,-5.157610489476E0)); +#6153=LINE('',#6152,#6151); +#6154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6155=VECTOR('',#6154,1.4605E0); +#6156=CARTESIAN_POINT('',(-9.42975E0,-9.906E-1,-1.954389510524E0)); +#6157=LINE('',#6156,#6155); +#6158=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6159=VECTOR('',#6158,3.203220978952E0); +#6160=CARTESIAN_POINT('',(-9.42975E0,-9.906E-1,-1.954389510524E0)); +#6161=LINE('',#6160,#6159); +#6162=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6163=VECTOR('',#6162,1.4605E0); +#6164=CARTESIAN_POINT('',(-9.42975E0,-9.906E-1,-5.157610489476E0)); +#6165=LINE('',#6164,#6163); +#6166=DIRECTION('',(0.E0,0.E0,1.E0)); +#6167=VECTOR('',#6166,3.203220978952E0); +#6168=CARTESIAN_POINT('',(-8.35025E0,-9.906E-1,-5.157610489476E0)); +#6169=LINE('',#6168,#6167); +#6170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6171=VECTOR('',#6170,1.4605E0); +#6172=CARTESIAN_POINT('',(-6.88975E0,-9.906E-1,-1.954389510524E0)); +#6173=LINE('',#6172,#6171); +#6174=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6175=VECTOR('',#6174,3.203220978952E0); +#6176=CARTESIAN_POINT('',(-6.88975E0,-9.906E-1,-1.954389510524E0)); +#6177=LINE('',#6176,#6175); +#6178=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6179=VECTOR('',#6178,1.4605E0); +#6180=CARTESIAN_POINT('',(-6.88975E0,-9.906E-1,-5.157610489476E0)); +#6181=LINE('',#6180,#6179); +#6182=DIRECTION('',(0.E0,0.E0,1.E0)); +#6183=VECTOR('',#6182,3.203220978952E0); +#6184=CARTESIAN_POINT('',(-5.81025E0,-9.906E-1,-5.157610489476E0)); +#6185=LINE('',#6184,#6183); +#6186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6187=VECTOR('',#6186,1.4605E0); +#6188=CARTESIAN_POINT('',(-4.34975E0,-9.906E-1,-1.954389510524E0)); +#6189=LINE('',#6188,#6187); +#6190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6191=VECTOR('',#6190,3.203220978952E0); +#6192=CARTESIAN_POINT('',(-4.34975E0,-9.906E-1,-1.954389510524E0)); +#6193=LINE('',#6192,#6191); +#6194=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6195=VECTOR('',#6194,1.4605E0); +#6196=CARTESIAN_POINT('',(-4.34975E0,-9.906E-1,-5.157610489476E0)); +#6197=LINE('',#6196,#6195); +#6198=DIRECTION('',(0.E0,0.E0,1.E0)); +#6199=VECTOR('',#6198,3.203220978952E0); +#6200=CARTESIAN_POINT('',(-3.27025E0,-9.906E-1,-5.157610489476E0)); +#6201=LINE('',#6200,#6199); +#6202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6203=VECTOR('',#6202,1.4605E0); +#6204=CARTESIAN_POINT('',(-1.80975E0,-9.906E-1,-1.954389510524E0)); +#6205=LINE('',#6204,#6203); +#6206=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6207=VECTOR('',#6206,3.203220978952E0); +#6208=CARTESIAN_POINT('',(-1.80975E0,-9.906E-1,-1.954389510524E0)); +#6209=LINE('',#6208,#6207); +#6210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6211=VECTOR('',#6210,1.4605E0); +#6212=CARTESIAN_POINT('',(-1.80975E0,-9.906E-1,-5.157610489476E0)); +#6213=LINE('',#6212,#6211); +#6214=DIRECTION('',(0.E0,0.E0,1.E0)); +#6215=VECTOR('',#6214,3.203220978952E0); +#6216=CARTESIAN_POINT('',(-7.3025E-1,-9.906E-1,-5.157610489476E0)); +#6217=LINE('',#6216,#6215); +#6218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6219=VECTOR('',#6218,1.4605E0); +#6220=CARTESIAN_POINT('',(7.3025E-1,-9.906E-1,-1.954389510524E0)); +#6221=LINE('',#6220,#6219); +#6222=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6223=VECTOR('',#6222,3.203220978952E0); +#6224=CARTESIAN_POINT('',(7.3025E-1,-9.906E-1,-1.954389510524E0)); +#6225=LINE('',#6224,#6223); +#6226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6227=VECTOR('',#6226,1.4605E0); +#6228=CARTESIAN_POINT('',(7.3025E-1,-9.906E-1,-5.157610489476E0)); +#6229=LINE('',#6228,#6227); +#6230=DIRECTION('',(0.E0,0.E0,1.E0)); +#6231=VECTOR('',#6230,3.203220978952E0); +#6232=CARTESIAN_POINT('',(1.80975E0,-9.906E-1,-5.157610489476E0)); +#6233=LINE('',#6232,#6231); +#6234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6235=VECTOR('',#6234,1.4605E0); +#6236=CARTESIAN_POINT('',(3.27025E0,-9.906E-1,-1.954389510524E0)); +#6237=LINE('',#6236,#6235); +#6238=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6239=VECTOR('',#6238,3.203220978952E0); +#6240=CARTESIAN_POINT('',(3.27025E0,-9.906E-1,-1.954389510524E0)); +#6241=LINE('',#6240,#6239); +#6242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6243=VECTOR('',#6242,1.4605E0); +#6244=CARTESIAN_POINT('',(3.27025E0,-9.906E-1,-5.157610489476E0)); +#6245=LINE('',#6244,#6243); +#6246=DIRECTION('',(0.E0,0.E0,1.E0)); +#6247=VECTOR('',#6246,3.203220978952E0); +#6248=CARTESIAN_POINT('',(4.34975E0,-9.906E-1,-5.157610489476E0)); +#6249=LINE('',#6248,#6247); +#6250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6251=VECTOR('',#6250,1.4605E0); +#6252=CARTESIAN_POINT('',(5.81025E0,-9.906E-1,-1.954389510524E0)); +#6253=LINE('',#6252,#6251); +#6254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6255=VECTOR('',#6254,3.203220978952E0); +#6256=CARTESIAN_POINT('',(5.81025E0,-9.906E-1,-1.954389510524E0)); +#6257=LINE('',#6256,#6255); +#6258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6259=VECTOR('',#6258,1.4605E0); +#6260=CARTESIAN_POINT('',(5.81025E0,-9.906E-1,-5.157610489476E0)); +#6261=LINE('',#6260,#6259); +#6262=DIRECTION('',(0.E0,0.E0,1.E0)); +#6263=VECTOR('',#6262,3.203220978952E0); +#6264=CARTESIAN_POINT('',(6.88975E0,-9.906E-1,-5.157610489476E0)); +#6265=LINE('',#6264,#6263); +#6266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6267=VECTOR('',#6266,1.4605E0); +#6268=CARTESIAN_POINT('',(8.35025E0,-9.906E-1,-1.954389510524E0)); +#6269=LINE('',#6268,#6267); +#6270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6271=VECTOR('',#6270,3.203220978952E0); +#6272=CARTESIAN_POINT('',(8.35025E0,-9.906E-1,-1.954389510524E0)); +#6273=LINE('',#6272,#6271); +#6274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6275=VECTOR('',#6274,1.4605E0); +#6276=CARTESIAN_POINT('',(8.35025E0,-9.906E-1,-5.157610489476E0)); +#6277=LINE('',#6276,#6275); +#6278=DIRECTION('',(0.E0,0.E0,1.E0)); +#6279=VECTOR('',#6278,3.203220978952E0); +#6280=CARTESIAN_POINT('',(9.42975E0,-9.906E-1,-5.157610489476E0)); +#6281=LINE('',#6280,#6279); +#6282=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6283=VECTOR('',#6282,1.4605E0); +#6284=CARTESIAN_POINT('',(1.089025E1,-9.906E-1,-1.954389510524E0)); +#6285=LINE('',#6284,#6283); +#6286=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6287=VECTOR('',#6286,3.203220978952E0); +#6288=CARTESIAN_POINT('',(1.089025E1,-9.906E-1,-1.954389510524E0)); +#6289=LINE('',#6288,#6287); +#6290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6291=VECTOR('',#6290,1.4605E0); +#6292=CARTESIAN_POINT('',(1.089025E1,-9.906E-1,-5.157610489476E0)); +#6293=LINE('',#6292,#6291); +#6294=DIRECTION('',(0.E0,0.E0,1.E0)); +#6295=VECTOR('',#6294,3.203220978952E0); +#6296=CARTESIAN_POINT('',(1.196975E1,-9.906E-1,-5.157610489476E0)); +#6297=LINE('',#6296,#6295); +#6298=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6299=VECTOR('',#6298,1.4605E0); +#6300=CARTESIAN_POINT('',(1.343025E1,-9.906E-1,-1.954389510524E0)); +#6301=LINE('',#6300,#6299); +#6302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6303=VECTOR('',#6302,3.203220978952E0); +#6304=CARTESIAN_POINT('',(1.343025E1,-9.906E-1,-1.954389510524E0)); +#6305=LINE('',#6304,#6303); +#6306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6307=VECTOR('',#6306,1.4605E0); +#6308=CARTESIAN_POINT('',(1.343025E1,-9.906E-1,-5.157610489476E0)); +#6309=LINE('',#6308,#6307); +#6310=DIRECTION('',(0.E0,0.E0,1.E0)); +#6311=VECTOR('',#6310,3.203220978952E0); +#6312=CARTESIAN_POINT('',(1.450975E1,-9.906E-1,-5.157610489476E0)); +#6313=LINE('',#6312,#6311); +#6314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6315=VECTOR('',#6314,1.4605E0); +#6316=CARTESIAN_POINT('',(1.597025E1,-9.906E-1,-1.954389510524E0)); +#6317=LINE('',#6316,#6315); +#6318=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6319=VECTOR('',#6318,3.203220978952E0); +#6320=CARTESIAN_POINT('',(1.597025E1,-9.906E-1,-1.954389510524E0)); +#6321=LINE('',#6320,#6319); +#6322=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6323=VECTOR('',#6322,1.4605E0); +#6324=CARTESIAN_POINT('',(1.597025E1,-9.906E-1,-5.157610489476E0)); +#6325=LINE('',#6324,#6323); +#6326=DIRECTION('',(0.E0,0.E0,1.E0)); +#6327=VECTOR('',#6326,3.203220978952E0); +#6328=CARTESIAN_POINT('',(1.704975E1,-9.906E-1,-5.157610489476E0)); +#6329=LINE('',#6328,#6327); +#6330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6331=VECTOR('',#6330,1.4605E0); +#6332=CARTESIAN_POINT('',(1.851025E1,-9.906E-1,-1.954389510524E0)); +#6333=LINE('',#6332,#6331); +#6334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6335=VECTOR('',#6334,3.203220978952E0); +#6336=CARTESIAN_POINT('',(1.851025E1,-9.906E-1,-1.954389510524E0)); +#6337=LINE('',#6336,#6335); +#6338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6339=VECTOR('',#6338,1.4605E0); +#6340=CARTESIAN_POINT('',(1.851025E1,-9.906E-1,-5.157610489476E0)); +#6341=LINE('',#6340,#6339); +#6342=DIRECTION('',(0.E0,0.E0,1.E0)); +#6343=VECTOR('',#6342,3.203220978952E0); +#6344=CARTESIAN_POINT('',(1.958975E1,-9.906E-1,-5.157610489476E0)); +#6345=LINE('',#6344,#6343); +#6346=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6347=VECTOR('',#6346,1.4605E0); +#6348=CARTESIAN_POINT('',(2.105025E1,-9.906E-1,-1.954389510524E0)); +#6349=LINE('',#6348,#6347); +#6350=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6351=VECTOR('',#6350,3.203220978952E0); +#6352=CARTESIAN_POINT('',(2.105025E1,-9.906E-1,-1.954389510524E0)); +#6353=LINE('',#6352,#6351); +#6354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6355=VECTOR('',#6354,1.4605E0); +#6356=CARTESIAN_POINT('',(2.105025E1,-9.906E-1,-5.157610489476E0)); +#6357=LINE('',#6356,#6355); +#6358=DIRECTION('',(0.E0,0.E0,1.E0)); +#6359=VECTOR('',#6358,3.203220978952E0); +#6360=CARTESIAN_POINT('',(2.212975E1,-9.906E-1,-5.157610489476E0)); +#6361=LINE('',#6360,#6359); +#6362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6363=VECTOR('',#6362,1.4605E0); +#6364=CARTESIAN_POINT('',(2.359025E1,-9.906E-1,-1.954389510524E0)); +#6365=LINE('',#6364,#6363); +#6366=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6367=VECTOR('',#6366,3.203220978952E0); +#6368=CARTESIAN_POINT('',(2.359025E1,-9.906E-1,-1.954389510524E0)); +#6369=LINE('',#6368,#6367); +#6370=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6371=VECTOR('',#6370,1.4605E0); +#6372=CARTESIAN_POINT('',(2.359025E1,-9.906E-1,-5.157610489476E0)); +#6373=LINE('',#6372,#6371); +#6374=DIRECTION('',(0.E0,0.E0,1.E0)); +#6375=VECTOR('',#6374,3.203220978952E0); +#6376=CARTESIAN_POINT('',(2.466975E1,-9.906E-1,-5.157610489476E0)); +#6377=LINE('',#6376,#6375); +#6378=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6379=VECTOR('',#6378,1.4605E0); +#6380=CARTESIAN_POINT('',(2.613025E1,-9.906E-1,-1.954389510524E0)); +#6381=LINE('',#6380,#6379); +#6382=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6383=VECTOR('',#6382,3.203220978952E0); +#6384=CARTESIAN_POINT('',(2.613025E1,-9.906E-1,-1.954389510524E0)); +#6385=LINE('',#6384,#6383); +#6386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6387=VECTOR('',#6386,1.4605E0); +#6388=CARTESIAN_POINT('',(2.613025E1,-9.906E-1,-5.157610489476E0)); +#6389=LINE('',#6388,#6387); +#6390=DIRECTION('',(0.E0,0.E0,1.E0)); +#6391=VECTOR('',#6390,3.203220978952E0); +#6392=CARTESIAN_POINT('',(2.720975E1,-9.906E-1,-5.157610489476E0)); +#6393=LINE('',#6392,#6391); +#6394=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6395=VECTOR('',#6394,1.4605E0); +#6396=CARTESIAN_POINT('',(2.867025E1,-9.906E-1,-1.954389510524E0)); +#6397=LINE('',#6396,#6395); +#6398=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6399=VECTOR('',#6398,3.203220978952E0); +#6400=CARTESIAN_POINT('',(2.867025E1,-9.906E-1,-1.954389510524E0)); +#6401=LINE('',#6400,#6399); +#6402=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6403=VECTOR('',#6402,1.4605E0); +#6404=CARTESIAN_POINT('',(2.867025E1,-9.906E-1,-5.157610489476E0)); +#6405=LINE('',#6404,#6403); +#6406=DIRECTION('',(0.E0,0.E0,1.E0)); +#6407=VECTOR('',#6406,3.203220978952E0); +#6408=CARTESIAN_POINT('',(2.974975E1,-9.906E-1,-5.157610489476E0)); +#6409=LINE('',#6408,#6407); +#6410=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6411=VECTOR('',#6410,1.4605E0); +#6412=CARTESIAN_POINT('',(3.121025E1,-9.906E-1,-1.954389510524E0)); +#6413=LINE('',#6412,#6411); +#6414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6415=VECTOR('',#6414,3.203220978952E0); +#6416=CARTESIAN_POINT('',(3.121025E1,-9.906E-1,-1.954389510524E0)); +#6417=LINE('',#6416,#6415); +#6418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6419=VECTOR('',#6418,1.4605E0); +#6420=CARTESIAN_POINT('',(3.121025E1,-9.906E-1,-5.157610489476E0)); +#6421=LINE('',#6420,#6419); +#6422=DIRECTION('',(0.E0,0.E0,1.E0)); +#6423=VECTOR('',#6422,3.203220978952E0); +#6424=CARTESIAN_POINT('',(3.228975E1,-9.906E-1,-5.157610489476E0)); +#6425=LINE('',#6424,#6423); +#6426=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6427=VECTOR('',#6426,1.4605E0); +#6428=CARTESIAN_POINT('',(3.375025E1,-9.906E-1,-1.954389510524E0)); +#6429=LINE('',#6428,#6427); +#6430=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6431=VECTOR('',#6430,3.203220978952E0); +#6432=CARTESIAN_POINT('',(3.375025E1,-9.906E-1,-1.954389510524E0)); +#6433=LINE('',#6432,#6431); +#6434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6435=VECTOR('',#6434,1.4605E0); +#6436=CARTESIAN_POINT('',(3.375025E1,-9.906E-1,-5.157610489476E0)); +#6437=LINE('',#6436,#6435); +#6438=DIRECTION('',(0.E0,0.E0,1.E0)); +#6439=VECTOR('',#6438,3.203220978952E0); +#6440=CARTESIAN_POINT('',(3.482975E1,-9.906E-1,-5.157610489476E0)); +#6441=LINE('',#6440,#6439); +#6442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6443=VECTOR('',#6442,1.4605E0); +#6444=CARTESIAN_POINT('',(3.629025E1,-9.906E-1,-1.954389510524E0)); +#6445=LINE('',#6444,#6443); +#6446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6447=VECTOR('',#6446,3.203220978952E0); +#6448=CARTESIAN_POINT('',(3.629025E1,-9.906E-1,-1.954389510524E0)); +#6449=LINE('',#6448,#6447); +#6450=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6451=VECTOR('',#6450,1.4605E0); +#6452=CARTESIAN_POINT('',(3.629025E1,-9.906E-1,-5.157610489476E0)); +#6453=LINE('',#6452,#6451); +#6454=DIRECTION('',(0.E0,0.E0,1.E0)); +#6455=VECTOR('',#6454,3.203220978952E0); +#6456=CARTESIAN_POINT('',(3.736975E1,-9.906E-1,-5.157610489476E0)); +#6457=LINE('',#6456,#6455); +#6458=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6459=VECTOR('',#6458,1.4605E0); +#6460=CARTESIAN_POINT('',(3.883025E1,-9.906E-1,-1.954389510524E0)); +#6461=LINE('',#6460,#6459); +#6462=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6463=VECTOR('',#6462,3.203220978952E0); +#6464=CARTESIAN_POINT('',(3.883025E1,-9.906E-1,-1.954389510524E0)); +#6465=LINE('',#6464,#6463); +#6466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6467=VECTOR('',#6466,1.4605E0); +#6468=CARTESIAN_POINT('',(3.883025E1,-9.906E-1,-5.157610489476E0)); +#6469=LINE('',#6468,#6467); +#6470=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6471=VECTOR('',#6470,1.9812E0); +#6472=CARTESIAN_POINT('',(-4.064E1,9.906E-1,-7.493E0)); +#6473=LINE('',#6472,#6471); +#6474=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6475=VECTOR('',#6474,1.9812E0); +#6476=CARTESIAN_POINT('',(4.064E1,9.906E-1,-7.493E0)); +#6477=LINE('',#6476,#6475); +#6478=DIRECTION('',(0.E0,1.E0,0.E0)); +#6479=VECTOR('',#6478,5.0292E0); +#6480=CARTESIAN_POINT('',(-4.2164E1,-2.5146E0,0.E0)); +#6481=LINE('',#6480,#6479); +#6482=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6483=VECTOR('',#6482,1.9812E0); +#6484=CARTESIAN_POINT('',(-4.064E1,9.906E-1,-1.524E0)); +#6485=LINE('',#6484,#6483); +#6486=CARTESIAN_POINT('',(-3.883025E1,-2.4384E0,-3.556E0)); +#6487=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6488=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6489=AXIS2_PLACEMENT_3D('',#6486,#6487,#6488); +#6491=CARTESIAN_POINT('',(-3.883025E1,2.4384E0,-3.556E0)); +#6492=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6493=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6494=AXIS2_PLACEMENT_3D('',#6491,#6492,#6493); +#6496=CARTESIAN_POINT('',(-3.736975E1,-2.4384E0,-3.556E0)); +#6497=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6498=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6499=AXIS2_PLACEMENT_3D('',#6496,#6497,#6498); +#6501=CARTESIAN_POINT('',(-3.736975E1,2.4384E0,-3.556E0)); +#6502=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6503=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6504=AXIS2_PLACEMENT_3D('',#6501,#6502,#6503); +#6506=CARTESIAN_POINT('',(-3.629025E1,-2.4384E0,-3.556E0)); +#6507=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6508=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6509=AXIS2_PLACEMENT_3D('',#6506,#6507,#6508); +#6511=CARTESIAN_POINT('',(-3.629025E1,2.4384E0,-3.556E0)); +#6512=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6513=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6514=AXIS2_PLACEMENT_3D('',#6511,#6512,#6513); +#6516=CARTESIAN_POINT('',(-3.482975E1,-2.4384E0,-3.556E0)); +#6517=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6518=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6519=AXIS2_PLACEMENT_3D('',#6516,#6517,#6518); +#6521=CARTESIAN_POINT('',(-3.482975E1,2.4384E0,-3.556E0)); +#6522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6523=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6524=AXIS2_PLACEMENT_3D('',#6521,#6522,#6523); +#6526=CARTESIAN_POINT('',(-3.375025E1,-2.4384E0,-3.556E0)); +#6527=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6528=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6529=AXIS2_PLACEMENT_3D('',#6526,#6527,#6528); +#6531=CARTESIAN_POINT('',(-3.375025E1,2.4384E0,-3.556E0)); +#6532=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6533=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6534=AXIS2_PLACEMENT_3D('',#6531,#6532,#6533); +#6536=CARTESIAN_POINT('',(-3.228975E1,-2.4384E0,-3.556E0)); +#6537=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6538=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6539=AXIS2_PLACEMENT_3D('',#6536,#6537,#6538); +#6541=CARTESIAN_POINT('',(-3.228975E1,2.4384E0,-3.556E0)); +#6542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6543=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6544=AXIS2_PLACEMENT_3D('',#6541,#6542,#6543); +#6546=CARTESIAN_POINT('',(-3.121025E1,-2.4384E0,-3.556E0)); +#6547=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6548=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6549=AXIS2_PLACEMENT_3D('',#6546,#6547,#6548); +#6551=CARTESIAN_POINT('',(-3.121025E1,2.4384E0,-3.556E0)); +#6552=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6553=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6554=AXIS2_PLACEMENT_3D('',#6551,#6552,#6553); +#6556=CARTESIAN_POINT('',(-2.974975E1,-2.4384E0,-3.556E0)); +#6557=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6558=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6559=AXIS2_PLACEMENT_3D('',#6556,#6557,#6558); +#6561=CARTESIAN_POINT('',(-2.974975E1,2.4384E0,-3.556E0)); +#6562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6563=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6564=AXIS2_PLACEMENT_3D('',#6561,#6562,#6563); +#6566=CARTESIAN_POINT('',(-2.867025E1,-2.4384E0,-3.556E0)); +#6567=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6568=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6569=AXIS2_PLACEMENT_3D('',#6566,#6567,#6568); +#6571=CARTESIAN_POINT('',(-2.867025E1,2.4384E0,-3.556E0)); +#6572=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6573=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6574=AXIS2_PLACEMENT_3D('',#6571,#6572,#6573); +#6576=CARTESIAN_POINT('',(-2.720975E1,-2.4384E0,-3.556E0)); +#6577=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6578=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6579=AXIS2_PLACEMENT_3D('',#6576,#6577,#6578); +#6581=CARTESIAN_POINT('',(-2.720975E1,2.4384E0,-3.556E0)); +#6582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6583=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6584=AXIS2_PLACEMENT_3D('',#6581,#6582,#6583); +#6586=CARTESIAN_POINT('',(-2.613025E1,-2.4384E0,-3.556E0)); +#6587=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6588=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6589=AXIS2_PLACEMENT_3D('',#6586,#6587,#6588); +#6591=CARTESIAN_POINT('',(-2.613025E1,2.4384E0,-3.556E0)); +#6592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6593=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6594=AXIS2_PLACEMENT_3D('',#6591,#6592,#6593); +#6596=CARTESIAN_POINT('',(-2.466975E1,-2.4384E0,-3.556E0)); +#6597=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6598=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6599=AXIS2_PLACEMENT_3D('',#6596,#6597,#6598); +#6601=CARTESIAN_POINT('',(-2.466975E1,2.4384E0,-3.556E0)); +#6602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6603=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6604=AXIS2_PLACEMENT_3D('',#6601,#6602,#6603); +#6606=CARTESIAN_POINT('',(-2.359025E1,2.4384E0,-3.556E0)); +#6607=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6608=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6609=AXIS2_PLACEMENT_3D('',#6606,#6607,#6608); +#6611=CARTESIAN_POINT('',(-2.359025E1,-2.4384E0,-3.556E0)); +#6612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6613=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6614=AXIS2_PLACEMENT_3D('',#6611,#6612,#6613); +#6616=CARTESIAN_POINT('',(-2.212975E1,2.4384E0,-3.556E0)); +#6617=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6618=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6619=AXIS2_PLACEMENT_3D('',#6616,#6617,#6618); +#6621=CARTESIAN_POINT('',(-2.212975E1,-2.4384E0,-3.556E0)); +#6622=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6623=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6624=AXIS2_PLACEMENT_3D('',#6621,#6622,#6623); +#6626=CARTESIAN_POINT('',(-2.105025E1,2.4384E0,-3.556E0)); +#6627=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6628=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6629=AXIS2_PLACEMENT_3D('',#6626,#6627,#6628); +#6631=CARTESIAN_POINT('',(-2.105025E1,-2.4384E0,-3.556E0)); +#6632=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6633=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6634=AXIS2_PLACEMENT_3D('',#6631,#6632,#6633); +#6636=CARTESIAN_POINT('',(-1.958975E1,2.4384E0,-3.556E0)); +#6637=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6638=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6639=AXIS2_PLACEMENT_3D('',#6636,#6637,#6638); +#6641=CARTESIAN_POINT('',(-1.958975E1,-2.4384E0,-3.556E0)); +#6642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6643=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6644=AXIS2_PLACEMENT_3D('',#6641,#6642,#6643); +#6646=CARTESIAN_POINT('',(-1.851025E1,2.4384E0,-3.556E0)); +#6647=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6648=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6649=AXIS2_PLACEMENT_3D('',#6646,#6647,#6648); +#6651=CARTESIAN_POINT('',(-1.851025E1,-2.4384E0,-3.556E0)); +#6652=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6653=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6654=AXIS2_PLACEMENT_3D('',#6651,#6652,#6653); +#6656=CARTESIAN_POINT('',(-1.704975E1,2.4384E0,-3.556E0)); +#6657=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6658=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6659=AXIS2_PLACEMENT_3D('',#6656,#6657,#6658); +#6661=CARTESIAN_POINT('',(-1.704975E1,-2.4384E0,-3.556E0)); +#6662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6663=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6664=AXIS2_PLACEMENT_3D('',#6661,#6662,#6663); +#6666=CARTESIAN_POINT('',(-1.597025E1,2.4384E0,-3.556E0)); +#6667=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6668=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6669=AXIS2_PLACEMENT_3D('',#6666,#6667,#6668); +#6671=CARTESIAN_POINT('',(-1.597025E1,-2.4384E0,-3.556E0)); +#6672=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6673=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6674=AXIS2_PLACEMENT_3D('',#6671,#6672,#6673); +#6676=CARTESIAN_POINT('',(-1.450975E1,2.4384E0,-3.556E0)); +#6677=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6678=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6679=AXIS2_PLACEMENT_3D('',#6676,#6677,#6678); +#6681=CARTESIAN_POINT('',(-1.450975E1,-2.4384E0,-3.556E0)); +#6682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6683=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6684=AXIS2_PLACEMENT_3D('',#6681,#6682,#6683); +#6686=CARTESIAN_POINT('',(-1.343025E1,2.4384E0,-3.556E0)); +#6687=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6688=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6689=AXIS2_PLACEMENT_3D('',#6686,#6687,#6688); +#6691=CARTESIAN_POINT('',(-1.343025E1,-2.4384E0,-3.556E0)); +#6692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6693=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6694=AXIS2_PLACEMENT_3D('',#6691,#6692,#6693); +#6696=CARTESIAN_POINT('',(-1.196975E1,2.4384E0,-3.556E0)); +#6697=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6698=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6699=AXIS2_PLACEMENT_3D('',#6696,#6697,#6698); +#6701=CARTESIAN_POINT('',(-1.196975E1,-2.4384E0,-3.556E0)); +#6702=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6703=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6704=AXIS2_PLACEMENT_3D('',#6701,#6702,#6703); +#6706=CARTESIAN_POINT('',(-1.089025E1,2.4384E0,-3.556E0)); +#6707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6708=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6709=AXIS2_PLACEMENT_3D('',#6706,#6707,#6708); +#6711=CARTESIAN_POINT('',(-1.089025E1,-2.4384E0,-3.556E0)); +#6712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6713=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6714=AXIS2_PLACEMENT_3D('',#6711,#6712,#6713); +#6716=CARTESIAN_POINT('',(-9.42975E0,2.4384E0,-3.556E0)); +#6717=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6718=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6719=AXIS2_PLACEMENT_3D('',#6716,#6717,#6718); +#6721=CARTESIAN_POINT('',(-9.42975E0,-2.4384E0,-3.556E0)); +#6722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6723=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6724=AXIS2_PLACEMENT_3D('',#6721,#6722,#6723); +#6726=CARTESIAN_POINT('',(-8.35025E0,2.4384E0,-3.556E0)); +#6727=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6728=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6729=AXIS2_PLACEMENT_3D('',#6726,#6727,#6728); +#6731=CARTESIAN_POINT('',(-8.35025E0,-2.4384E0,-3.556E0)); +#6732=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6733=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6734=AXIS2_PLACEMENT_3D('',#6731,#6732,#6733); +#6736=CARTESIAN_POINT('',(-6.88975E0,2.4384E0,-3.556E0)); +#6737=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6738=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6739=AXIS2_PLACEMENT_3D('',#6736,#6737,#6738); +#6741=CARTESIAN_POINT('',(-6.88975E0,-2.4384E0,-3.556E0)); +#6742=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6743=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6744=AXIS2_PLACEMENT_3D('',#6741,#6742,#6743); +#6746=CARTESIAN_POINT('',(-5.81025E0,2.4384E0,-3.556E0)); +#6747=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6748=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6749=AXIS2_PLACEMENT_3D('',#6746,#6747,#6748); +#6751=CARTESIAN_POINT('',(-5.81025E0,-2.4384E0,-3.556E0)); +#6752=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6753=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6754=AXIS2_PLACEMENT_3D('',#6751,#6752,#6753); +#6756=CARTESIAN_POINT('',(-4.34975E0,2.4384E0,-3.556E0)); +#6757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6758=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6759=AXIS2_PLACEMENT_3D('',#6756,#6757,#6758); +#6761=CARTESIAN_POINT('',(-4.34975E0,-2.4384E0,-3.556E0)); +#6762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6763=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6764=AXIS2_PLACEMENT_3D('',#6761,#6762,#6763); +#6766=CARTESIAN_POINT('',(-3.27025E0,2.4384E0,-3.556E0)); +#6767=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6768=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6769=AXIS2_PLACEMENT_3D('',#6766,#6767,#6768); +#6771=CARTESIAN_POINT('',(-3.27025E0,-2.4384E0,-3.556E0)); +#6772=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6773=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6774=AXIS2_PLACEMENT_3D('',#6771,#6772,#6773); +#6776=CARTESIAN_POINT('',(-1.80975E0,2.4384E0,-3.556E0)); +#6777=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6778=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6779=AXIS2_PLACEMENT_3D('',#6776,#6777,#6778); +#6781=CARTESIAN_POINT('',(-1.80975E0,-2.4384E0,-3.556E0)); +#6782=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6783=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6784=AXIS2_PLACEMENT_3D('',#6781,#6782,#6783); +#6786=CARTESIAN_POINT('',(-7.3025E-1,2.4384E0,-3.556E0)); +#6787=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6788=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6789=AXIS2_PLACEMENT_3D('',#6786,#6787,#6788); +#6791=CARTESIAN_POINT('',(-7.3025E-1,-2.4384E0,-3.556E0)); +#6792=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6793=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6794=AXIS2_PLACEMENT_3D('',#6791,#6792,#6793); +#6796=CARTESIAN_POINT('',(7.3025E-1,2.4384E0,-3.556E0)); +#6797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6798=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6799=AXIS2_PLACEMENT_3D('',#6796,#6797,#6798); +#6801=CARTESIAN_POINT('',(7.3025E-1,-2.4384E0,-3.556E0)); +#6802=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6803=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6804=AXIS2_PLACEMENT_3D('',#6801,#6802,#6803); +#6806=CARTESIAN_POINT('',(1.80975E0,2.4384E0,-3.556E0)); +#6807=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6808=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6809=AXIS2_PLACEMENT_3D('',#6806,#6807,#6808); +#6811=CARTESIAN_POINT('',(1.80975E0,-2.4384E0,-3.556E0)); +#6812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6813=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6814=AXIS2_PLACEMENT_3D('',#6811,#6812,#6813); +#6816=CARTESIAN_POINT('',(3.27025E0,2.4384E0,-3.556E0)); +#6817=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6818=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6819=AXIS2_PLACEMENT_3D('',#6816,#6817,#6818); +#6821=CARTESIAN_POINT('',(3.27025E0,-2.4384E0,-3.556E0)); +#6822=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6823=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6824=AXIS2_PLACEMENT_3D('',#6821,#6822,#6823); +#6826=CARTESIAN_POINT('',(4.34975E0,2.4384E0,-3.556E0)); +#6827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6828=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6829=AXIS2_PLACEMENT_3D('',#6826,#6827,#6828); +#6831=CARTESIAN_POINT('',(4.34975E0,-2.4384E0,-3.556E0)); +#6832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6833=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6834=AXIS2_PLACEMENT_3D('',#6831,#6832,#6833); +#6836=CARTESIAN_POINT('',(5.81025E0,2.4384E0,-3.556E0)); +#6837=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6838=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6839=AXIS2_PLACEMENT_3D('',#6836,#6837,#6838); +#6841=CARTESIAN_POINT('',(5.81025E0,-2.4384E0,-3.556E0)); +#6842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6843=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6844=AXIS2_PLACEMENT_3D('',#6841,#6842,#6843); +#6846=CARTESIAN_POINT('',(6.88975E0,2.4384E0,-3.556E0)); +#6847=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6848=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6849=AXIS2_PLACEMENT_3D('',#6846,#6847,#6848); +#6851=CARTESIAN_POINT('',(6.88975E0,-2.4384E0,-3.556E0)); +#6852=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6853=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6854=AXIS2_PLACEMENT_3D('',#6851,#6852,#6853); +#6856=CARTESIAN_POINT('',(8.35025E0,2.4384E0,-3.556E0)); +#6857=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6858=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6859=AXIS2_PLACEMENT_3D('',#6856,#6857,#6858); +#6861=CARTESIAN_POINT('',(8.35025E0,-2.4384E0,-3.556E0)); +#6862=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6863=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6864=AXIS2_PLACEMENT_3D('',#6861,#6862,#6863); +#6866=CARTESIAN_POINT('',(9.42975E0,2.4384E0,-3.556E0)); +#6867=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6868=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6869=AXIS2_PLACEMENT_3D('',#6866,#6867,#6868); +#6871=CARTESIAN_POINT('',(9.42975E0,-2.4384E0,-3.556E0)); +#6872=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6873=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6874=AXIS2_PLACEMENT_3D('',#6871,#6872,#6873); +#6876=CARTESIAN_POINT('',(1.089025E1,2.4384E0,-3.556E0)); +#6877=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6878=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6879=AXIS2_PLACEMENT_3D('',#6876,#6877,#6878); +#6881=CARTESIAN_POINT('',(1.089025E1,-2.4384E0,-3.556E0)); +#6882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6883=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6884=AXIS2_PLACEMENT_3D('',#6881,#6882,#6883); +#6886=CARTESIAN_POINT('',(1.196975E1,2.4384E0,-3.556E0)); +#6887=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6888=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6889=AXIS2_PLACEMENT_3D('',#6886,#6887,#6888); +#6891=CARTESIAN_POINT('',(1.196975E1,-2.4384E0,-3.556E0)); +#6892=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6893=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6894=AXIS2_PLACEMENT_3D('',#6891,#6892,#6893); +#6896=CARTESIAN_POINT('',(1.343025E1,2.4384E0,-3.556E0)); +#6897=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6898=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6899=AXIS2_PLACEMENT_3D('',#6896,#6897,#6898); +#6901=CARTESIAN_POINT('',(1.343025E1,-2.4384E0,-3.556E0)); +#6902=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6903=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6904=AXIS2_PLACEMENT_3D('',#6901,#6902,#6903); +#6906=CARTESIAN_POINT('',(1.450975E1,2.4384E0,-3.556E0)); +#6907=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6908=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6909=AXIS2_PLACEMENT_3D('',#6906,#6907,#6908); +#6911=CARTESIAN_POINT('',(1.450975E1,-2.4384E0,-3.556E0)); +#6912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6913=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6914=AXIS2_PLACEMENT_3D('',#6911,#6912,#6913); +#6916=CARTESIAN_POINT('',(1.597025E1,2.4384E0,-3.556E0)); +#6917=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6918=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6919=AXIS2_PLACEMENT_3D('',#6916,#6917,#6918); +#6921=CARTESIAN_POINT('',(1.597025E1,-2.4384E0,-3.556E0)); +#6922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6923=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6924=AXIS2_PLACEMENT_3D('',#6921,#6922,#6923); +#6926=CARTESIAN_POINT('',(1.704975E1,2.4384E0,-3.556E0)); +#6927=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6928=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6929=AXIS2_PLACEMENT_3D('',#6926,#6927,#6928); +#6931=CARTESIAN_POINT('',(1.704975E1,-2.4384E0,-3.556E0)); +#6932=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6933=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6934=AXIS2_PLACEMENT_3D('',#6931,#6932,#6933); +#6936=CARTESIAN_POINT('',(1.851025E1,2.4384E0,-3.556E0)); +#6937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6938=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6939=AXIS2_PLACEMENT_3D('',#6936,#6937,#6938); +#6941=CARTESIAN_POINT('',(1.851025E1,-2.4384E0,-3.556E0)); +#6942=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6943=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6944=AXIS2_PLACEMENT_3D('',#6941,#6942,#6943); +#6946=CARTESIAN_POINT('',(1.958975E1,2.4384E0,-3.556E0)); +#6947=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6948=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6949=AXIS2_PLACEMENT_3D('',#6946,#6947,#6948); +#6951=CARTESIAN_POINT('',(1.958975E1,-2.4384E0,-3.556E0)); +#6952=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6953=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6954=AXIS2_PLACEMENT_3D('',#6951,#6952,#6953); +#6956=CARTESIAN_POINT('',(2.105025E1,2.4384E0,-3.556E0)); +#6957=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6958=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6959=AXIS2_PLACEMENT_3D('',#6956,#6957,#6958); +#6961=CARTESIAN_POINT('',(2.105025E1,-2.4384E0,-3.556E0)); +#6962=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6963=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6964=AXIS2_PLACEMENT_3D('',#6961,#6962,#6963); +#6966=CARTESIAN_POINT('',(2.212975E1,2.4384E0,-3.556E0)); +#6967=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6968=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6969=AXIS2_PLACEMENT_3D('',#6966,#6967,#6968); +#6971=CARTESIAN_POINT('',(2.212975E1,-2.4384E0,-3.556E0)); +#6972=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6973=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6974=AXIS2_PLACEMENT_3D('',#6971,#6972,#6973); +#6976=CARTESIAN_POINT('',(2.359025E1,2.4384E0,-3.556E0)); +#6977=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6978=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6979=AXIS2_PLACEMENT_3D('',#6976,#6977,#6978); +#6981=CARTESIAN_POINT('',(2.359025E1,-2.4384E0,-3.556E0)); +#6982=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6983=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6984=AXIS2_PLACEMENT_3D('',#6981,#6982,#6983); +#6986=CARTESIAN_POINT('',(2.466975E1,2.4384E0,-3.556E0)); +#6987=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6988=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6989=AXIS2_PLACEMENT_3D('',#6986,#6987,#6988); +#6991=CARTESIAN_POINT('',(2.466975E1,-2.4384E0,-3.556E0)); +#6992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6993=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#6994=AXIS2_PLACEMENT_3D('',#6991,#6992,#6993); +#6996=CARTESIAN_POINT('',(2.613025E1,2.4384E0,-3.556E0)); +#6997=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6998=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#6999=AXIS2_PLACEMENT_3D('',#6996,#6997,#6998); +#7001=CARTESIAN_POINT('',(2.613025E1,-2.4384E0,-3.556E0)); +#7002=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7003=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7004=AXIS2_PLACEMENT_3D('',#7001,#7002,#7003); +#7006=CARTESIAN_POINT('',(2.720975E1,2.4384E0,-3.556E0)); +#7007=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7008=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7009=AXIS2_PLACEMENT_3D('',#7006,#7007,#7008); +#7011=CARTESIAN_POINT('',(2.720975E1,-2.4384E0,-3.556E0)); +#7012=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7013=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7014=AXIS2_PLACEMENT_3D('',#7011,#7012,#7013); +#7016=CARTESIAN_POINT('',(2.867025E1,2.4384E0,-3.556E0)); +#7017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7018=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7019=AXIS2_PLACEMENT_3D('',#7016,#7017,#7018); +#7021=CARTESIAN_POINT('',(2.867025E1,-2.4384E0,-3.556E0)); +#7022=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7023=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7024=AXIS2_PLACEMENT_3D('',#7021,#7022,#7023); +#7026=CARTESIAN_POINT('',(2.974975E1,2.4384E0,-3.556E0)); +#7027=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7028=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7029=AXIS2_PLACEMENT_3D('',#7026,#7027,#7028); +#7031=CARTESIAN_POINT('',(2.974975E1,-2.4384E0,-3.556E0)); +#7032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7033=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7034=AXIS2_PLACEMENT_3D('',#7031,#7032,#7033); +#7036=CARTESIAN_POINT('',(3.121025E1,2.4384E0,-3.556E0)); +#7037=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7038=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7039=AXIS2_PLACEMENT_3D('',#7036,#7037,#7038); +#7041=CARTESIAN_POINT('',(3.121025E1,-2.4384E0,-3.556E0)); +#7042=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7043=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7044=AXIS2_PLACEMENT_3D('',#7041,#7042,#7043); +#7046=CARTESIAN_POINT('',(3.228975E1,2.4384E0,-3.556E0)); +#7047=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7048=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7049=AXIS2_PLACEMENT_3D('',#7046,#7047,#7048); +#7051=CARTESIAN_POINT('',(3.228975E1,-2.4384E0,-3.556E0)); +#7052=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7053=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7054=AXIS2_PLACEMENT_3D('',#7051,#7052,#7053); +#7056=CARTESIAN_POINT('',(3.375025E1,2.4384E0,-3.556E0)); +#7057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7058=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7059=AXIS2_PLACEMENT_3D('',#7056,#7057,#7058); +#7061=CARTESIAN_POINT('',(3.375025E1,-2.4384E0,-3.556E0)); +#7062=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7063=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7064=AXIS2_PLACEMENT_3D('',#7061,#7062,#7063); +#7066=CARTESIAN_POINT('',(3.482975E1,2.4384E0,-3.556E0)); +#7067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7068=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7069=AXIS2_PLACEMENT_3D('',#7066,#7067,#7068); +#7071=CARTESIAN_POINT('',(3.482975E1,-2.4384E0,-3.556E0)); +#7072=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7073=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7074=AXIS2_PLACEMENT_3D('',#7071,#7072,#7073); +#7076=CARTESIAN_POINT('',(3.629025E1,2.4384E0,-3.556E0)); +#7077=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7078=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7079=AXIS2_PLACEMENT_3D('',#7076,#7077,#7078); +#7081=CARTESIAN_POINT('',(3.629025E1,-2.4384E0,-3.556E0)); +#7082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7083=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7084=AXIS2_PLACEMENT_3D('',#7081,#7082,#7083); +#7086=CARTESIAN_POINT('',(3.736975E1,2.4384E0,-3.556E0)); +#7087=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7088=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7089=AXIS2_PLACEMENT_3D('',#7086,#7087,#7088); +#7091=CARTESIAN_POINT('',(3.736975E1,-2.4384E0,-3.556E0)); +#7092=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7093=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7094=AXIS2_PLACEMENT_3D('',#7091,#7092,#7093); +#7096=CARTESIAN_POINT('',(3.883025E1,2.4384E0,-3.556E0)); +#7097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7098=DIRECTION('',(0.E0,-6.705882352941E-1,-7.418297774322E-1)); +#7099=AXIS2_PLACEMENT_3D('',#7096,#7097,#7098); +#7101=CARTESIAN_POINT('',(3.883025E1,-2.4384E0,-3.556E0)); +#7102=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7103=DIRECTION('',(0.E0,6.705882352941E-1,7.418297774322E-1)); +#7104=AXIS2_PLACEMENT_3D('',#7101,#7102,#7103); +#7106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7107=VECTOR('',#7106,8.89E0); +#7108=CARTESIAN_POINT('',(-4.191E1,4.6736E0,0.E0)); +#7109=LINE('',#7108,#7107); +#7110=DIRECTION('',(1.E0,0.E0,0.E0)); +#7111=VECTOR('',#7110,8.382E1); +#7112=CARTESIAN_POINT('',(-4.191E1,4.6736E0,-8.89E0)); +#7113=LINE('',#7112,#7111); +#7114=DIRECTION('',(0.E0,0.E0,1.E0)); +#7115=VECTOR('',#7114,8.89E0); +#7116=CARTESIAN_POINT('',(4.191E1,4.6736E0,-8.89E0)); +#7117=LINE('',#7116,#7115); +#7118=CARTESIAN_POINT('',(-4.191E1,3.048E0,-8.89E0)); +#7119=DIRECTION('',(0.E0,0.E0,1.E0)); +#7120=DIRECTION('',(0.E0,1.E0,0.E0)); +#7121=AXIS2_PLACEMENT_3D('',#7118,#7119,#7120); +#7123=CARTESIAN_POINT('',(-4.1275E1,3.048E0,-1.0922E1)); +#7124=CARTESIAN_POINT('',(-4.1275E1,-3.048E0,-1.0922E1)); +#7125=VERTEX_POINT('',#7123); +#7126=VERTEX_POINT('',#7124); +#7127=CARTESIAN_POINT('',(4.1275E1,3.048E0,-1.0922E1)); +#7128=CARTESIAN_POINT('',(4.1275E1,-3.048E0,-1.0922E1)); +#7129=VERTEX_POINT('',#7127); +#7130=VERTEX_POINT('',#7128); +#7131=CARTESIAN_POINT('',(4.064E1,9.906E-1,-7.493E0)); +#7132=CARTESIAN_POINT('',(-4.064E1,9.906E-1,-7.493E0)); +#7133=VERTEX_POINT('',#7131); +#7134=VERTEX_POINT('',#7132); +#7135=CARTESIAN_POINT('',(4.064E1,-9.906E-1,-7.493E0)); +#7136=CARTESIAN_POINT('',(-4.064E1,-9.906E-1,-7.493E0)); +#7137=VERTEX_POINT('',#7135); +#7138=VERTEX_POINT('',#7136); +#7139=CARTESIAN_POINT('',(-4.064E1,9.906E-1,-1.524E0)); +#7140=CARTESIAN_POINT('',(4.064E1,9.906E-1,-1.524E0)); +#7141=VERTEX_POINT('',#7139); +#7142=VERTEX_POINT('',#7140); +#7143=CARTESIAN_POINT('',(-4.2164E1,2.5146E0,0.E0)); +#7144=CARTESIAN_POINT('',(4.2164E1,2.5146E0,0.E0)); +#7145=VERTEX_POINT('',#7143); +#7146=VERTEX_POINT('',#7144); +#7147=CARTESIAN_POINT('',(4.064E1,-9.906E-1,-1.524E0)); +#7148=CARTESIAN_POINT('',(-4.064E1,-9.906E-1,-1.524E0)); +#7149=VERTEX_POINT('',#7147); +#7150=VERTEX_POINT('',#7148); +#7151=CARTESIAN_POINT('',(4.2164E1,-2.5146E0,0.E0)); +#7152=CARTESIAN_POINT('',(-4.2164E1,-2.5146E0,0.E0)); +#7153=VERTEX_POINT('',#7151); +#7154=VERTEX_POINT('',#7152); +#7155=CARTESIAN_POINT('',(-3.79984E1,-1.0922E0,-1.4097E1)); +#7156=CARTESIAN_POINT('',(-3.79984E1,-2.1082E0,-1.4097E1)); +#7157=VERTEX_POINT('',#7155); +#7158=VERTEX_POINT('',#7156); +#7159=CARTESIAN_POINT('',(-3.81254E1,-2.1082E0,-1.4097E1)); +#7160=VERTEX_POINT('',#7159); +#7161=CARTESIAN_POINT('',(-3.82524E1,-1.956880869102E0,-1.4097E1)); +#7162=VERTEX_POINT('',#7161); +#7163=CARTESIAN_POINT('',(-3.82524E1,-1.783944658947E0,-1.4097E1)); +#7164=VERTEX_POINT('',#7163); +#7165=CARTESIAN_POINT('',(-3.81254E1,-1.935263850403E0,-1.4097E1)); +#7166=VERTEX_POINT('',#7165); +#7167=CARTESIAN_POINT('',(-3.81254E1,-1.0922E0,-1.4097E1)); +#7168=VERTEX_POINT('',#7167); +#7169=CARTESIAN_POINT('',(-3.84556E1,2.000114891362E0,-1.4097E1)); +#7170=CARTESIAN_POINT('',(-3.84556E1,2.1082E0,-1.4097E1)); +#7171=VERTEX_POINT('',#7169); +#7172=VERTEX_POINT('',#7170); +#7173=CARTESIAN_POINT('',(-3.76936E1,2.1082E0,-1.4097E1)); +#7174=VERTEX_POINT('',#7173); +#7175=CARTESIAN_POINT('',(-3.76936E1,2.000114891362E0,-1.4097E1)); +#7176=VERTEX_POINT('',#7175); +#7177=CARTESIAN_POINT('',(-3.828626666162E1,2.000114891362E0,-1.4097E1)); +#7178=VERTEX_POINT('',#7177); +#7179=CARTESIAN_POINT('',(-3.77571E1,1.524540434551E0,-1.4097E1)); +#7180=VERTEX_POINT('',#7179); +#7181=CARTESIAN_POINT('',(-3.771476664648E1,1.459689378452E0,-1.4097E1)); +#7182=VERTEX_POINT('',#7181); +#7183=CARTESIAN_POINT('',(-3.76936E1,1.373221303654E0,-1.4097E1)); +#7184=VERTEX_POINT('',#7183); +#7185=CARTESIAN_POINT('',(-3.76936E1,1.329987205696E0,-1.4097E1)); +#7186=VERTEX_POINT('',#7185); +#7187=CARTESIAN_POINT('',(-3.771476664648E1,1.243519130898E0,-1.4097E1)); +#7188=VERTEX_POINT('',#7187); +#7189=CARTESIAN_POINT('',(-3.779943335352E1,1.157051056099E0,-1.4097E1)); +#7190=VERTEX_POINT('',#7189); +#7191=CARTESIAN_POINT('',(-3.78841E1,1.113817018700E0,-1.4097E1)); +#7192=VERTEX_POINT('',#7191); +#7193=CARTESIAN_POINT('',(-3.80111E1,1.0922E0,-1.4097E1)); +#7194=VERTEX_POINT('',#7193); +#7195=CARTESIAN_POINT('',(-3.809576667676E1,1.0922E0,-1.4097E1)); +#7196=VERTEX_POINT('',#7195); +#7197=CARTESIAN_POINT('',(-3.824393333838E1,1.113817018700E0,-1.4097E1)); +#7198=VERTEX_POINT('',#7197); +#7199=CARTESIAN_POINT('',(-3.83286E1,1.157051056099E0,-1.4097E1)); +#7200=VERTEX_POINT('',#7199); +#7201=CARTESIAN_POINT('',(-3.841326666541E1,1.243519130898E0,-1.4097E1)); +#7202=VERTEX_POINT('',#7201); +#7203=CARTESIAN_POINT('',(-3.843443333270E1,1.329987205696E0,-1.4097E1)); +#7204=VERTEX_POINT('',#7203); +#7205=CARTESIAN_POINT('',(-3.83286E1,1.329987205696E0,-1.4097E1)); +#7206=VERTEX_POINT('',#7205); +#7207=CARTESIAN_POINT('',(-3.828626666162E1,1.265136149597E0,-1.4097E1)); +#7208=VERTEX_POINT('',#7207); +#7209=CARTESIAN_POINT('',(-3.822276666162E1,1.221902112198E0,-1.4097E1)); +#7210=VERTEX_POINT('',#7209); +#7211=CARTESIAN_POINT('',(-3.811693332324E1,1.200285093498E0,-1.4097E1)); +#7212=VERTEX_POINT('',#7211); +#7213=CARTESIAN_POINT('',(-3.803226667676E1,1.200285093498E0,-1.4097E1)); +#7214=VERTEX_POINT('',#7213); +#7215=CARTESIAN_POINT('',(-3.792643335352E1,1.221902112198E0,-1.4097E1)); +#7216=VERTEX_POINT('',#7215); +#7217=CARTESIAN_POINT('',(-3.786293335352E1,1.265136149597E0,-1.4097E1)); +#7218=VERTEX_POINT('',#7217); +#7219=CARTESIAN_POINT('',(-3.78206E1,1.329987205696E0,-1.4097E1)); +#7220=VERTEX_POINT('',#7219); +#7221=CARTESIAN_POINT('',(-3.78206E1,1.373221303654E0,-1.4097E1)); +#7222=VERTEX_POINT('',#7221); +#7223=CARTESIAN_POINT('',(-3.786293335352E1,1.459689378452E0,-1.4097E1)); +#7224=VERTEX_POINT('',#7223); +#7225=CARTESIAN_POINT('',(-3.79984E1,-1.0922E0,-1.397E1)); +#7226=CARTESIAN_POINT('',(-3.79984E1,-2.1082E0,-1.397E1)); +#7227=VERTEX_POINT('',#7225); +#7228=VERTEX_POINT('',#7226); +#7229=CARTESIAN_POINT('',(-3.81254E1,-2.1082E0,-1.397E1)); +#7230=VERTEX_POINT('',#7229); +#7231=CARTESIAN_POINT('',(-3.82524E1,-1.956880869102E0,-1.397E1)); +#7232=VERTEX_POINT('',#7231); +#7233=CARTESIAN_POINT('',(-3.82524E1,-1.783944658947E0,-1.397E1)); +#7234=VERTEX_POINT('',#7233); +#7235=CARTESIAN_POINT('',(-3.81254E1,-1.935263850403E0,-1.397E1)); +#7236=VERTEX_POINT('',#7235); +#7237=CARTESIAN_POINT('',(-3.81254E1,-1.0922E0,-1.397E1)); +#7238=VERTEX_POINT('',#7237); +#7239=CARTESIAN_POINT('',(-3.84556E1,2.000114891362E0,-1.397E1)); +#7240=CARTESIAN_POINT('',(-3.84556E1,2.1082E0,-1.397E1)); +#7241=VERTEX_POINT('',#7239); +#7242=VERTEX_POINT('',#7240); +#7243=CARTESIAN_POINT('',(-3.76936E1,2.1082E0,-1.397E1)); +#7244=VERTEX_POINT('',#7243); +#7245=CARTESIAN_POINT('',(-3.76936E1,2.000114891362E0,-1.397E1)); +#7246=VERTEX_POINT('',#7245); +#7247=CARTESIAN_POINT('',(-3.828626666162E1,2.000114891362E0,-1.397E1)); +#7248=VERTEX_POINT('',#7247); +#7249=CARTESIAN_POINT('',(-3.77571E1,1.524540434551E0,-1.397E1)); +#7250=VERTEX_POINT('',#7249); +#7251=CARTESIAN_POINT('',(-3.771476664648E1,1.459689378452E0,-1.397E1)); +#7252=VERTEX_POINT('',#7251); +#7253=CARTESIAN_POINT('',(-3.76936E1,1.373221303654E0,-1.397E1)); +#7254=VERTEX_POINT('',#7253); +#7255=CARTESIAN_POINT('',(-3.76936E1,1.329987205696E0,-1.397E1)); +#7256=VERTEX_POINT('',#7255); +#7257=CARTESIAN_POINT('',(-3.771476664648E1,1.243519130898E0,-1.397E1)); +#7258=VERTEX_POINT('',#7257); +#7259=CARTESIAN_POINT('',(-3.779943335352E1,1.157051056099E0,-1.397E1)); +#7260=VERTEX_POINT('',#7259); +#7261=CARTESIAN_POINT('',(-3.78841E1,1.113817018700E0,-1.397E1)); +#7262=VERTEX_POINT('',#7261); +#7263=CARTESIAN_POINT('',(-3.80111E1,1.0922E0,-1.397E1)); +#7264=VERTEX_POINT('',#7263); +#7265=CARTESIAN_POINT('',(-3.809576667676E1,1.0922E0,-1.397E1)); +#7266=VERTEX_POINT('',#7265); +#7267=CARTESIAN_POINT('',(-3.824393333838E1,1.113817018700E0,-1.397E1)); +#7268=VERTEX_POINT('',#7267); +#7269=CARTESIAN_POINT('',(-3.83286E1,1.157051056099E0,-1.397E1)); +#7270=VERTEX_POINT('',#7269); +#7271=CARTESIAN_POINT('',(-3.841326666541E1,1.243519130898E0,-1.397E1)); +#7272=VERTEX_POINT('',#7271); +#7273=CARTESIAN_POINT('',(-3.843443333270E1,1.329987205696E0,-1.397E1)); +#7274=VERTEX_POINT('',#7273); +#7275=CARTESIAN_POINT('',(-3.83286E1,1.329987205696E0,-1.397E1)); +#7276=VERTEX_POINT('',#7275); +#7277=CARTESIAN_POINT('',(-3.828626666162E1,1.265136149597E0,-1.397E1)); +#7278=VERTEX_POINT('',#7277); +#7279=CARTESIAN_POINT('',(-3.822276666162E1,1.221902112198E0,-1.397E1)); +#7280=VERTEX_POINT('',#7279); +#7281=CARTESIAN_POINT('',(-3.811693332324E1,1.200285093498E0,-1.397E1)); +#7282=VERTEX_POINT('',#7281); +#7283=CARTESIAN_POINT('',(-3.803226667676E1,1.200285093498E0,-1.397E1)); +#7284=VERTEX_POINT('',#7283); +#7285=CARTESIAN_POINT('',(-3.792643335352E1,1.221902112198E0,-1.397E1)); +#7286=VERTEX_POINT('',#7285); +#7287=CARTESIAN_POINT('',(-3.786293335352E1,1.265136149597E0,-1.397E1)); +#7288=VERTEX_POINT('',#7287); +#7289=CARTESIAN_POINT('',(-3.78206E1,1.329987205696E0,-1.397E1)); +#7290=VERTEX_POINT('',#7289); +#7291=CARTESIAN_POINT('',(-3.78206E1,1.373221303654E0,-1.397E1)); +#7292=VERTEX_POINT('',#7291); +#7293=CARTESIAN_POINT('',(-3.786293335352E1,1.459689378452E0,-1.397E1)); +#7294=VERTEX_POINT('',#7293); +#7295=CARTESIAN_POINT('',(-3.883025E1,-9.906E-1,-5.157610489476E0)); +#7296=CARTESIAN_POINT('',(-3.883025E1,-9.906E-1,-1.954389510524E0)); +#7297=VERTEX_POINT('',#7295); +#7298=VERTEX_POINT('',#7296); +#7299=CARTESIAN_POINT('',(-3.736975E1,-9.906E-1,-5.157610489476E0)); +#7300=CARTESIAN_POINT('',(-3.736975E1,-9.906E-1,-1.954389510524E0)); +#7301=VERTEX_POINT('',#7299); +#7302=VERTEX_POINT('',#7300); +#7303=CARTESIAN_POINT('',(-3.629025E1,-9.906E-1,-5.157610489476E0)); +#7304=CARTESIAN_POINT('',(-3.629025E1,-9.906E-1,-1.954389510524E0)); +#7305=VERTEX_POINT('',#7303); +#7306=VERTEX_POINT('',#7304); +#7307=CARTESIAN_POINT('',(-3.482975E1,-9.906E-1,-5.157610489476E0)); +#7308=CARTESIAN_POINT('',(-3.482975E1,-9.906E-1,-1.954389510524E0)); +#7309=VERTEX_POINT('',#7307); +#7310=VERTEX_POINT('',#7308); +#7311=CARTESIAN_POINT('',(-3.375025E1,-9.906E-1,-5.157610489476E0)); +#7312=CARTESIAN_POINT('',(-3.375025E1,-9.906E-1,-1.954389510524E0)); +#7313=VERTEX_POINT('',#7311); +#7314=VERTEX_POINT('',#7312); +#7315=CARTESIAN_POINT('',(-3.228975E1,-9.906E-1,-5.157610489476E0)); +#7316=CARTESIAN_POINT('',(-3.228975E1,-9.906E-1,-1.954389510524E0)); +#7317=VERTEX_POINT('',#7315); +#7318=VERTEX_POINT('',#7316); +#7319=CARTESIAN_POINT('',(-3.121025E1,-9.906E-1,-5.157610489476E0)); +#7320=CARTESIAN_POINT('',(-3.121025E1,-9.906E-1,-1.954389510524E0)); +#7321=VERTEX_POINT('',#7319); +#7322=VERTEX_POINT('',#7320); +#7323=CARTESIAN_POINT('',(-2.974975E1,-9.906E-1,-5.157610489476E0)); +#7324=CARTESIAN_POINT('',(-2.974975E1,-9.906E-1,-1.954389510524E0)); +#7325=VERTEX_POINT('',#7323); +#7326=VERTEX_POINT('',#7324); +#7327=CARTESIAN_POINT('',(-2.867025E1,-9.906E-1,-5.157610489476E0)); +#7328=CARTESIAN_POINT('',(-2.867025E1,-9.906E-1,-1.954389510524E0)); +#7329=VERTEX_POINT('',#7327); +#7330=VERTEX_POINT('',#7328); +#7331=CARTESIAN_POINT('',(-2.720975E1,-9.906E-1,-5.157610489476E0)); +#7332=CARTESIAN_POINT('',(-2.720975E1,-9.906E-1,-1.954389510524E0)); +#7333=VERTEX_POINT('',#7331); +#7334=VERTEX_POINT('',#7332); +#7335=CARTESIAN_POINT('',(-2.613025E1,-9.906E-1,-5.157610489476E0)); +#7336=CARTESIAN_POINT('',(-2.613025E1,-9.906E-1,-1.954389510524E0)); +#7337=VERTEX_POINT('',#7335); +#7338=VERTEX_POINT('',#7336); +#7339=CARTESIAN_POINT('',(-2.466975E1,-9.906E-1,-5.157610489476E0)); +#7340=CARTESIAN_POINT('',(-2.466975E1,-9.906E-1,-1.954389510524E0)); +#7341=VERTEX_POINT('',#7339); +#7342=VERTEX_POINT('',#7340); +#7343=CARTESIAN_POINT('',(-3.883025E1,9.906E-1,-5.157610489476E0)); +#7344=CARTESIAN_POINT('',(-3.883025E1,9.906E-1,-1.954389510524E0)); +#7345=VERTEX_POINT('',#7343); +#7346=VERTEX_POINT('',#7344); +#7347=CARTESIAN_POINT('',(-3.736975E1,9.906E-1,-5.157610489476E0)); +#7348=CARTESIAN_POINT('',(-3.736975E1,9.906E-1,-1.954389510524E0)); +#7349=VERTEX_POINT('',#7347); +#7350=VERTEX_POINT('',#7348); +#7351=CARTESIAN_POINT('',(-3.629025E1,9.906E-1,-5.157610489476E0)); +#7352=CARTESIAN_POINT('',(-3.629025E1,9.906E-1,-1.954389510524E0)); +#7353=VERTEX_POINT('',#7351); +#7354=VERTEX_POINT('',#7352); +#7355=CARTESIAN_POINT('',(-3.482975E1,9.906E-1,-5.157610489476E0)); +#7356=CARTESIAN_POINT('',(-3.482975E1,9.906E-1,-1.954389510524E0)); +#7357=VERTEX_POINT('',#7355); +#7358=VERTEX_POINT('',#7356); +#7359=CARTESIAN_POINT('',(-3.375025E1,9.906E-1,-5.157610489476E0)); +#7360=CARTESIAN_POINT('',(-3.375025E1,9.906E-1,-1.954389510524E0)); +#7361=VERTEX_POINT('',#7359); +#7362=VERTEX_POINT('',#7360); +#7363=CARTESIAN_POINT('',(-3.228975E1,9.906E-1,-5.157610489476E0)); +#7364=CARTESIAN_POINT('',(-3.228975E1,9.906E-1,-1.954389510524E0)); +#7365=VERTEX_POINT('',#7363); +#7366=VERTEX_POINT('',#7364); +#7367=CARTESIAN_POINT('',(-3.121025E1,9.906E-1,-5.157610489476E0)); +#7368=CARTESIAN_POINT('',(-3.121025E1,9.906E-1,-1.954389510524E0)); +#7369=VERTEX_POINT('',#7367); +#7370=VERTEX_POINT('',#7368); +#7371=CARTESIAN_POINT('',(-2.974975E1,9.906E-1,-5.157610489476E0)); +#7372=CARTESIAN_POINT('',(-2.974975E1,9.906E-1,-1.954389510524E0)); +#7373=VERTEX_POINT('',#7371); +#7374=VERTEX_POINT('',#7372); +#7375=CARTESIAN_POINT('',(-2.867025E1,9.906E-1,-5.157610489476E0)); +#7376=CARTESIAN_POINT('',(-2.867025E1,9.906E-1,-1.954389510524E0)); +#7377=VERTEX_POINT('',#7375); +#7378=VERTEX_POINT('',#7376); +#7379=CARTESIAN_POINT('',(-2.720975E1,9.906E-1,-5.157610489476E0)); +#7380=CARTESIAN_POINT('',(-2.720975E1,9.906E-1,-1.954389510524E0)); +#7381=VERTEX_POINT('',#7379); +#7382=VERTEX_POINT('',#7380); +#7383=CARTESIAN_POINT('',(-2.613025E1,9.906E-1,-5.157610489476E0)); +#7384=CARTESIAN_POINT('',(-2.613025E1,9.906E-1,-1.954389510524E0)); +#7385=VERTEX_POINT('',#7383); +#7386=VERTEX_POINT('',#7384); +#7387=CARTESIAN_POINT('',(-2.466975E1,9.906E-1,-5.157610489476E0)); +#7388=CARTESIAN_POINT('',(-2.466975E1,9.906E-1,-1.954389510524E0)); +#7389=VERTEX_POINT('',#7387); +#7390=VERTEX_POINT('',#7388); +#7391=CARTESIAN_POINT('',(-3.84048E1,-2.62509E0,-1.8669E1)); +#7392=CARTESIAN_POINT('',(-3.84048E1,-2.22631E0,-1.8669E1)); +#7393=VERTEX_POINT('',#7391); +#7394=VERTEX_POINT('',#7392); +#7395=CARTESIAN_POINT('',(-3.77952E1,-2.22631E0,-1.8669E1)); +#7396=VERTEX_POINT('',#7395); +#7397=CARTESIAN_POINT('',(-3.77952E1,-2.62509E0,-1.8669E1)); +#7398=VERTEX_POINT('',#7397); +#7399=CARTESIAN_POINT('',(-3.84048E1,2.62509E0,-1.8669E1)); +#7400=CARTESIAN_POINT('',(-3.77952E1,2.62509E0,-1.8669E1)); +#7401=VERTEX_POINT('',#7399); +#7402=VERTEX_POINT('',#7400); +#7403=CARTESIAN_POINT('',(-3.77952E1,2.22631E0,-1.8669E1)); +#7404=VERTEX_POINT('',#7403); +#7405=CARTESIAN_POINT('',(-3.84048E1,2.22631E0,-1.8669E1)); +#7406=VERTEX_POINT('',#7405); +#7407=CARTESIAN_POINT('',(-3.58648E1,-2.62509E0,-1.8669E1)); +#7408=CARTESIAN_POINT('',(-3.58648E1,-2.22631E0,-1.8669E1)); +#7409=VERTEX_POINT('',#7407); +#7410=VERTEX_POINT('',#7408); +#7411=CARTESIAN_POINT('',(-3.52552E1,-2.22631E0,-1.8669E1)); +#7412=VERTEX_POINT('',#7411); +#7413=CARTESIAN_POINT('',(-3.52552E1,-2.62509E0,-1.8669E1)); +#7414=VERTEX_POINT('',#7413); +#7415=CARTESIAN_POINT('',(-3.58648E1,2.62509E0,-1.8669E1)); +#7416=CARTESIAN_POINT('',(-3.52552E1,2.62509E0,-1.8669E1)); +#7417=VERTEX_POINT('',#7415); +#7418=VERTEX_POINT('',#7416); +#7419=CARTESIAN_POINT('',(-3.52552E1,2.22631E0,-1.8669E1)); +#7420=VERTEX_POINT('',#7419); +#7421=CARTESIAN_POINT('',(-3.58648E1,2.22631E0,-1.8669E1)); +#7422=VERTEX_POINT('',#7421); +#7423=CARTESIAN_POINT('',(-3.33248E1,-2.62509E0,-1.8669E1)); +#7424=CARTESIAN_POINT('',(-3.33248E1,-2.22631E0,-1.8669E1)); +#7425=VERTEX_POINT('',#7423); +#7426=VERTEX_POINT('',#7424); +#7427=CARTESIAN_POINT('',(-3.27152E1,-2.22631E0,-1.8669E1)); +#7428=VERTEX_POINT('',#7427); +#7429=CARTESIAN_POINT('',(-3.27152E1,-2.62509E0,-1.8669E1)); +#7430=VERTEX_POINT('',#7429); +#7431=CARTESIAN_POINT('',(-3.33248E1,2.62509E0,-1.8669E1)); +#7432=CARTESIAN_POINT('',(-3.27152E1,2.62509E0,-1.8669E1)); +#7433=VERTEX_POINT('',#7431); +#7434=VERTEX_POINT('',#7432); +#7435=CARTESIAN_POINT('',(-3.27152E1,2.22631E0,-1.8669E1)); +#7436=VERTEX_POINT('',#7435); +#7437=CARTESIAN_POINT('',(-3.33248E1,2.22631E0,-1.8669E1)); +#7438=VERTEX_POINT('',#7437); +#7439=CARTESIAN_POINT('',(-3.07848E1,-2.62509E0,-1.8669E1)); +#7440=CARTESIAN_POINT('',(-3.07848E1,-2.22631E0,-1.8669E1)); +#7441=VERTEX_POINT('',#7439); +#7442=VERTEX_POINT('',#7440); +#7443=CARTESIAN_POINT('',(-3.01752E1,-2.22631E0,-1.8669E1)); +#7444=VERTEX_POINT('',#7443); +#7445=CARTESIAN_POINT('',(-3.01752E1,-2.62509E0,-1.8669E1)); +#7446=VERTEX_POINT('',#7445); +#7447=CARTESIAN_POINT('',(-3.07848E1,2.62509E0,-1.8669E1)); +#7448=CARTESIAN_POINT('',(-3.01752E1,2.62509E0,-1.8669E1)); +#7449=VERTEX_POINT('',#7447); +#7450=VERTEX_POINT('',#7448); +#7451=CARTESIAN_POINT('',(-3.01752E1,2.22631E0,-1.8669E1)); +#7452=VERTEX_POINT('',#7451); +#7453=CARTESIAN_POINT('',(-3.07848E1,2.22631E0,-1.8669E1)); +#7454=VERTEX_POINT('',#7453); +#7455=CARTESIAN_POINT('',(-2.82448E1,-2.62509E0,-1.8669E1)); +#7456=CARTESIAN_POINT('',(-2.82448E1,-2.22631E0,-1.8669E1)); +#7457=VERTEX_POINT('',#7455); +#7458=VERTEX_POINT('',#7456); +#7459=CARTESIAN_POINT('',(-2.76352E1,-2.22631E0,-1.8669E1)); +#7460=VERTEX_POINT('',#7459); +#7461=CARTESIAN_POINT('',(-2.76352E1,-2.62509E0,-1.8669E1)); +#7462=VERTEX_POINT('',#7461); +#7463=CARTESIAN_POINT('',(-2.82448E1,2.62509E0,-1.8669E1)); +#7464=CARTESIAN_POINT('',(-2.76352E1,2.62509E0,-1.8669E1)); +#7465=VERTEX_POINT('',#7463); +#7466=VERTEX_POINT('',#7464); +#7467=CARTESIAN_POINT('',(-2.76352E1,2.22631E0,-1.8669E1)); +#7468=VERTEX_POINT('',#7467); +#7469=CARTESIAN_POINT('',(-2.82448E1,2.22631E0,-1.8669E1)); +#7470=VERTEX_POINT('',#7469); +#7471=CARTESIAN_POINT('',(-2.57048E1,-2.62509E0,-1.8669E1)); +#7472=CARTESIAN_POINT('',(-2.57048E1,-2.22631E0,-1.8669E1)); +#7473=VERTEX_POINT('',#7471); +#7474=VERTEX_POINT('',#7472); +#7475=CARTESIAN_POINT('',(-2.50952E1,-2.22631E0,-1.8669E1)); +#7476=VERTEX_POINT('',#7475); +#7477=CARTESIAN_POINT('',(-2.50952E1,-2.62509E0,-1.8669E1)); +#7478=VERTEX_POINT('',#7477); +#7479=CARTESIAN_POINT('',(-2.57048E1,2.62509E0,-1.8669E1)); +#7480=CARTESIAN_POINT('',(-2.50952E1,2.62509E0,-1.8669E1)); +#7481=VERTEX_POINT('',#7479); +#7482=VERTEX_POINT('',#7480); +#7483=CARTESIAN_POINT('',(-2.50952E1,2.22631E0,-1.8669E1)); +#7484=VERTEX_POINT('',#7483); +#7485=CARTESIAN_POINT('',(-2.57048E1,2.22631E0,-1.8669E1)); +#7486=VERTEX_POINT('',#7485); +#7487=CARTESIAN_POINT('',(-2.31648E1,-2.62509E0,-1.8669E1)); +#7488=CARTESIAN_POINT('',(-2.31648E1,-2.22631E0,-1.8669E1)); +#7489=VERTEX_POINT('',#7487); +#7490=VERTEX_POINT('',#7488); +#7491=CARTESIAN_POINT('',(-2.25552E1,-2.22631E0,-1.8669E1)); +#7492=VERTEX_POINT('',#7491); +#7493=CARTESIAN_POINT('',(-2.25552E1,-2.62509E0,-1.8669E1)); +#7494=VERTEX_POINT('',#7493); +#7495=CARTESIAN_POINT('',(-2.31648E1,2.62509E0,-1.8669E1)); +#7496=CARTESIAN_POINT('',(-2.25552E1,2.62509E0,-1.8669E1)); +#7497=VERTEX_POINT('',#7495); +#7498=VERTEX_POINT('',#7496); +#7499=CARTESIAN_POINT('',(-2.25552E1,2.22631E0,-1.8669E1)); +#7500=VERTEX_POINT('',#7499); +#7501=CARTESIAN_POINT('',(-2.31648E1,2.22631E0,-1.8669E1)); +#7502=VERTEX_POINT('',#7501); +#7503=CARTESIAN_POINT('',(-2.06248E1,-2.62509E0,-1.8669E1)); +#7504=CARTESIAN_POINT('',(-2.06248E1,-2.22631E0,-1.8669E1)); +#7505=VERTEX_POINT('',#7503); +#7506=VERTEX_POINT('',#7504); +#7507=CARTESIAN_POINT('',(-2.00152E1,-2.22631E0,-1.8669E1)); +#7508=VERTEX_POINT('',#7507); +#7509=CARTESIAN_POINT('',(-2.00152E1,-2.62509E0,-1.8669E1)); +#7510=VERTEX_POINT('',#7509); +#7511=CARTESIAN_POINT('',(-2.06248E1,2.62509E0,-1.8669E1)); +#7512=CARTESIAN_POINT('',(-2.00152E1,2.62509E0,-1.8669E1)); +#7513=VERTEX_POINT('',#7511); +#7514=VERTEX_POINT('',#7512); +#7515=CARTESIAN_POINT('',(-2.00152E1,2.22631E0,-1.8669E1)); +#7516=VERTEX_POINT('',#7515); +#7517=CARTESIAN_POINT('',(-2.06248E1,2.22631E0,-1.8669E1)); +#7518=VERTEX_POINT('',#7517); +#7519=CARTESIAN_POINT('',(-1.80848E1,-2.62509E0,-1.8669E1)); +#7520=CARTESIAN_POINT('',(-1.80848E1,-2.22631E0,-1.8669E1)); +#7521=VERTEX_POINT('',#7519); +#7522=VERTEX_POINT('',#7520); +#7523=CARTESIAN_POINT('',(-1.74752E1,-2.22631E0,-1.8669E1)); +#7524=VERTEX_POINT('',#7523); +#7525=CARTESIAN_POINT('',(-1.74752E1,-2.62509E0,-1.8669E1)); +#7526=VERTEX_POINT('',#7525); +#7527=CARTESIAN_POINT('',(-1.80848E1,2.62509E0,-1.8669E1)); +#7528=CARTESIAN_POINT('',(-1.74752E1,2.62509E0,-1.8669E1)); +#7529=VERTEX_POINT('',#7527); +#7530=VERTEX_POINT('',#7528); +#7531=CARTESIAN_POINT('',(-1.74752E1,2.22631E0,-1.8669E1)); +#7532=VERTEX_POINT('',#7531); +#7533=CARTESIAN_POINT('',(-1.80848E1,2.22631E0,-1.8669E1)); +#7534=VERTEX_POINT('',#7533); +#7535=CARTESIAN_POINT('',(-1.55448E1,-2.62509E0,-1.8669E1)); +#7536=CARTESIAN_POINT('',(-1.55448E1,-2.22631E0,-1.8669E1)); +#7537=VERTEX_POINT('',#7535); +#7538=VERTEX_POINT('',#7536); +#7539=CARTESIAN_POINT('',(-1.49352E1,-2.22631E0,-1.8669E1)); +#7540=VERTEX_POINT('',#7539); +#7541=CARTESIAN_POINT('',(-1.49352E1,-2.62509E0,-1.8669E1)); +#7542=VERTEX_POINT('',#7541); +#7543=CARTESIAN_POINT('',(-1.55448E1,2.62509E0,-1.8669E1)); +#7544=CARTESIAN_POINT('',(-1.49352E1,2.62509E0,-1.8669E1)); +#7545=VERTEX_POINT('',#7543); +#7546=VERTEX_POINT('',#7544); +#7547=CARTESIAN_POINT('',(-1.49352E1,2.22631E0,-1.8669E1)); +#7548=VERTEX_POINT('',#7547); +#7549=CARTESIAN_POINT('',(-1.55448E1,2.22631E0,-1.8669E1)); +#7550=VERTEX_POINT('',#7549); +#7551=CARTESIAN_POINT('',(-1.30048E1,-2.62509E0,-1.8669E1)); +#7552=CARTESIAN_POINT('',(-1.30048E1,-2.22631E0,-1.8669E1)); +#7553=VERTEX_POINT('',#7551); +#7554=VERTEX_POINT('',#7552); +#7555=CARTESIAN_POINT('',(-1.23952E1,-2.22631E0,-1.8669E1)); +#7556=VERTEX_POINT('',#7555); +#7557=CARTESIAN_POINT('',(-1.23952E1,-2.62509E0,-1.8669E1)); +#7558=VERTEX_POINT('',#7557); +#7559=CARTESIAN_POINT('',(-1.30048E1,2.62509E0,-1.8669E1)); +#7560=CARTESIAN_POINT('',(-1.23952E1,2.62509E0,-1.8669E1)); +#7561=VERTEX_POINT('',#7559); +#7562=VERTEX_POINT('',#7560); +#7563=CARTESIAN_POINT('',(-1.23952E1,2.22631E0,-1.8669E1)); +#7564=VERTEX_POINT('',#7563); +#7565=CARTESIAN_POINT('',(-1.30048E1,2.22631E0,-1.8669E1)); +#7566=VERTEX_POINT('',#7565); +#7567=CARTESIAN_POINT('',(-1.04648E1,-2.62509E0,-1.8669E1)); +#7568=CARTESIAN_POINT('',(-1.04648E1,-2.22631E0,-1.8669E1)); +#7569=VERTEX_POINT('',#7567); +#7570=VERTEX_POINT('',#7568); +#7571=CARTESIAN_POINT('',(-9.8552E0,-2.22631E0,-1.8669E1)); +#7572=VERTEX_POINT('',#7571); +#7573=CARTESIAN_POINT('',(-9.8552E0,-2.62509E0,-1.8669E1)); +#7574=VERTEX_POINT('',#7573); +#7575=CARTESIAN_POINT('',(-1.04648E1,2.62509E0,-1.8669E1)); +#7576=CARTESIAN_POINT('',(-9.8552E0,2.62509E0,-1.8669E1)); +#7577=VERTEX_POINT('',#7575); +#7578=VERTEX_POINT('',#7576); +#7579=CARTESIAN_POINT('',(-9.8552E0,2.22631E0,-1.8669E1)); +#7580=VERTEX_POINT('',#7579); +#7581=CARTESIAN_POINT('',(-1.04648E1,2.22631E0,-1.8669E1)); +#7582=VERTEX_POINT('',#7581); +#7583=CARTESIAN_POINT('',(-7.9248E0,-2.62509E0,-1.8669E1)); +#7584=CARTESIAN_POINT('',(-7.9248E0,-2.22631E0,-1.8669E1)); +#7585=VERTEX_POINT('',#7583); +#7586=VERTEX_POINT('',#7584); +#7587=CARTESIAN_POINT('',(-7.3152E0,-2.22631E0,-1.8669E1)); +#7588=VERTEX_POINT('',#7587); +#7589=CARTESIAN_POINT('',(-7.3152E0,-2.62509E0,-1.8669E1)); +#7590=VERTEX_POINT('',#7589); +#7591=CARTESIAN_POINT('',(-7.9248E0,2.62509E0,-1.8669E1)); +#7592=CARTESIAN_POINT('',(-7.3152E0,2.62509E0,-1.8669E1)); +#7593=VERTEX_POINT('',#7591); +#7594=VERTEX_POINT('',#7592); +#7595=CARTESIAN_POINT('',(-7.3152E0,2.22631E0,-1.8669E1)); +#7596=VERTEX_POINT('',#7595); +#7597=CARTESIAN_POINT('',(-7.9248E0,2.22631E0,-1.8669E1)); +#7598=VERTEX_POINT('',#7597); +#7599=CARTESIAN_POINT('',(-5.3848E0,-2.62509E0,-1.8669E1)); +#7600=CARTESIAN_POINT('',(-5.3848E0,-2.22631E0,-1.8669E1)); +#7601=VERTEX_POINT('',#7599); +#7602=VERTEX_POINT('',#7600); +#7603=CARTESIAN_POINT('',(-4.7752E0,-2.22631E0,-1.8669E1)); +#7604=VERTEX_POINT('',#7603); +#7605=CARTESIAN_POINT('',(-4.7752E0,-2.62509E0,-1.8669E1)); +#7606=VERTEX_POINT('',#7605); +#7607=CARTESIAN_POINT('',(-5.3848E0,2.62509E0,-1.8669E1)); +#7608=CARTESIAN_POINT('',(-4.7752E0,2.62509E0,-1.8669E1)); +#7609=VERTEX_POINT('',#7607); +#7610=VERTEX_POINT('',#7608); +#7611=CARTESIAN_POINT('',(-4.7752E0,2.22631E0,-1.8669E1)); +#7612=VERTEX_POINT('',#7611); +#7613=CARTESIAN_POINT('',(-5.3848E0,2.22631E0,-1.8669E1)); +#7614=VERTEX_POINT('',#7613); +#7615=CARTESIAN_POINT('',(-2.8448E0,-2.62509E0,-1.8669E1)); +#7616=CARTESIAN_POINT('',(-2.8448E0,-2.22631E0,-1.8669E1)); +#7617=VERTEX_POINT('',#7615); +#7618=VERTEX_POINT('',#7616); +#7619=CARTESIAN_POINT('',(-2.2352E0,-2.22631E0,-1.8669E1)); +#7620=VERTEX_POINT('',#7619); +#7621=CARTESIAN_POINT('',(-2.2352E0,-2.62509E0,-1.8669E1)); +#7622=VERTEX_POINT('',#7621); +#7623=CARTESIAN_POINT('',(-2.8448E0,2.62509E0,-1.8669E1)); +#7624=CARTESIAN_POINT('',(-2.2352E0,2.62509E0,-1.8669E1)); +#7625=VERTEX_POINT('',#7623); +#7626=VERTEX_POINT('',#7624); +#7627=CARTESIAN_POINT('',(-2.2352E0,2.22631E0,-1.8669E1)); +#7628=VERTEX_POINT('',#7627); +#7629=CARTESIAN_POINT('',(-2.8448E0,2.22631E0,-1.8669E1)); +#7630=VERTEX_POINT('',#7629); +#7631=CARTESIAN_POINT('',(-3.048E-1,-2.62509E0,-1.8669E1)); +#7632=CARTESIAN_POINT('',(-3.048E-1,-2.22631E0,-1.8669E1)); +#7633=VERTEX_POINT('',#7631); +#7634=VERTEX_POINT('',#7632); +#7635=CARTESIAN_POINT('',(3.048E-1,-2.22631E0,-1.8669E1)); +#7636=VERTEX_POINT('',#7635); +#7637=CARTESIAN_POINT('',(3.048E-1,-2.62509E0,-1.8669E1)); +#7638=VERTEX_POINT('',#7637); +#7639=CARTESIAN_POINT('',(-3.048E-1,2.62509E0,-1.8669E1)); +#7640=CARTESIAN_POINT('',(3.048E-1,2.62509E0,-1.8669E1)); +#7641=VERTEX_POINT('',#7639); +#7642=VERTEX_POINT('',#7640); +#7643=CARTESIAN_POINT('',(3.048E-1,2.22631E0,-1.8669E1)); +#7644=VERTEX_POINT('',#7643); +#7645=CARTESIAN_POINT('',(-3.048E-1,2.22631E0,-1.8669E1)); +#7646=VERTEX_POINT('',#7645); +#7647=CARTESIAN_POINT('',(2.2352E0,-2.62509E0,-1.8669E1)); +#7648=CARTESIAN_POINT('',(2.2352E0,-2.22631E0,-1.8669E1)); +#7649=VERTEX_POINT('',#7647); +#7650=VERTEX_POINT('',#7648); +#7651=CARTESIAN_POINT('',(2.8448E0,-2.22631E0,-1.8669E1)); +#7652=VERTEX_POINT('',#7651); +#7653=CARTESIAN_POINT('',(2.8448E0,-2.62509E0,-1.8669E1)); +#7654=VERTEX_POINT('',#7653); +#7655=CARTESIAN_POINT('',(2.2352E0,2.62509E0,-1.8669E1)); +#7656=CARTESIAN_POINT('',(2.8448E0,2.62509E0,-1.8669E1)); +#7657=VERTEX_POINT('',#7655); +#7658=VERTEX_POINT('',#7656); +#7659=CARTESIAN_POINT('',(2.8448E0,2.22631E0,-1.8669E1)); +#7660=VERTEX_POINT('',#7659); +#7661=CARTESIAN_POINT('',(2.2352E0,2.22631E0,-1.8669E1)); +#7662=VERTEX_POINT('',#7661); +#7663=CARTESIAN_POINT('',(4.7752E0,-2.62509E0,-1.8669E1)); +#7664=CARTESIAN_POINT('',(4.7752E0,-2.22631E0,-1.8669E1)); +#7665=VERTEX_POINT('',#7663); +#7666=VERTEX_POINT('',#7664); +#7667=CARTESIAN_POINT('',(5.3848E0,-2.22631E0,-1.8669E1)); +#7668=VERTEX_POINT('',#7667); +#7669=CARTESIAN_POINT('',(5.3848E0,-2.62509E0,-1.8669E1)); +#7670=VERTEX_POINT('',#7669); +#7671=CARTESIAN_POINT('',(4.7752E0,2.62509E0,-1.8669E1)); +#7672=CARTESIAN_POINT('',(5.3848E0,2.62509E0,-1.8669E1)); +#7673=VERTEX_POINT('',#7671); +#7674=VERTEX_POINT('',#7672); +#7675=CARTESIAN_POINT('',(5.3848E0,2.22631E0,-1.8669E1)); +#7676=VERTEX_POINT('',#7675); +#7677=CARTESIAN_POINT('',(4.7752E0,2.22631E0,-1.8669E1)); +#7678=VERTEX_POINT('',#7677); +#7679=CARTESIAN_POINT('',(7.3152E0,-2.62509E0,-1.8669E1)); +#7680=CARTESIAN_POINT('',(7.3152E0,-2.22631E0,-1.8669E1)); +#7681=VERTEX_POINT('',#7679); +#7682=VERTEX_POINT('',#7680); +#7683=CARTESIAN_POINT('',(7.9248E0,-2.22631E0,-1.8669E1)); +#7684=VERTEX_POINT('',#7683); +#7685=CARTESIAN_POINT('',(7.9248E0,-2.62509E0,-1.8669E1)); +#7686=VERTEX_POINT('',#7685); +#7687=CARTESIAN_POINT('',(7.3152E0,2.62509E0,-1.8669E1)); +#7688=CARTESIAN_POINT('',(7.9248E0,2.62509E0,-1.8669E1)); +#7689=VERTEX_POINT('',#7687); +#7690=VERTEX_POINT('',#7688); +#7691=CARTESIAN_POINT('',(7.9248E0,2.22631E0,-1.8669E1)); +#7692=VERTEX_POINT('',#7691); +#7693=CARTESIAN_POINT('',(7.3152E0,2.22631E0,-1.8669E1)); +#7694=VERTEX_POINT('',#7693); +#7695=CARTESIAN_POINT('',(9.8552E0,-2.62509E0,-1.8669E1)); +#7696=CARTESIAN_POINT('',(9.8552E0,-2.22631E0,-1.8669E1)); +#7697=VERTEX_POINT('',#7695); +#7698=VERTEX_POINT('',#7696); +#7699=CARTESIAN_POINT('',(1.04648E1,-2.22631E0,-1.8669E1)); +#7700=VERTEX_POINT('',#7699); +#7701=CARTESIAN_POINT('',(1.04648E1,-2.62509E0,-1.8669E1)); +#7702=VERTEX_POINT('',#7701); +#7703=CARTESIAN_POINT('',(9.8552E0,2.62509E0,-1.8669E1)); +#7704=CARTESIAN_POINT('',(1.04648E1,2.62509E0,-1.8669E1)); +#7705=VERTEX_POINT('',#7703); +#7706=VERTEX_POINT('',#7704); +#7707=CARTESIAN_POINT('',(1.04648E1,2.22631E0,-1.8669E1)); +#7708=VERTEX_POINT('',#7707); +#7709=CARTESIAN_POINT('',(9.8552E0,2.22631E0,-1.8669E1)); +#7710=VERTEX_POINT('',#7709); +#7711=CARTESIAN_POINT('',(1.23952E1,-2.62509E0,-1.8669E1)); +#7712=CARTESIAN_POINT('',(1.23952E1,-2.22631E0,-1.8669E1)); +#7713=VERTEX_POINT('',#7711); +#7714=VERTEX_POINT('',#7712); +#7715=CARTESIAN_POINT('',(1.30048E1,-2.22631E0,-1.8669E1)); +#7716=VERTEX_POINT('',#7715); +#7717=CARTESIAN_POINT('',(1.30048E1,-2.62509E0,-1.8669E1)); +#7718=VERTEX_POINT('',#7717); +#7719=CARTESIAN_POINT('',(1.23952E1,2.62509E0,-1.8669E1)); +#7720=CARTESIAN_POINT('',(1.30048E1,2.62509E0,-1.8669E1)); +#7721=VERTEX_POINT('',#7719); +#7722=VERTEX_POINT('',#7720); +#7723=CARTESIAN_POINT('',(1.30048E1,2.22631E0,-1.8669E1)); +#7724=VERTEX_POINT('',#7723); +#7725=CARTESIAN_POINT('',(1.23952E1,2.22631E0,-1.8669E1)); +#7726=VERTEX_POINT('',#7725); +#7727=CARTESIAN_POINT('',(1.49352E1,-2.62509E0,-1.8669E1)); +#7728=CARTESIAN_POINT('',(1.49352E1,-2.22631E0,-1.8669E1)); +#7729=VERTEX_POINT('',#7727); +#7730=VERTEX_POINT('',#7728); +#7731=CARTESIAN_POINT('',(1.55448E1,-2.22631E0,-1.8669E1)); +#7732=VERTEX_POINT('',#7731); +#7733=CARTESIAN_POINT('',(1.55448E1,-2.62509E0,-1.8669E1)); +#7734=VERTEX_POINT('',#7733); +#7735=CARTESIAN_POINT('',(1.49352E1,2.62509E0,-1.8669E1)); +#7736=CARTESIAN_POINT('',(1.55448E1,2.62509E0,-1.8669E1)); +#7737=VERTEX_POINT('',#7735); +#7738=VERTEX_POINT('',#7736); +#7739=CARTESIAN_POINT('',(1.55448E1,2.22631E0,-1.8669E1)); +#7740=VERTEX_POINT('',#7739); +#7741=CARTESIAN_POINT('',(1.49352E1,2.22631E0,-1.8669E1)); +#7742=VERTEX_POINT('',#7741); +#7743=CARTESIAN_POINT('',(1.74752E1,-2.62509E0,-1.8669E1)); +#7744=CARTESIAN_POINT('',(1.74752E1,-2.22631E0,-1.8669E1)); +#7745=VERTEX_POINT('',#7743); +#7746=VERTEX_POINT('',#7744); +#7747=CARTESIAN_POINT('',(1.80848E1,-2.22631E0,-1.8669E1)); +#7748=VERTEX_POINT('',#7747); +#7749=CARTESIAN_POINT('',(1.80848E1,-2.62509E0,-1.8669E1)); +#7750=VERTEX_POINT('',#7749); +#7751=CARTESIAN_POINT('',(1.74752E1,2.62509E0,-1.8669E1)); +#7752=CARTESIAN_POINT('',(1.80848E1,2.62509E0,-1.8669E1)); +#7753=VERTEX_POINT('',#7751); +#7754=VERTEX_POINT('',#7752); +#7755=CARTESIAN_POINT('',(1.80848E1,2.22631E0,-1.8669E1)); +#7756=VERTEX_POINT('',#7755); +#7757=CARTESIAN_POINT('',(1.74752E1,2.22631E0,-1.8669E1)); +#7758=VERTEX_POINT('',#7757); +#7759=CARTESIAN_POINT('',(2.00152E1,-2.62509E0,-1.8669E1)); +#7760=CARTESIAN_POINT('',(2.00152E1,-2.22631E0,-1.8669E1)); +#7761=VERTEX_POINT('',#7759); +#7762=VERTEX_POINT('',#7760); +#7763=CARTESIAN_POINT('',(2.06248E1,-2.22631E0,-1.8669E1)); +#7764=VERTEX_POINT('',#7763); +#7765=CARTESIAN_POINT('',(2.06248E1,-2.62509E0,-1.8669E1)); +#7766=VERTEX_POINT('',#7765); +#7767=CARTESIAN_POINT('',(2.00152E1,2.62509E0,-1.8669E1)); +#7768=CARTESIAN_POINT('',(2.06248E1,2.62509E0,-1.8669E1)); +#7769=VERTEX_POINT('',#7767); +#7770=VERTEX_POINT('',#7768); +#7771=CARTESIAN_POINT('',(2.06248E1,2.22631E0,-1.8669E1)); +#7772=VERTEX_POINT('',#7771); +#7773=CARTESIAN_POINT('',(2.00152E1,2.22631E0,-1.8669E1)); +#7774=VERTEX_POINT('',#7773); +#7775=CARTESIAN_POINT('',(2.25552E1,-2.62509E0,-1.8669E1)); +#7776=CARTESIAN_POINT('',(2.25552E1,-2.22631E0,-1.8669E1)); +#7777=VERTEX_POINT('',#7775); +#7778=VERTEX_POINT('',#7776); +#7779=CARTESIAN_POINT('',(2.31648E1,-2.22631E0,-1.8669E1)); +#7780=VERTEX_POINT('',#7779); +#7781=CARTESIAN_POINT('',(2.31648E1,-2.62509E0,-1.8669E1)); +#7782=VERTEX_POINT('',#7781); +#7783=CARTESIAN_POINT('',(2.25552E1,2.62509E0,-1.8669E1)); +#7784=CARTESIAN_POINT('',(2.31648E1,2.62509E0,-1.8669E1)); +#7785=VERTEX_POINT('',#7783); +#7786=VERTEX_POINT('',#7784); +#7787=CARTESIAN_POINT('',(2.31648E1,2.22631E0,-1.8669E1)); +#7788=VERTEX_POINT('',#7787); +#7789=CARTESIAN_POINT('',(2.25552E1,2.22631E0,-1.8669E1)); +#7790=VERTEX_POINT('',#7789); +#7791=CARTESIAN_POINT('',(4.1275E1,2.137382390414E0,-1.438781720899E1)); +#7792=CARTESIAN_POINT('',(4.1275E1,2.54E0,-1.5494E1)); +#7793=VERTEX_POINT('',#7791); +#7794=VERTEX_POINT('',#7792); +#7795=CARTESIAN_POINT('',(3.937E1,2.54E0,-1.5494E1)); +#7796=CARTESIAN_POINT('',(3.937E1,2.137382390414E0,-1.438781720899E1)); +#7797=VERTEX_POINT('',#7795); +#7798=VERTEX_POINT('',#7796); +#7799=CARTESIAN_POINT('',(3.937E1,3.81E0,-1.5494E1)); +#7800=VERTEX_POINT('',#7799); +#7801=CARTESIAN_POINT('',(4.1275E1,1.540677576215E0,-1.397E1)); +#7802=CARTESIAN_POINT('',(4.1275E1,-1.540677576215E0,-1.397E1)); +#7803=VERTEX_POINT('',#7801); +#7804=VERTEX_POINT('',#7802); +#7805=CARTESIAN_POINT('',(4.1275E1,-2.54E0,-1.5494E1)); +#7806=CARTESIAN_POINT('',(4.1275E1,-2.137382390414E0,-1.438781720899E1)); +#7807=VERTEX_POINT('',#7805); +#7808=VERTEX_POINT('',#7806); +#7809=CARTESIAN_POINT('',(3.937E1,-3.81E0,-1.5494E1)); +#7810=CARTESIAN_POINT('',(3.937E1,-2.54E0,-1.5494E1)); +#7811=VERTEX_POINT('',#7809); +#7812=VERTEX_POINT('',#7810); +#7813=CARTESIAN_POINT('',(3.937E1,-2.137382390414E0,-1.438781720899E1)); +#7814=VERTEX_POINT('',#7813); +#7815=CARTESIAN_POINT('',(3.937E1,3.81E0,-1.397E1)); +#7816=CARTESIAN_POINT('',(3.937E1,1.540677576215E0,-1.397E1)); +#7817=VERTEX_POINT('',#7815); +#7818=VERTEX_POINT('',#7816); +#7819=CARTESIAN_POINT('',(3.937E1,-1.540677576215E0,-1.397E1)); +#7820=CARTESIAN_POINT('',(3.937E1,-3.81E0,-1.397E1)); +#7821=VERTEX_POINT('',#7819); +#7822=VERTEX_POINT('',#7820); +#7823=CARTESIAN_POINT('',(-4.1275E1,2.54E0,-1.5494E1)); +#7824=CARTESIAN_POINT('',(-4.1275E1,2.137382390414E0,-1.438781720899E1)); +#7825=VERTEX_POINT('',#7823); +#7826=VERTEX_POINT('',#7824); +#7827=CARTESIAN_POINT('',(-4.1275E1,-2.137382390414E0,-1.438781720899E1)); +#7828=CARTESIAN_POINT('',(-4.1275E1,-2.54E0,-1.5494E1)); +#7829=VERTEX_POINT('',#7827); +#7830=VERTEX_POINT('',#7828); +#7831=CARTESIAN_POINT('',(-3.937E1,3.81E0,-1.5494E1)); +#7832=CARTESIAN_POINT('',(-3.937E1,2.54E0,-1.5494E1)); +#7833=VERTEX_POINT('',#7831); +#7834=VERTEX_POINT('',#7832); +#7835=CARTESIAN_POINT('',(-3.937E1,2.137382390414E0,-1.438781720899E1)); +#7836=VERTEX_POINT('',#7835); +#7837=CARTESIAN_POINT('',(-3.937E1,-2.54E0,-1.5494E1)); +#7838=CARTESIAN_POINT('',(-3.937E1,-3.81E0,-1.5494E1)); +#7839=VERTEX_POINT('',#7837); +#7840=VERTEX_POINT('',#7838); +#7841=CARTESIAN_POINT('',(-3.937E1,-2.137382390414E0,-1.438781720899E1)); +#7842=VERTEX_POINT('',#7841); +#7843=CARTESIAN_POINT('',(-3.937E1,3.81E0,-1.397E1)); +#7844=CARTESIAN_POINT('',(-3.937E1,1.540677576215E0,-1.397E1)); +#7845=VERTEX_POINT('',#7843); +#7846=VERTEX_POINT('',#7844); +#7847=CARTESIAN_POINT('',(-3.937E1,-1.540677576215E0,-1.397E1)); +#7848=CARTESIAN_POINT('',(-3.937E1,-3.81E0,-1.397E1)); +#7849=VERTEX_POINT('',#7847); +#7850=VERTEX_POINT('',#7848); +#7851=CARTESIAN_POINT('',(-4.1275E1,-1.540677576215E0,-1.397E1)); +#7852=CARTESIAN_POINT('',(-4.1275E1,1.540677576215E0,-1.397E1)); +#7853=VERTEX_POINT('',#7851); +#7854=VERTEX_POINT('',#7852); +#7855=CARTESIAN_POINT('',(-4.0513E1,-3.81E0,-1.0922E1)); +#7856=VERTEX_POINT('',#7855); +#7857=CARTESIAN_POINT('',(-4.0513E1,3.81E0,-1.0922E1)); +#7858=VERTEX_POINT('',#7857); +#7859=CARTESIAN_POINT('',(4.0513E1,3.81E0,-1.0922E1)); +#7860=VERTEX_POINT('',#7859); +#7861=CARTESIAN_POINT('',(4.0513E1,-3.81E0,-1.0922E1)); +#7862=VERTEX_POINT('',#7861); +#7863=CARTESIAN_POINT('',(-4.2672E1,-3.9116E0,0.E0)); +#7864=CARTESIAN_POINT('',(-4.191E1,-4.6736E0,0.E0)); +#7865=VERTEX_POINT('',#7863); +#7866=VERTEX_POINT('',#7864); +#7867=CARTESIAN_POINT('',(-4.191E1,-4.6736E0,-8.89E0)); +#7868=VERTEX_POINT('',#7867); +#7869=CARTESIAN_POINT('',(-4.2672E1,-3.9116E0,-8.89E0)); +#7870=VERTEX_POINT('',#7869); +#7871=CARTESIAN_POINT('',(-4.2672E1,3.9116E0,-8.89E0)); +#7872=CARTESIAN_POINT('',(-4.191E1,4.6736E0,-8.89E0)); +#7873=VERTEX_POINT('',#7871); +#7874=VERTEX_POINT('',#7872); +#7875=CARTESIAN_POINT('',(-4.191E1,4.6736E0,0.E0)); +#7876=VERTEX_POINT('',#7875); +#7877=CARTESIAN_POINT('',(-4.2672E1,3.9116E0,0.E0)); +#7878=VERTEX_POINT('',#7877); +#7879=CARTESIAN_POINT('',(4.2672E1,-3.9116E0,-8.89E0)); +#7880=CARTESIAN_POINT('',(4.191E1,-4.6736E0,-8.89E0)); +#7881=VERTEX_POINT('',#7879); +#7882=VERTEX_POINT('',#7880); +#7883=CARTESIAN_POINT('',(4.191E1,-4.6736E0,0.E0)); +#7884=VERTEX_POINT('',#7883); +#7885=CARTESIAN_POINT('',(4.2672E1,-3.9116E0,0.E0)); +#7886=VERTEX_POINT('',#7885); +#7887=CARTESIAN_POINT('',(4.2672E1,3.9116E0,0.E0)); +#7888=CARTESIAN_POINT('',(4.191E1,4.6736E0,0.E0)); +#7889=VERTEX_POINT('',#7887); +#7890=VERTEX_POINT('',#7888); +#7891=CARTESIAN_POINT('',(4.191E1,4.6736E0,-8.89E0)); +#7892=VERTEX_POINT('',#7891); +#7893=CARTESIAN_POINT('',(4.2672E1,3.9116E0,-8.89E0)); +#7894=VERTEX_POINT('',#7893); +#7895=CARTESIAN_POINT('',(4.2672E1,3.048E0,-1.0922E1)); +#7896=CARTESIAN_POINT('',(4.2672E1,-3.048E0,-1.0922E1)); +#7897=VERTEX_POINT('',#7895); +#7898=VERTEX_POINT('',#7896); +#7899=CARTESIAN_POINT('',(-4.2672E1,-3.048E0,-1.0922E1)); +#7900=CARTESIAN_POINT('',(-4.2672E1,3.048E0,-1.0922E1)); +#7901=VERTEX_POINT('',#7899); +#7902=VERTEX_POINT('',#7900); +#7903=CARTESIAN_POINT('',(4.191E1,-3.81E0,-1.0922E1)); +#7904=VERTEX_POINT('',#7903); +#7905=CARTESIAN_POINT('',(4.191E1,3.81E0,-1.0922E1)); +#7906=VERTEX_POINT('',#7905); +#7907=CARTESIAN_POINT('',(-4.191E1,3.81E0,-1.0922E1)); +#7908=VERTEX_POINT('',#7907); +#7909=CARTESIAN_POINT('',(-4.191E1,-3.81E0,-1.0922E1)); +#7910=VERTEX_POINT('',#7909); +#7911=CARTESIAN_POINT('',(-4.191E1,3.81E0,-8.89E0)); +#7912=CARTESIAN_POINT('',(-4.2672E1,3.048E0,-8.89E0)); +#7913=VERTEX_POINT('',#7911); +#7914=VERTEX_POINT('',#7912); +#7915=CARTESIAN_POINT('',(-4.2672E1,-3.048E0,-8.89E0)); +#7916=CARTESIAN_POINT('',(-4.191E1,-3.81E0,-8.89E0)); +#7917=VERTEX_POINT('',#7915); +#7918=VERTEX_POINT('',#7916); +#7919=CARTESIAN_POINT('',(4.2672E1,3.048E0,-8.89E0)); +#7920=CARTESIAN_POINT('',(4.191E1,3.81E0,-8.89E0)); +#7921=VERTEX_POINT('',#7919); +#7922=VERTEX_POINT('',#7920); +#7923=CARTESIAN_POINT('',(4.191E1,-3.81E0,-8.89E0)); +#7924=CARTESIAN_POINT('',(4.2672E1,-3.048E0,-8.89E0)); +#7925=VERTEX_POINT('',#7923); +#7926=VERTEX_POINT('',#7924); +#7927=CARTESIAN_POINT('',(2.50952E1,-2.62509E0,-1.8669E1)); +#7928=CARTESIAN_POINT('',(2.50952E1,-2.22631E0,-1.8669E1)); +#7929=VERTEX_POINT('',#7927); +#7930=VERTEX_POINT('',#7928); +#7931=CARTESIAN_POINT('',(2.57048E1,-2.22631E0,-1.8669E1)); +#7932=VERTEX_POINT('',#7931); +#7933=CARTESIAN_POINT('',(2.57048E1,-2.62509E0,-1.8669E1)); +#7934=VERTEX_POINT('',#7933); +#7935=CARTESIAN_POINT('',(2.50952E1,2.62509E0,-1.8669E1)); +#7936=CARTESIAN_POINT('',(2.57048E1,2.62509E0,-1.8669E1)); +#7937=VERTEX_POINT('',#7935); +#7938=VERTEX_POINT('',#7936); +#7939=CARTESIAN_POINT('',(2.57048E1,2.22631E0,-1.8669E1)); +#7940=VERTEX_POINT('',#7939); +#7941=CARTESIAN_POINT('',(2.50952E1,2.22631E0,-1.8669E1)); +#7942=VERTEX_POINT('',#7941); +#7943=CARTESIAN_POINT('',(2.76352E1,-2.62509E0,-1.8669E1)); +#7944=CARTESIAN_POINT('',(2.76352E1,-2.22631E0,-1.8669E1)); +#7945=VERTEX_POINT('',#7943); +#7946=VERTEX_POINT('',#7944); +#7947=CARTESIAN_POINT('',(2.82448E1,-2.22631E0,-1.8669E1)); +#7948=VERTEX_POINT('',#7947); +#7949=CARTESIAN_POINT('',(2.82448E1,-2.62509E0,-1.8669E1)); +#7950=VERTEX_POINT('',#7949); +#7951=CARTESIAN_POINT('',(2.76352E1,2.62509E0,-1.8669E1)); +#7952=CARTESIAN_POINT('',(2.82448E1,2.62509E0,-1.8669E1)); +#7953=VERTEX_POINT('',#7951); +#7954=VERTEX_POINT('',#7952); +#7955=CARTESIAN_POINT('',(2.82448E1,2.22631E0,-1.8669E1)); +#7956=VERTEX_POINT('',#7955); +#7957=CARTESIAN_POINT('',(2.76352E1,2.22631E0,-1.8669E1)); +#7958=VERTEX_POINT('',#7957); +#7959=CARTESIAN_POINT('',(3.01752E1,-2.62509E0,-1.8669E1)); +#7960=CARTESIAN_POINT('',(3.01752E1,-2.22631E0,-1.8669E1)); +#7961=VERTEX_POINT('',#7959); +#7962=VERTEX_POINT('',#7960); +#7963=CARTESIAN_POINT('',(3.07848E1,-2.22631E0,-1.8669E1)); +#7964=VERTEX_POINT('',#7963); +#7965=CARTESIAN_POINT('',(3.07848E1,-2.62509E0,-1.8669E1)); +#7966=VERTEX_POINT('',#7965); +#7967=CARTESIAN_POINT('',(3.01752E1,2.62509E0,-1.8669E1)); +#7968=CARTESIAN_POINT('',(3.07848E1,2.62509E0,-1.8669E1)); +#7969=VERTEX_POINT('',#7967); +#7970=VERTEX_POINT('',#7968); +#7971=CARTESIAN_POINT('',(3.07848E1,2.22631E0,-1.8669E1)); +#7972=VERTEX_POINT('',#7971); +#7973=CARTESIAN_POINT('',(3.01752E1,2.22631E0,-1.8669E1)); +#7974=VERTEX_POINT('',#7973); +#7975=CARTESIAN_POINT('',(3.27152E1,-2.62509E0,-1.8669E1)); +#7976=CARTESIAN_POINT('',(3.27152E1,-2.22631E0,-1.8669E1)); +#7977=VERTEX_POINT('',#7975); +#7978=VERTEX_POINT('',#7976); +#7979=CARTESIAN_POINT('',(3.33248E1,-2.22631E0,-1.8669E1)); +#7980=VERTEX_POINT('',#7979); +#7981=CARTESIAN_POINT('',(3.33248E1,-2.62509E0,-1.8669E1)); +#7982=VERTEX_POINT('',#7981); +#7983=CARTESIAN_POINT('',(3.27152E1,2.62509E0,-1.8669E1)); +#7984=CARTESIAN_POINT('',(3.33248E1,2.62509E0,-1.8669E1)); +#7985=VERTEX_POINT('',#7983); +#7986=VERTEX_POINT('',#7984); +#7987=CARTESIAN_POINT('',(3.33248E1,2.22631E0,-1.8669E1)); +#7988=VERTEX_POINT('',#7987); +#7989=CARTESIAN_POINT('',(3.27152E1,2.22631E0,-1.8669E1)); +#7990=VERTEX_POINT('',#7989); +#7991=CARTESIAN_POINT('',(3.52552E1,-2.62509E0,-1.8669E1)); +#7992=CARTESIAN_POINT('',(3.52552E1,-2.22631E0,-1.8669E1)); +#7993=VERTEX_POINT('',#7991); +#7994=VERTEX_POINT('',#7992); +#7995=CARTESIAN_POINT('',(3.58648E1,-2.22631E0,-1.8669E1)); +#7996=VERTEX_POINT('',#7995); +#7997=CARTESIAN_POINT('',(3.58648E1,-2.62509E0,-1.8669E1)); +#7998=VERTEX_POINT('',#7997); +#7999=CARTESIAN_POINT('',(3.52552E1,2.62509E0,-1.8669E1)); +#8000=CARTESIAN_POINT('',(3.58648E1,2.62509E0,-1.8669E1)); +#8001=VERTEX_POINT('',#7999); +#8002=VERTEX_POINT('',#8000); +#8003=CARTESIAN_POINT('',(3.58648E1,2.22631E0,-1.8669E1)); +#8004=VERTEX_POINT('',#8003); +#8005=CARTESIAN_POINT('',(3.52552E1,2.22631E0,-1.8669E1)); +#8006=VERTEX_POINT('',#8005); +#8007=CARTESIAN_POINT('',(3.77952E1,-2.62509E0,-1.8669E1)); +#8008=CARTESIAN_POINT('',(3.77952E1,-2.22631E0,-1.8669E1)); +#8009=VERTEX_POINT('',#8007); +#8010=VERTEX_POINT('',#8008); +#8011=CARTESIAN_POINT('',(3.84048E1,-2.22631E0,-1.8669E1)); +#8012=VERTEX_POINT('',#8011); +#8013=CARTESIAN_POINT('',(3.84048E1,-2.62509E0,-1.8669E1)); +#8014=VERTEX_POINT('',#8013); +#8015=CARTESIAN_POINT('',(3.77952E1,2.62509E0,-1.8669E1)); +#8016=CARTESIAN_POINT('',(3.84048E1,2.62509E0,-1.8669E1)); +#8017=VERTEX_POINT('',#8015); +#8018=VERTEX_POINT('',#8016); +#8019=CARTESIAN_POINT('',(3.84048E1,2.22631E0,-1.8669E1)); +#8020=VERTEX_POINT('',#8019); +#8021=CARTESIAN_POINT('',(3.77952E1,2.22631E0,-1.8669E1)); +#8022=VERTEX_POINT('',#8021); +#8023=CARTESIAN_POINT('',(-2.359025E1,9.906E-1,-1.954389510524E0)); +#8024=CARTESIAN_POINT('',(-2.359025E1,9.906E-1,-5.157610489476E0)); +#8025=VERTEX_POINT('',#8023); +#8026=VERTEX_POINT('',#8024); +#8027=CARTESIAN_POINT('',(-2.212975E1,9.906E-1,-5.157610489476E0)); +#8028=CARTESIAN_POINT('',(-2.212975E1,9.906E-1,-1.954389510524E0)); +#8029=VERTEX_POINT('',#8027); +#8030=VERTEX_POINT('',#8028); +#8031=CARTESIAN_POINT('',(-2.359025E1,-9.906E-1,-5.157610489476E0)); +#8032=CARTESIAN_POINT('',(-2.359025E1,-9.906E-1,-1.954389510524E0)); +#8033=VERTEX_POINT('',#8031); +#8034=VERTEX_POINT('',#8032); +#8035=CARTESIAN_POINT('',(-2.212975E1,-9.906E-1,-1.954389510524E0)); +#8036=CARTESIAN_POINT('',(-2.212975E1,-9.906E-1,-5.157610489476E0)); +#8037=VERTEX_POINT('',#8035); +#8038=VERTEX_POINT('',#8036); +#8039=CARTESIAN_POINT('',(-2.105025E1,9.906E-1,-1.954389510524E0)); +#8040=CARTESIAN_POINT('',(-2.105025E1,9.906E-1,-5.157610489476E0)); +#8041=VERTEX_POINT('',#8039); +#8042=VERTEX_POINT('',#8040); +#8043=CARTESIAN_POINT('',(-1.958975E1,9.906E-1,-5.157610489476E0)); +#8044=CARTESIAN_POINT('',(-1.958975E1,9.906E-1,-1.954389510524E0)); +#8045=VERTEX_POINT('',#8043); +#8046=VERTEX_POINT('',#8044); +#8047=CARTESIAN_POINT('',(-2.105025E1,-9.906E-1,-5.157610489476E0)); +#8048=CARTESIAN_POINT('',(-2.105025E1,-9.906E-1,-1.954389510524E0)); +#8049=VERTEX_POINT('',#8047); +#8050=VERTEX_POINT('',#8048); +#8051=CARTESIAN_POINT('',(-1.958975E1,-9.906E-1,-1.954389510524E0)); +#8052=CARTESIAN_POINT('',(-1.958975E1,-9.906E-1,-5.157610489476E0)); +#8053=VERTEX_POINT('',#8051); +#8054=VERTEX_POINT('',#8052); +#8055=CARTESIAN_POINT('',(-1.851025E1,9.906E-1,-1.954389510524E0)); +#8056=CARTESIAN_POINT('',(-1.851025E1,9.906E-1,-5.157610489476E0)); +#8057=VERTEX_POINT('',#8055); +#8058=VERTEX_POINT('',#8056); +#8059=CARTESIAN_POINT('',(-1.704975E1,9.906E-1,-5.157610489476E0)); +#8060=CARTESIAN_POINT('',(-1.704975E1,9.906E-1,-1.954389510524E0)); +#8061=VERTEX_POINT('',#8059); +#8062=VERTEX_POINT('',#8060); +#8063=CARTESIAN_POINT('',(-1.851025E1,-9.906E-1,-5.157610489476E0)); +#8064=CARTESIAN_POINT('',(-1.851025E1,-9.906E-1,-1.954389510524E0)); +#8065=VERTEX_POINT('',#8063); +#8066=VERTEX_POINT('',#8064); +#8067=CARTESIAN_POINT('',(-1.704975E1,-9.906E-1,-1.954389510524E0)); +#8068=CARTESIAN_POINT('',(-1.704975E1,-9.906E-1,-5.157610489476E0)); +#8069=VERTEX_POINT('',#8067); +#8070=VERTEX_POINT('',#8068); +#8071=CARTESIAN_POINT('',(-1.597025E1,9.906E-1,-1.954389510524E0)); +#8072=CARTESIAN_POINT('',(-1.597025E1,9.906E-1,-5.157610489476E0)); +#8073=VERTEX_POINT('',#8071); +#8074=VERTEX_POINT('',#8072); +#8075=CARTESIAN_POINT('',(-1.450975E1,9.906E-1,-5.157610489476E0)); +#8076=CARTESIAN_POINT('',(-1.450975E1,9.906E-1,-1.954389510524E0)); +#8077=VERTEX_POINT('',#8075); +#8078=VERTEX_POINT('',#8076); +#8079=CARTESIAN_POINT('',(-1.597025E1,-9.906E-1,-5.157610489476E0)); +#8080=CARTESIAN_POINT('',(-1.597025E1,-9.906E-1,-1.954389510524E0)); +#8081=VERTEX_POINT('',#8079); +#8082=VERTEX_POINT('',#8080); +#8083=CARTESIAN_POINT('',(-1.450975E1,-9.906E-1,-1.954389510524E0)); +#8084=CARTESIAN_POINT('',(-1.450975E1,-9.906E-1,-5.157610489476E0)); +#8085=VERTEX_POINT('',#8083); +#8086=VERTEX_POINT('',#8084); +#8087=CARTESIAN_POINT('',(-1.343025E1,9.906E-1,-1.954389510524E0)); +#8088=CARTESIAN_POINT('',(-1.343025E1,9.906E-1,-5.157610489476E0)); +#8089=VERTEX_POINT('',#8087); +#8090=VERTEX_POINT('',#8088); +#8091=CARTESIAN_POINT('',(-1.196975E1,9.906E-1,-5.157610489476E0)); +#8092=CARTESIAN_POINT('',(-1.196975E1,9.906E-1,-1.954389510524E0)); +#8093=VERTEX_POINT('',#8091); +#8094=VERTEX_POINT('',#8092); +#8095=CARTESIAN_POINT('',(-1.343025E1,-9.906E-1,-5.157610489476E0)); +#8096=CARTESIAN_POINT('',(-1.343025E1,-9.906E-1,-1.954389510524E0)); +#8097=VERTEX_POINT('',#8095); +#8098=VERTEX_POINT('',#8096); +#8099=CARTESIAN_POINT('',(-1.196975E1,-9.906E-1,-1.954389510524E0)); +#8100=CARTESIAN_POINT('',(-1.196975E1,-9.906E-1,-5.157610489476E0)); +#8101=VERTEX_POINT('',#8099); +#8102=VERTEX_POINT('',#8100); +#8103=CARTESIAN_POINT('',(-1.089025E1,9.906E-1,-1.954389510524E0)); +#8104=CARTESIAN_POINT('',(-1.089025E1,9.906E-1,-5.157610489476E0)); +#8105=VERTEX_POINT('',#8103); +#8106=VERTEX_POINT('',#8104); +#8107=CARTESIAN_POINT('',(-9.42975E0,9.906E-1,-5.157610489476E0)); +#8108=CARTESIAN_POINT('',(-9.42975E0,9.906E-1,-1.954389510524E0)); +#8109=VERTEX_POINT('',#8107); +#8110=VERTEX_POINT('',#8108); +#8111=CARTESIAN_POINT('',(-1.089025E1,-9.906E-1,-5.157610489476E0)); +#8112=CARTESIAN_POINT('',(-1.089025E1,-9.906E-1,-1.954389510524E0)); +#8113=VERTEX_POINT('',#8111); +#8114=VERTEX_POINT('',#8112); +#8115=CARTESIAN_POINT('',(-9.42975E0,-9.906E-1,-1.954389510524E0)); +#8116=CARTESIAN_POINT('',(-9.42975E0,-9.906E-1,-5.157610489476E0)); +#8117=VERTEX_POINT('',#8115); +#8118=VERTEX_POINT('',#8116); +#8119=CARTESIAN_POINT('',(-8.35025E0,9.906E-1,-1.954389510524E0)); +#8120=CARTESIAN_POINT('',(-8.35025E0,9.906E-1,-5.157610489476E0)); +#8121=VERTEX_POINT('',#8119); +#8122=VERTEX_POINT('',#8120); +#8123=CARTESIAN_POINT('',(-6.88975E0,9.906E-1,-5.157610489476E0)); +#8124=CARTESIAN_POINT('',(-6.88975E0,9.906E-1,-1.954389510524E0)); +#8125=VERTEX_POINT('',#8123); +#8126=VERTEX_POINT('',#8124); +#8127=CARTESIAN_POINT('',(-8.35025E0,-9.906E-1,-5.157610489476E0)); +#8128=CARTESIAN_POINT('',(-8.35025E0,-9.906E-1,-1.954389510524E0)); +#8129=VERTEX_POINT('',#8127); +#8130=VERTEX_POINT('',#8128); +#8131=CARTESIAN_POINT('',(-6.88975E0,-9.906E-1,-1.954389510524E0)); +#8132=CARTESIAN_POINT('',(-6.88975E0,-9.906E-1,-5.157610489476E0)); +#8133=VERTEX_POINT('',#8131); +#8134=VERTEX_POINT('',#8132); +#8135=CARTESIAN_POINT('',(-5.81025E0,9.906E-1,-1.954389510524E0)); +#8136=CARTESIAN_POINT('',(-5.81025E0,9.906E-1,-5.157610489476E0)); +#8137=VERTEX_POINT('',#8135); +#8138=VERTEX_POINT('',#8136); +#8139=CARTESIAN_POINT('',(-4.34975E0,9.906E-1,-5.157610489476E0)); +#8140=CARTESIAN_POINT('',(-4.34975E0,9.906E-1,-1.954389510524E0)); +#8141=VERTEX_POINT('',#8139); +#8142=VERTEX_POINT('',#8140); +#8143=CARTESIAN_POINT('',(-5.81025E0,-9.906E-1,-5.157610489476E0)); +#8144=CARTESIAN_POINT('',(-5.81025E0,-9.906E-1,-1.954389510524E0)); +#8145=VERTEX_POINT('',#8143); +#8146=VERTEX_POINT('',#8144); +#8147=CARTESIAN_POINT('',(-4.34975E0,-9.906E-1,-1.954389510524E0)); +#8148=CARTESIAN_POINT('',(-4.34975E0,-9.906E-1,-5.157610489476E0)); +#8149=VERTEX_POINT('',#8147); +#8150=VERTEX_POINT('',#8148); +#8151=CARTESIAN_POINT('',(-3.27025E0,9.906E-1,-1.954389510524E0)); +#8152=CARTESIAN_POINT('',(-3.27025E0,9.906E-1,-5.157610489476E0)); +#8153=VERTEX_POINT('',#8151); +#8154=VERTEX_POINT('',#8152); +#8155=CARTESIAN_POINT('',(-1.80975E0,9.906E-1,-5.157610489476E0)); +#8156=CARTESIAN_POINT('',(-1.80975E0,9.906E-1,-1.954389510524E0)); +#8157=VERTEX_POINT('',#8155); +#8158=VERTEX_POINT('',#8156); +#8159=CARTESIAN_POINT('',(-3.27025E0,-9.906E-1,-5.157610489476E0)); +#8160=CARTESIAN_POINT('',(-3.27025E0,-9.906E-1,-1.954389510524E0)); +#8161=VERTEX_POINT('',#8159); +#8162=VERTEX_POINT('',#8160); +#8163=CARTESIAN_POINT('',(-1.80975E0,-9.906E-1,-1.954389510524E0)); +#8164=CARTESIAN_POINT('',(-1.80975E0,-9.906E-1,-5.157610489476E0)); +#8165=VERTEX_POINT('',#8163); +#8166=VERTEX_POINT('',#8164); +#8167=CARTESIAN_POINT('',(-7.3025E-1,9.906E-1,-1.954389510524E0)); +#8168=CARTESIAN_POINT('',(-7.3025E-1,9.906E-1,-5.157610489476E0)); +#8169=VERTEX_POINT('',#8167); +#8170=VERTEX_POINT('',#8168); +#8171=CARTESIAN_POINT('',(7.3025E-1,9.906E-1,-5.157610489476E0)); +#8172=CARTESIAN_POINT('',(7.3025E-1,9.906E-1,-1.954389510524E0)); +#8173=VERTEX_POINT('',#8171); +#8174=VERTEX_POINT('',#8172); +#8175=CARTESIAN_POINT('',(-7.3025E-1,-9.906E-1,-5.157610489476E0)); +#8176=CARTESIAN_POINT('',(-7.3025E-1,-9.906E-1,-1.954389510524E0)); +#8177=VERTEX_POINT('',#8175); +#8178=VERTEX_POINT('',#8176); +#8179=CARTESIAN_POINT('',(7.3025E-1,-9.906E-1,-1.954389510524E0)); +#8180=CARTESIAN_POINT('',(7.3025E-1,-9.906E-1,-5.157610489476E0)); +#8181=VERTEX_POINT('',#8179); +#8182=VERTEX_POINT('',#8180); +#8183=CARTESIAN_POINT('',(1.80975E0,9.906E-1,-1.954389510524E0)); +#8184=CARTESIAN_POINT('',(1.80975E0,9.906E-1,-5.157610489476E0)); +#8185=VERTEX_POINT('',#8183); +#8186=VERTEX_POINT('',#8184); +#8187=CARTESIAN_POINT('',(3.27025E0,9.906E-1,-5.157610489476E0)); +#8188=CARTESIAN_POINT('',(3.27025E0,9.906E-1,-1.954389510524E0)); +#8189=VERTEX_POINT('',#8187); +#8190=VERTEX_POINT('',#8188); +#8191=CARTESIAN_POINT('',(1.80975E0,-9.906E-1,-5.157610489476E0)); +#8192=CARTESIAN_POINT('',(1.80975E0,-9.906E-1,-1.954389510524E0)); +#8193=VERTEX_POINT('',#8191); +#8194=VERTEX_POINT('',#8192); +#8195=CARTESIAN_POINT('',(3.27025E0,-9.906E-1,-1.954389510524E0)); +#8196=CARTESIAN_POINT('',(3.27025E0,-9.906E-1,-5.157610489476E0)); +#8197=VERTEX_POINT('',#8195); +#8198=VERTEX_POINT('',#8196); +#8199=CARTESIAN_POINT('',(4.34975E0,9.906E-1,-1.954389510524E0)); +#8200=CARTESIAN_POINT('',(4.34975E0,9.906E-1,-5.157610489476E0)); +#8201=VERTEX_POINT('',#8199); +#8202=VERTEX_POINT('',#8200); +#8203=CARTESIAN_POINT('',(5.81025E0,9.906E-1,-5.157610489476E0)); +#8204=CARTESIAN_POINT('',(5.81025E0,9.906E-1,-1.954389510524E0)); +#8205=VERTEX_POINT('',#8203); +#8206=VERTEX_POINT('',#8204); +#8207=CARTESIAN_POINT('',(4.34975E0,-9.906E-1,-5.157610489476E0)); +#8208=CARTESIAN_POINT('',(4.34975E0,-9.906E-1,-1.954389510524E0)); +#8209=VERTEX_POINT('',#8207); +#8210=VERTEX_POINT('',#8208); +#8211=CARTESIAN_POINT('',(5.81025E0,-9.906E-1,-1.954389510524E0)); +#8212=CARTESIAN_POINT('',(5.81025E0,-9.906E-1,-5.157610489476E0)); +#8213=VERTEX_POINT('',#8211); +#8214=VERTEX_POINT('',#8212); +#8215=CARTESIAN_POINT('',(6.88975E0,9.906E-1,-1.954389510524E0)); +#8216=CARTESIAN_POINT('',(6.88975E0,9.906E-1,-5.157610489476E0)); +#8217=VERTEX_POINT('',#8215); +#8218=VERTEX_POINT('',#8216); +#8219=CARTESIAN_POINT('',(8.35025E0,9.906E-1,-5.157610489476E0)); +#8220=CARTESIAN_POINT('',(8.35025E0,9.906E-1,-1.954389510524E0)); +#8221=VERTEX_POINT('',#8219); +#8222=VERTEX_POINT('',#8220); +#8223=CARTESIAN_POINT('',(6.88975E0,-9.906E-1,-5.157610489476E0)); +#8224=CARTESIAN_POINT('',(6.88975E0,-9.906E-1,-1.954389510524E0)); +#8225=VERTEX_POINT('',#8223); +#8226=VERTEX_POINT('',#8224); +#8227=CARTESIAN_POINT('',(8.35025E0,-9.906E-1,-1.954389510524E0)); +#8228=CARTESIAN_POINT('',(8.35025E0,-9.906E-1,-5.157610489476E0)); +#8229=VERTEX_POINT('',#8227); +#8230=VERTEX_POINT('',#8228); +#8231=CARTESIAN_POINT('',(9.42975E0,9.906E-1,-1.954389510524E0)); +#8232=CARTESIAN_POINT('',(9.42975E0,9.906E-1,-5.157610489476E0)); +#8233=VERTEX_POINT('',#8231); +#8234=VERTEX_POINT('',#8232); +#8235=CARTESIAN_POINT('',(1.089025E1,9.906E-1,-5.157610489476E0)); +#8236=CARTESIAN_POINT('',(1.089025E1,9.906E-1,-1.954389510524E0)); +#8237=VERTEX_POINT('',#8235); +#8238=VERTEX_POINT('',#8236); +#8239=CARTESIAN_POINT('',(9.42975E0,-9.906E-1,-5.157610489476E0)); +#8240=CARTESIAN_POINT('',(9.42975E0,-9.906E-1,-1.954389510524E0)); +#8241=VERTEX_POINT('',#8239); +#8242=VERTEX_POINT('',#8240); +#8243=CARTESIAN_POINT('',(1.089025E1,-9.906E-1,-1.954389510524E0)); +#8244=CARTESIAN_POINT('',(1.089025E1,-9.906E-1,-5.157610489476E0)); +#8245=VERTEX_POINT('',#8243); +#8246=VERTEX_POINT('',#8244); +#8247=CARTESIAN_POINT('',(1.196975E1,9.906E-1,-1.954389510524E0)); +#8248=CARTESIAN_POINT('',(1.196975E1,9.906E-1,-5.157610489476E0)); +#8249=VERTEX_POINT('',#8247); +#8250=VERTEX_POINT('',#8248); +#8251=CARTESIAN_POINT('',(1.343025E1,9.906E-1,-5.157610489476E0)); +#8252=CARTESIAN_POINT('',(1.343025E1,9.906E-1,-1.954389510524E0)); +#8253=VERTEX_POINT('',#8251); +#8254=VERTEX_POINT('',#8252); +#8255=CARTESIAN_POINT('',(1.196975E1,-9.906E-1,-5.157610489476E0)); +#8256=CARTESIAN_POINT('',(1.196975E1,-9.906E-1,-1.954389510524E0)); +#8257=VERTEX_POINT('',#8255); +#8258=VERTEX_POINT('',#8256); +#8259=CARTESIAN_POINT('',(1.343025E1,-9.906E-1,-1.954389510524E0)); +#8260=CARTESIAN_POINT('',(1.343025E1,-9.906E-1,-5.157610489476E0)); +#8261=VERTEX_POINT('',#8259); +#8262=VERTEX_POINT('',#8260); +#8263=CARTESIAN_POINT('',(1.450975E1,9.906E-1,-1.954389510524E0)); +#8264=CARTESIAN_POINT('',(1.450975E1,9.906E-1,-5.157610489476E0)); +#8265=VERTEX_POINT('',#8263); +#8266=VERTEX_POINT('',#8264); +#8267=CARTESIAN_POINT('',(1.597025E1,9.906E-1,-5.157610489476E0)); +#8268=CARTESIAN_POINT('',(1.597025E1,9.906E-1,-1.954389510524E0)); +#8269=VERTEX_POINT('',#8267); +#8270=VERTEX_POINT('',#8268); +#8271=CARTESIAN_POINT('',(1.450975E1,-9.906E-1,-5.157610489476E0)); +#8272=CARTESIAN_POINT('',(1.450975E1,-9.906E-1,-1.954389510524E0)); +#8273=VERTEX_POINT('',#8271); +#8274=VERTEX_POINT('',#8272); +#8275=CARTESIAN_POINT('',(1.597025E1,-9.906E-1,-1.954389510524E0)); +#8276=CARTESIAN_POINT('',(1.597025E1,-9.906E-1,-5.157610489476E0)); +#8277=VERTEX_POINT('',#8275); +#8278=VERTEX_POINT('',#8276); +#8279=CARTESIAN_POINT('',(1.704975E1,9.906E-1,-1.954389510524E0)); +#8280=CARTESIAN_POINT('',(1.704975E1,9.906E-1,-5.157610489476E0)); +#8281=VERTEX_POINT('',#8279); +#8282=VERTEX_POINT('',#8280); +#8283=CARTESIAN_POINT('',(1.851025E1,9.906E-1,-5.157610489476E0)); +#8284=CARTESIAN_POINT('',(1.851025E1,9.906E-1,-1.954389510524E0)); +#8285=VERTEX_POINT('',#8283); +#8286=VERTEX_POINT('',#8284); +#8287=CARTESIAN_POINT('',(1.704975E1,-9.906E-1,-5.157610489476E0)); +#8288=CARTESIAN_POINT('',(1.704975E1,-9.906E-1,-1.954389510524E0)); +#8289=VERTEX_POINT('',#8287); +#8290=VERTEX_POINT('',#8288); +#8291=CARTESIAN_POINT('',(1.851025E1,-9.906E-1,-1.954389510524E0)); +#8292=CARTESIAN_POINT('',(1.851025E1,-9.906E-1,-5.157610489476E0)); +#8293=VERTEX_POINT('',#8291); +#8294=VERTEX_POINT('',#8292); +#8295=CARTESIAN_POINT('',(1.958975E1,9.906E-1,-1.954389510524E0)); +#8296=CARTESIAN_POINT('',(1.958975E1,9.906E-1,-5.157610489476E0)); +#8297=VERTEX_POINT('',#8295); +#8298=VERTEX_POINT('',#8296); +#8299=CARTESIAN_POINT('',(2.105025E1,9.906E-1,-5.157610489476E0)); +#8300=CARTESIAN_POINT('',(2.105025E1,9.906E-1,-1.954389510524E0)); +#8301=VERTEX_POINT('',#8299); +#8302=VERTEX_POINT('',#8300); +#8303=CARTESIAN_POINT('',(1.958975E1,-9.906E-1,-5.157610489476E0)); +#8304=CARTESIAN_POINT('',(1.958975E1,-9.906E-1,-1.954389510524E0)); +#8305=VERTEX_POINT('',#8303); +#8306=VERTEX_POINT('',#8304); +#8307=CARTESIAN_POINT('',(2.105025E1,-9.906E-1,-1.954389510524E0)); +#8308=CARTESIAN_POINT('',(2.105025E1,-9.906E-1,-5.157610489476E0)); +#8309=VERTEX_POINT('',#8307); +#8310=VERTEX_POINT('',#8308); +#8311=CARTESIAN_POINT('',(2.212975E1,9.906E-1,-1.954389510524E0)); +#8312=CARTESIAN_POINT('',(2.212975E1,9.906E-1,-5.157610489476E0)); +#8313=VERTEX_POINT('',#8311); +#8314=VERTEX_POINT('',#8312); +#8315=CARTESIAN_POINT('',(2.359025E1,9.906E-1,-5.157610489476E0)); +#8316=CARTESIAN_POINT('',(2.359025E1,9.906E-1,-1.954389510524E0)); +#8317=VERTEX_POINT('',#8315); +#8318=VERTEX_POINT('',#8316); +#8319=CARTESIAN_POINT('',(2.212975E1,-9.906E-1,-5.157610489476E0)); +#8320=CARTESIAN_POINT('',(2.212975E1,-9.906E-1,-1.954389510524E0)); +#8321=VERTEX_POINT('',#8319); +#8322=VERTEX_POINT('',#8320); +#8323=CARTESIAN_POINT('',(2.359025E1,-9.906E-1,-1.954389510524E0)); +#8324=CARTESIAN_POINT('',(2.359025E1,-9.906E-1,-5.157610489476E0)); +#8325=VERTEX_POINT('',#8323); +#8326=VERTEX_POINT('',#8324); +#8327=CARTESIAN_POINT('',(2.466975E1,9.906E-1,-1.954389510524E0)); +#8328=CARTESIAN_POINT('',(2.466975E1,9.906E-1,-5.157610489476E0)); +#8329=VERTEX_POINT('',#8327); +#8330=VERTEX_POINT('',#8328); +#8331=CARTESIAN_POINT('',(2.613025E1,9.906E-1,-5.157610489476E0)); +#8332=CARTESIAN_POINT('',(2.613025E1,9.906E-1,-1.954389510524E0)); +#8333=VERTEX_POINT('',#8331); +#8334=VERTEX_POINT('',#8332); +#8335=CARTESIAN_POINT('',(2.466975E1,-9.906E-1,-5.157610489476E0)); +#8336=CARTESIAN_POINT('',(2.466975E1,-9.906E-1,-1.954389510524E0)); +#8337=VERTEX_POINT('',#8335); +#8338=VERTEX_POINT('',#8336); +#8339=CARTESIAN_POINT('',(2.613025E1,-9.906E-1,-1.954389510524E0)); +#8340=CARTESIAN_POINT('',(2.613025E1,-9.906E-1,-5.157610489476E0)); +#8341=VERTEX_POINT('',#8339); +#8342=VERTEX_POINT('',#8340); +#8343=CARTESIAN_POINT('',(2.720975E1,9.906E-1,-1.954389510524E0)); +#8344=CARTESIAN_POINT('',(2.720975E1,9.906E-1,-5.157610489476E0)); +#8345=VERTEX_POINT('',#8343); +#8346=VERTEX_POINT('',#8344); +#8347=CARTESIAN_POINT('',(2.867025E1,9.906E-1,-5.157610489476E0)); +#8348=CARTESIAN_POINT('',(2.867025E1,9.906E-1,-1.954389510524E0)); +#8349=VERTEX_POINT('',#8347); +#8350=VERTEX_POINT('',#8348); +#8351=CARTESIAN_POINT('',(2.720975E1,-9.906E-1,-5.157610489476E0)); +#8352=CARTESIAN_POINT('',(2.720975E1,-9.906E-1,-1.954389510524E0)); +#8353=VERTEX_POINT('',#8351); +#8354=VERTEX_POINT('',#8352); +#8355=CARTESIAN_POINT('',(2.867025E1,-9.906E-1,-1.954389510524E0)); +#8356=CARTESIAN_POINT('',(2.867025E1,-9.906E-1,-5.157610489476E0)); +#8357=VERTEX_POINT('',#8355); +#8358=VERTEX_POINT('',#8356); +#8359=CARTESIAN_POINT('',(2.974975E1,9.906E-1,-1.954389510524E0)); +#8360=CARTESIAN_POINT('',(2.974975E1,9.906E-1,-5.157610489476E0)); +#8361=VERTEX_POINT('',#8359); +#8362=VERTEX_POINT('',#8360); +#8363=CARTESIAN_POINT('',(3.121025E1,9.906E-1,-5.157610489476E0)); +#8364=CARTESIAN_POINT('',(3.121025E1,9.906E-1,-1.954389510524E0)); +#8365=VERTEX_POINT('',#8363); +#8366=VERTEX_POINT('',#8364); +#8367=CARTESIAN_POINT('',(2.974975E1,-9.906E-1,-5.157610489476E0)); +#8368=CARTESIAN_POINT('',(2.974975E1,-9.906E-1,-1.954389510524E0)); +#8369=VERTEX_POINT('',#8367); +#8370=VERTEX_POINT('',#8368); +#8371=CARTESIAN_POINT('',(3.121025E1,-9.906E-1,-1.954389510524E0)); +#8372=CARTESIAN_POINT('',(3.121025E1,-9.906E-1,-5.157610489476E0)); +#8373=VERTEX_POINT('',#8371); +#8374=VERTEX_POINT('',#8372); +#8375=CARTESIAN_POINT('',(3.228975E1,9.906E-1,-1.954389510524E0)); +#8376=CARTESIAN_POINT('',(3.228975E1,9.906E-1,-5.157610489476E0)); +#8377=VERTEX_POINT('',#8375); +#8378=VERTEX_POINT('',#8376); +#8379=CARTESIAN_POINT('',(3.375025E1,9.906E-1,-5.157610489476E0)); +#8380=CARTESIAN_POINT('',(3.375025E1,9.906E-1,-1.954389510524E0)); +#8381=VERTEX_POINT('',#8379); +#8382=VERTEX_POINT('',#8380); +#8383=CARTESIAN_POINT('',(3.228975E1,-9.906E-1,-5.157610489476E0)); +#8384=CARTESIAN_POINT('',(3.228975E1,-9.906E-1,-1.954389510524E0)); +#8385=VERTEX_POINT('',#8383); +#8386=VERTEX_POINT('',#8384); +#8387=CARTESIAN_POINT('',(3.375025E1,-9.906E-1,-1.954389510524E0)); +#8388=CARTESIAN_POINT('',(3.375025E1,-9.906E-1,-5.157610489476E0)); +#8389=VERTEX_POINT('',#8387); +#8390=VERTEX_POINT('',#8388); +#8391=CARTESIAN_POINT('',(3.482975E1,9.906E-1,-1.954389510524E0)); +#8392=CARTESIAN_POINT('',(3.482975E1,9.906E-1,-5.157610489476E0)); +#8393=VERTEX_POINT('',#8391); +#8394=VERTEX_POINT('',#8392); +#8395=CARTESIAN_POINT('',(3.629025E1,9.906E-1,-5.157610489476E0)); +#8396=CARTESIAN_POINT('',(3.629025E1,9.906E-1,-1.954389510524E0)); +#8397=VERTEX_POINT('',#8395); +#8398=VERTEX_POINT('',#8396); +#8399=CARTESIAN_POINT('',(3.482975E1,-9.906E-1,-5.157610489476E0)); +#8400=CARTESIAN_POINT('',(3.482975E1,-9.906E-1,-1.954389510524E0)); +#8401=VERTEX_POINT('',#8399); +#8402=VERTEX_POINT('',#8400); +#8403=CARTESIAN_POINT('',(3.629025E1,-9.906E-1,-1.954389510524E0)); +#8404=CARTESIAN_POINT('',(3.629025E1,-9.906E-1,-5.157610489476E0)); +#8405=VERTEX_POINT('',#8403); +#8406=VERTEX_POINT('',#8404); +#8407=CARTESIAN_POINT('',(3.736975E1,9.906E-1,-1.954389510524E0)); +#8408=CARTESIAN_POINT('',(3.736975E1,9.906E-1,-5.157610489476E0)); +#8409=VERTEX_POINT('',#8407); +#8410=VERTEX_POINT('',#8408); +#8411=CARTESIAN_POINT('',(3.883025E1,9.906E-1,-5.157610489476E0)); +#8412=CARTESIAN_POINT('',(3.883025E1,9.906E-1,-1.954389510524E0)); +#8413=VERTEX_POINT('',#8411); +#8414=VERTEX_POINT('',#8412); +#8415=CARTESIAN_POINT('',(3.736975E1,-9.906E-1,-5.157610489476E0)); +#8416=CARTESIAN_POINT('',(3.736975E1,-9.906E-1,-1.954389510524E0)); +#8417=VERTEX_POINT('',#8415); +#8418=VERTEX_POINT('',#8416); +#8419=CARTESIAN_POINT('',(3.883025E1,-9.906E-1,-1.954389510524E0)); +#8420=CARTESIAN_POINT('',(3.883025E1,-9.906E-1,-5.157610489476E0)); +#8421=VERTEX_POINT('',#8419); +#8422=VERTEX_POINT('',#8420); +#8423=CARTESIAN_POINT('',(4.0513E1,3.81E0,-1.5494E1)); +#8424=CARTESIAN_POINT('',(4.1275E1,3.048E0,-1.5494E1)); +#8425=VERTEX_POINT('',#8423); +#8426=VERTEX_POINT('',#8424); +#8427=CARTESIAN_POINT('',(4.1275E1,-3.048E0,-1.5494E1)); +#8428=CARTESIAN_POINT('',(4.0513E1,-3.81E0,-1.5494E1)); +#8429=VERTEX_POINT('',#8427); +#8430=VERTEX_POINT('',#8428); +#8431=CARTESIAN_POINT('',(-4.0513E1,-3.81E0,-1.5494E1)); +#8432=CARTESIAN_POINT('',(-4.1275E1,-3.048E0,-1.5494E1)); +#8433=VERTEX_POINT('',#8431); +#8434=VERTEX_POINT('',#8432); +#8435=CARTESIAN_POINT('',(-4.1275E1,3.048E0,-1.5494E1)); +#8436=CARTESIAN_POINT('',(-4.0513E1,3.81E0,-1.5494E1)); +#8437=VERTEX_POINT('',#8435); +#8438=VERTEX_POINT('',#8436); +#8439=CARTESIAN_POINT('',(-3.88096125E1,2.22631E0,-1.397E1)); +#8440=CARTESIAN_POINT('',(-3.88096125E1,2.62509E0,-1.397E1)); +#8441=VERTEX_POINT('',#8439); +#8442=VERTEX_POINT('',#8440); +#8443=CARTESIAN_POINT('',(-3.73903875E1,2.22631E0,-1.397E1)); +#8444=CARTESIAN_POINT('',(-3.73903875E1,2.62509E0,-1.397E1)); +#8445=VERTEX_POINT('',#8443); +#8446=VERTEX_POINT('',#8444); +#8447=CARTESIAN_POINT('',(-3.77952E1,2.62509E0,-1.5494E1)); +#8448=CARTESIAN_POINT('',(-3.77952E1,2.22631E0,-1.5494E1)); +#8449=VERTEX_POINT('',#8447); +#8450=VERTEX_POINT('',#8448); +#8451=CARTESIAN_POINT('',(-3.84048E1,2.22631E0,-1.5494E1)); +#8452=CARTESIAN_POINT('',(-3.84048E1,2.62509E0,-1.5494E1)); +#8453=VERTEX_POINT('',#8451); +#8454=VERTEX_POINT('',#8452); +#8455=CARTESIAN_POINT('',(-3.88096125E1,-2.22631E0,-1.397E1)); +#8456=CARTESIAN_POINT('',(-3.88096125E1,-2.62509E0,-1.397E1)); +#8457=VERTEX_POINT('',#8455); +#8458=VERTEX_POINT('',#8456); +#8459=CARTESIAN_POINT('',(-3.73903875E1,-2.22631E0,-1.397E1)); +#8460=CARTESIAN_POINT('',(-3.73903875E1,-2.62509E0,-1.397E1)); +#8461=VERTEX_POINT('',#8459); +#8462=VERTEX_POINT('',#8460); +#8463=CARTESIAN_POINT('',(-3.84048E1,-2.62509E0,-1.5494E1)); +#8464=CARTESIAN_POINT('',(-3.84048E1,-2.22631E0,-1.5494E1)); +#8465=VERTEX_POINT('',#8463); +#8466=VERTEX_POINT('',#8464); +#8467=CARTESIAN_POINT('',(-3.77952E1,-2.22631E0,-1.5494E1)); +#8468=CARTESIAN_POINT('',(-3.77952E1,-2.62509E0,-1.5494E1)); +#8469=VERTEX_POINT('',#8467); +#8470=VERTEX_POINT('',#8468); +#8471=CARTESIAN_POINT('',(-3.58648E1,2.62509E0,-1.5494E1)); +#8472=CARTESIAN_POINT('',(-3.62696125E1,2.62509E0,-1.397E1)); +#8473=VERTEX_POINT('',#8471); +#8474=VERTEX_POINT('',#8472); +#8475=CARTESIAN_POINT('',(-3.48503875E1,2.62509E0,-1.397E1)); +#8476=CARTESIAN_POINT('',(-3.52552E1,2.62509E0,-1.5494E1)); +#8477=VERTEX_POINT('',#8475); +#8478=VERTEX_POINT('',#8476); +#8479=CARTESIAN_POINT('',(-3.58648E1,2.22631E0,-1.5494E1)); +#8480=CARTESIAN_POINT('',(-3.62696125E1,2.22631E0,-1.397E1)); +#8481=VERTEX_POINT('',#8479); +#8482=VERTEX_POINT('',#8480); +#8483=CARTESIAN_POINT('',(-3.48503875E1,2.22631E0,-1.397E1)); +#8484=CARTESIAN_POINT('',(-3.52552E1,2.22631E0,-1.5494E1)); +#8485=VERTEX_POINT('',#8483); +#8486=VERTEX_POINT('',#8484); +#8487=CARTESIAN_POINT('',(-3.62696125E1,-2.62509E0,-1.397E1)); +#8488=CARTESIAN_POINT('',(-3.58648E1,-2.62509E0,-1.5494E1)); +#8489=VERTEX_POINT('',#8487); +#8490=VERTEX_POINT('',#8488); +#8491=CARTESIAN_POINT('',(-3.52552E1,-2.62509E0,-1.5494E1)); +#8492=CARTESIAN_POINT('',(-3.48503875E1,-2.62509E0,-1.397E1)); +#8493=VERTEX_POINT('',#8491); +#8494=VERTEX_POINT('',#8492); +#8495=CARTESIAN_POINT('',(-3.62696125E1,-2.22631E0,-1.397E1)); +#8496=CARTESIAN_POINT('',(-3.58648E1,-2.22631E0,-1.5494E1)); +#8497=VERTEX_POINT('',#8495); +#8498=VERTEX_POINT('',#8496); +#8499=CARTESIAN_POINT('',(-3.52552E1,-2.22631E0,-1.5494E1)); +#8500=CARTESIAN_POINT('',(-3.48503875E1,-2.22631E0,-1.397E1)); +#8501=VERTEX_POINT('',#8499); +#8502=VERTEX_POINT('',#8500); +#8503=CARTESIAN_POINT('',(-3.33248E1,2.62509E0,-1.5494E1)); +#8504=CARTESIAN_POINT('',(-3.37296125E1,2.62509E0,-1.397E1)); +#8505=VERTEX_POINT('',#8503); +#8506=VERTEX_POINT('',#8504); +#8507=CARTESIAN_POINT('',(-3.23103875E1,2.62509E0,-1.397E1)); +#8508=CARTESIAN_POINT('',(-3.27152E1,2.62509E0,-1.5494E1)); +#8509=VERTEX_POINT('',#8507); +#8510=VERTEX_POINT('',#8508); +#8511=CARTESIAN_POINT('',(-3.33248E1,2.22631E0,-1.5494E1)); +#8512=CARTESIAN_POINT('',(-3.37296125E1,2.22631E0,-1.397E1)); +#8513=VERTEX_POINT('',#8511); +#8514=VERTEX_POINT('',#8512); +#8515=CARTESIAN_POINT('',(-3.23103875E1,2.22631E0,-1.397E1)); +#8516=CARTESIAN_POINT('',(-3.27152E1,2.22631E0,-1.5494E1)); +#8517=VERTEX_POINT('',#8515); +#8518=VERTEX_POINT('',#8516); +#8519=CARTESIAN_POINT('',(-3.37296125E1,-2.62509E0,-1.397E1)); +#8520=CARTESIAN_POINT('',(-3.33248E1,-2.62509E0,-1.5494E1)); +#8521=VERTEX_POINT('',#8519); +#8522=VERTEX_POINT('',#8520); +#8523=CARTESIAN_POINT('',(-3.27152E1,-2.62509E0,-1.5494E1)); +#8524=CARTESIAN_POINT('',(-3.23103875E1,-2.62509E0,-1.397E1)); +#8525=VERTEX_POINT('',#8523); +#8526=VERTEX_POINT('',#8524); +#8527=CARTESIAN_POINT('',(-3.37296125E1,-2.22631E0,-1.397E1)); +#8528=CARTESIAN_POINT('',(-3.33248E1,-2.22631E0,-1.5494E1)); +#8529=VERTEX_POINT('',#8527); +#8530=VERTEX_POINT('',#8528); +#8531=CARTESIAN_POINT('',(-3.27152E1,-2.22631E0,-1.5494E1)); +#8532=CARTESIAN_POINT('',(-3.23103875E1,-2.22631E0,-1.397E1)); +#8533=VERTEX_POINT('',#8531); +#8534=VERTEX_POINT('',#8532); +#8535=CARTESIAN_POINT('',(-3.07848E1,2.62509E0,-1.5494E1)); +#8536=CARTESIAN_POINT('',(-3.11896125E1,2.62509E0,-1.397E1)); +#8537=VERTEX_POINT('',#8535); +#8538=VERTEX_POINT('',#8536); +#8539=CARTESIAN_POINT('',(-2.97703875E1,2.62509E0,-1.397E1)); +#8540=CARTESIAN_POINT('',(-3.01752E1,2.62509E0,-1.5494E1)); +#8541=VERTEX_POINT('',#8539); +#8542=VERTEX_POINT('',#8540); +#8543=CARTESIAN_POINT('',(-3.07848E1,2.22631E0,-1.5494E1)); +#8544=CARTESIAN_POINT('',(-3.11896125E1,2.22631E0,-1.397E1)); +#8545=VERTEX_POINT('',#8543); +#8546=VERTEX_POINT('',#8544); +#8547=CARTESIAN_POINT('',(-2.97703875E1,2.22631E0,-1.397E1)); +#8548=CARTESIAN_POINT('',(-3.01752E1,2.22631E0,-1.5494E1)); +#8549=VERTEX_POINT('',#8547); +#8550=VERTEX_POINT('',#8548); +#8551=CARTESIAN_POINT('',(-3.11896125E1,-2.62509E0,-1.397E1)); +#8552=CARTESIAN_POINT('',(-3.07848E1,-2.62509E0,-1.5494E1)); +#8553=VERTEX_POINT('',#8551); +#8554=VERTEX_POINT('',#8552); +#8555=CARTESIAN_POINT('',(-3.01752E1,-2.62509E0,-1.5494E1)); +#8556=CARTESIAN_POINT('',(-2.97703875E1,-2.62509E0,-1.397E1)); +#8557=VERTEX_POINT('',#8555); +#8558=VERTEX_POINT('',#8556); +#8559=CARTESIAN_POINT('',(-3.11896125E1,-2.22631E0,-1.397E1)); +#8560=CARTESIAN_POINT('',(-3.07848E1,-2.22631E0,-1.5494E1)); +#8561=VERTEX_POINT('',#8559); +#8562=VERTEX_POINT('',#8560); +#8563=CARTESIAN_POINT('',(-3.01752E1,-2.22631E0,-1.5494E1)); +#8564=CARTESIAN_POINT('',(-2.97703875E1,-2.22631E0,-1.397E1)); +#8565=VERTEX_POINT('',#8563); +#8566=VERTEX_POINT('',#8564); +#8567=CARTESIAN_POINT('',(-2.82448E1,2.62509E0,-1.5494E1)); +#8568=CARTESIAN_POINT('',(-2.86496125E1,2.62509E0,-1.397E1)); +#8569=VERTEX_POINT('',#8567); +#8570=VERTEX_POINT('',#8568); +#8571=CARTESIAN_POINT('',(-2.72303875E1,2.62509E0,-1.397E1)); +#8572=CARTESIAN_POINT('',(-2.76352E1,2.62509E0,-1.5494E1)); +#8573=VERTEX_POINT('',#8571); +#8574=VERTEX_POINT('',#8572); +#8575=CARTESIAN_POINT('',(-2.82448E1,2.22631E0,-1.5494E1)); +#8576=CARTESIAN_POINT('',(-2.86496125E1,2.22631E0,-1.397E1)); +#8577=VERTEX_POINT('',#8575); +#8578=VERTEX_POINT('',#8576); +#8579=CARTESIAN_POINT('',(-2.72303875E1,2.22631E0,-1.397E1)); +#8580=CARTESIAN_POINT('',(-2.76352E1,2.22631E0,-1.5494E1)); +#8581=VERTEX_POINT('',#8579); +#8582=VERTEX_POINT('',#8580); +#8583=CARTESIAN_POINT('',(-2.86496125E1,-2.62509E0,-1.397E1)); +#8584=CARTESIAN_POINT('',(-2.82448E1,-2.62509E0,-1.5494E1)); +#8585=VERTEX_POINT('',#8583); +#8586=VERTEX_POINT('',#8584); +#8587=CARTESIAN_POINT('',(-2.76352E1,-2.62509E0,-1.5494E1)); +#8588=CARTESIAN_POINT('',(-2.72303875E1,-2.62509E0,-1.397E1)); +#8589=VERTEX_POINT('',#8587); +#8590=VERTEX_POINT('',#8588); +#8591=CARTESIAN_POINT('',(-2.86496125E1,-2.22631E0,-1.397E1)); +#8592=CARTESIAN_POINT('',(-2.82448E1,-2.22631E0,-1.5494E1)); +#8593=VERTEX_POINT('',#8591); +#8594=VERTEX_POINT('',#8592); +#8595=CARTESIAN_POINT('',(-2.76352E1,-2.22631E0,-1.5494E1)); +#8596=CARTESIAN_POINT('',(-2.72303875E1,-2.22631E0,-1.397E1)); +#8597=VERTEX_POINT('',#8595); +#8598=VERTEX_POINT('',#8596); +#8599=CARTESIAN_POINT('',(-2.57048E1,2.62509E0,-1.5494E1)); +#8600=CARTESIAN_POINT('',(-2.61096125E1,2.62509E0,-1.397E1)); +#8601=VERTEX_POINT('',#8599); +#8602=VERTEX_POINT('',#8600); +#8603=CARTESIAN_POINT('',(-2.46903875E1,2.62509E0,-1.397E1)); +#8604=CARTESIAN_POINT('',(-2.50952E1,2.62509E0,-1.5494E1)); +#8605=VERTEX_POINT('',#8603); +#8606=VERTEX_POINT('',#8604); +#8607=CARTESIAN_POINT('',(-2.57048E1,2.22631E0,-1.5494E1)); +#8608=CARTESIAN_POINT('',(-2.61096125E1,2.22631E0,-1.397E1)); +#8609=VERTEX_POINT('',#8607); +#8610=VERTEX_POINT('',#8608); +#8611=CARTESIAN_POINT('',(-2.46903875E1,2.22631E0,-1.397E1)); +#8612=CARTESIAN_POINT('',(-2.50952E1,2.22631E0,-1.5494E1)); +#8613=VERTEX_POINT('',#8611); +#8614=VERTEX_POINT('',#8612); +#8615=CARTESIAN_POINT('',(-2.61096125E1,-2.62509E0,-1.397E1)); +#8616=CARTESIAN_POINT('',(-2.57048E1,-2.62509E0,-1.5494E1)); +#8617=VERTEX_POINT('',#8615); +#8618=VERTEX_POINT('',#8616); +#8619=CARTESIAN_POINT('',(-2.50952E1,-2.62509E0,-1.5494E1)); +#8620=CARTESIAN_POINT('',(-2.46903875E1,-2.62509E0,-1.397E1)); +#8621=VERTEX_POINT('',#8619); +#8622=VERTEX_POINT('',#8620); +#8623=CARTESIAN_POINT('',(-2.61096125E1,-2.22631E0,-1.397E1)); +#8624=CARTESIAN_POINT('',(-2.57048E1,-2.22631E0,-1.5494E1)); +#8625=VERTEX_POINT('',#8623); +#8626=VERTEX_POINT('',#8624); +#8627=CARTESIAN_POINT('',(-2.50952E1,-2.22631E0,-1.5494E1)); +#8628=CARTESIAN_POINT('',(-2.46903875E1,-2.22631E0,-1.397E1)); +#8629=VERTEX_POINT('',#8627); +#8630=VERTEX_POINT('',#8628); +#8631=CARTESIAN_POINT('',(-2.31648E1,2.62509E0,-1.5494E1)); +#8632=CARTESIAN_POINT('',(-2.35696125E1,2.62509E0,-1.397E1)); +#8633=VERTEX_POINT('',#8631); +#8634=VERTEX_POINT('',#8632); +#8635=CARTESIAN_POINT('',(-2.21503875E1,2.62509E0,-1.397E1)); +#8636=CARTESIAN_POINT('',(-2.25552E1,2.62509E0,-1.5494E1)); +#8637=VERTEX_POINT('',#8635); +#8638=VERTEX_POINT('',#8636); +#8639=CARTESIAN_POINT('',(-2.31648E1,2.22631E0,-1.5494E1)); +#8640=CARTESIAN_POINT('',(-2.35696125E1,2.22631E0,-1.397E1)); +#8641=VERTEX_POINT('',#8639); +#8642=VERTEX_POINT('',#8640); +#8643=CARTESIAN_POINT('',(-2.21503875E1,2.22631E0,-1.397E1)); +#8644=CARTESIAN_POINT('',(-2.25552E1,2.22631E0,-1.5494E1)); +#8645=VERTEX_POINT('',#8643); +#8646=VERTEX_POINT('',#8644); +#8647=CARTESIAN_POINT('',(-2.35696125E1,-2.62509E0,-1.397E1)); +#8648=CARTESIAN_POINT('',(-2.31648E1,-2.62509E0,-1.5494E1)); +#8649=VERTEX_POINT('',#8647); +#8650=VERTEX_POINT('',#8648); +#8651=CARTESIAN_POINT('',(-2.25552E1,-2.62509E0,-1.5494E1)); +#8652=CARTESIAN_POINT('',(-2.21503875E1,-2.62509E0,-1.397E1)); +#8653=VERTEX_POINT('',#8651); +#8654=VERTEX_POINT('',#8652); +#8655=CARTESIAN_POINT('',(-2.35696125E1,-2.22631E0,-1.397E1)); +#8656=CARTESIAN_POINT('',(-2.31648E1,-2.22631E0,-1.5494E1)); +#8657=VERTEX_POINT('',#8655); +#8658=VERTEX_POINT('',#8656); +#8659=CARTESIAN_POINT('',(-2.25552E1,-2.22631E0,-1.5494E1)); +#8660=CARTESIAN_POINT('',(-2.21503875E1,-2.22631E0,-1.397E1)); +#8661=VERTEX_POINT('',#8659); +#8662=VERTEX_POINT('',#8660); +#8663=CARTESIAN_POINT('',(-2.06248E1,2.62509E0,-1.5494E1)); +#8664=CARTESIAN_POINT('',(-2.10296125E1,2.62509E0,-1.397E1)); +#8665=VERTEX_POINT('',#8663); +#8666=VERTEX_POINT('',#8664); +#8667=CARTESIAN_POINT('',(-1.96103875E1,2.62509E0,-1.397E1)); +#8668=CARTESIAN_POINT('',(-2.00152E1,2.62509E0,-1.5494E1)); +#8669=VERTEX_POINT('',#8667); +#8670=VERTEX_POINT('',#8668); +#8671=CARTESIAN_POINT('',(-2.06248E1,2.22631E0,-1.5494E1)); +#8672=CARTESIAN_POINT('',(-2.10296125E1,2.22631E0,-1.397E1)); +#8673=VERTEX_POINT('',#8671); +#8674=VERTEX_POINT('',#8672); +#8675=CARTESIAN_POINT('',(-1.96103875E1,2.22631E0,-1.397E1)); +#8676=CARTESIAN_POINT('',(-2.00152E1,2.22631E0,-1.5494E1)); +#8677=VERTEX_POINT('',#8675); +#8678=VERTEX_POINT('',#8676); +#8679=CARTESIAN_POINT('',(-2.10296125E1,-2.62509E0,-1.397E1)); +#8680=CARTESIAN_POINT('',(-2.06248E1,-2.62509E0,-1.5494E1)); +#8681=VERTEX_POINT('',#8679); +#8682=VERTEX_POINT('',#8680); +#8683=CARTESIAN_POINT('',(-2.00152E1,-2.62509E0,-1.5494E1)); +#8684=CARTESIAN_POINT('',(-1.96103875E1,-2.62509E0,-1.397E1)); +#8685=VERTEX_POINT('',#8683); +#8686=VERTEX_POINT('',#8684); +#8687=CARTESIAN_POINT('',(-2.10296125E1,-2.22631E0,-1.397E1)); +#8688=CARTESIAN_POINT('',(-2.06248E1,-2.22631E0,-1.5494E1)); +#8689=VERTEX_POINT('',#8687); +#8690=VERTEX_POINT('',#8688); +#8691=CARTESIAN_POINT('',(-2.00152E1,-2.22631E0,-1.5494E1)); +#8692=CARTESIAN_POINT('',(-1.96103875E1,-2.22631E0,-1.397E1)); +#8693=VERTEX_POINT('',#8691); +#8694=VERTEX_POINT('',#8692); +#8695=CARTESIAN_POINT('',(-1.80848E1,2.62509E0,-1.5494E1)); +#8696=CARTESIAN_POINT('',(-1.84896125E1,2.62509E0,-1.397E1)); +#8697=VERTEX_POINT('',#8695); +#8698=VERTEX_POINT('',#8696); +#8699=CARTESIAN_POINT('',(-1.70703875E1,2.62509E0,-1.397E1)); +#8700=CARTESIAN_POINT('',(-1.74752E1,2.62509E0,-1.5494E1)); +#8701=VERTEX_POINT('',#8699); +#8702=VERTEX_POINT('',#8700); +#8703=CARTESIAN_POINT('',(-1.80848E1,2.22631E0,-1.5494E1)); +#8704=CARTESIAN_POINT('',(-1.84896125E1,2.22631E0,-1.397E1)); +#8705=VERTEX_POINT('',#8703); +#8706=VERTEX_POINT('',#8704); +#8707=CARTESIAN_POINT('',(-1.70703875E1,2.22631E0,-1.397E1)); +#8708=CARTESIAN_POINT('',(-1.74752E1,2.22631E0,-1.5494E1)); +#8709=VERTEX_POINT('',#8707); +#8710=VERTEX_POINT('',#8708); +#8711=CARTESIAN_POINT('',(-1.84896125E1,-2.62509E0,-1.397E1)); +#8712=CARTESIAN_POINT('',(-1.80848E1,-2.62509E0,-1.5494E1)); +#8713=VERTEX_POINT('',#8711); +#8714=VERTEX_POINT('',#8712); +#8715=CARTESIAN_POINT('',(-1.74752E1,-2.62509E0,-1.5494E1)); +#8716=CARTESIAN_POINT('',(-1.70703875E1,-2.62509E0,-1.397E1)); +#8717=VERTEX_POINT('',#8715); +#8718=VERTEX_POINT('',#8716); +#8719=CARTESIAN_POINT('',(-1.84896125E1,-2.22631E0,-1.397E1)); +#8720=CARTESIAN_POINT('',(-1.80848E1,-2.22631E0,-1.5494E1)); +#8721=VERTEX_POINT('',#8719); +#8722=VERTEX_POINT('',#8720); +#8723=CARTESIAN_POINT('',(-1.74752E1,-2.22631E0,-1.5494E1)); +#8724=CARTESIAN_POINT('',(-1.70703875E1,-2.22631E0,-1.397E1)); +#8725=VERTEX_POINT('',#8723); +#8726=VERTEX_POINT('',#8724); +#8727=CARTESIAN_POINT('',(-1.55448E1,2.62509E0,-1.5494E1)); +#8728=CARTESIAN_POINT('',(-1.59496125E1,2.62509E0,-1.397E1)); +#8729=VERTEX_POINT('',#8727); +#8730=VERTEX_POINT('',#8728); +#8731=CARTESIAN_POINT('',(-1.45303875E1,2.62509E0,-1.397E1)); +#8732=CARTESIAN_POINT('',(-1.49352E1,2.62509E0,-1.5494E1)); +#8733=VERTEX_POINT('',#8731); +#8734=VERTEX_POINT('',#8732); +#8735=CARTESIAN_POINT('',(-1.55448E1,2.22631E0,-1.5494E1)); +#8736=CARTESIAN_POINT('',(-1.59496125E1,2.22631E0,-1.397E1)); +#8737=VERTEX_POINT('',#8735); +#8738=VERTEX_POINT('',#8736); +#8739=CARTESIAN_POINT('',(-1.45303875E1,2.22631E0,-1.397E1)); +#8740=CARTESIAN_POINT('',(-1.49352E1,2.22631E0,-1.5494E1)); +#8741=VERTEX_POINT('',#8739); +#8742=VERTEX_POINT('',#8740); +#8743=CARTESIAN_POINT('',(-1.59496125E1,-2.62509E0,-1.397E1)); +#8744=CARTESIAN_POINT('',(-1.55448E1,-2.62509E0,-1.5494E1)); +#8745=VERTEX_POINT('',#8743); +#8746=VERTEX_POINT('',#8744); +#8747=CARTESIAN_POINT('',(-1.49352E1,-2.62509E0,-1.5494E1)); +#8748=CARTESIAN_POINT('',(-1.45303875E1,-2.62509E0,-1.397E1)); +#8749=VERTEX_POINT('',#8747); +#8750=VERTEX_POINT('',#8748); +#8751=CARTESIAN_POINT('',(-1.59496125E1,-2.22631E0,-1.397E1)); +#8752=CARTESIAN_POINT('',(-1.55448E1,-2.22631E0,-1.5494E1)); +#8753=VERTEX_POINT('',#8751); +#8754=VERTEX_POINT('',#8752); +#8755=CARTESIAN_POINT('',(-1.49352E1,-2.22631E0,-1.5494E1)); +#8756=CARTESIAN_POINT('',(-1.45303875E1,-2.22631E0,-1.397E1)); +#8757=VERTEX_POINT('',#8755); +#8758=VERTEX_POINT('',#8756); +#8759=CARTESIAN_POINT('',(-1.30048E1,2.62509E0,-1.5494E1)); +#8760=CARTESIAN_POINT('',(-1.34096125E1,2.62509E0,-1.397E1)); +#8761=VERTEX_POINT('',#8759); +#8762=VERTEX_POINT('',#8760); +#8763=CARTESIAN_POINT('',(-1.19903875E1,2.62509E0,-1.397E1)); +#8764=CARTESIAN_POINT('',(-1.23952E1,2.62509E0,-1.5494E1)); +#8765=VERTEX_POINT('',#8763); +#8766=VERTEX_POINT('',#8764); +#8767=CARTESIAN_POINT('',(-1.30048E1,2.22631E0,-1.5494E1)); +#8768=CARTESIAN_POINT('',(-1.34096125E1,2.22631E0,-1.397E1)); +#8769=VERTEX_POINT('',#8767); +#8770=VERTEX_POINT('',#8768); +#8771=CARTESIAN_POINT('',(-1.19903875E1,2.22631E0,-1.397E1)); +#8772=CARTESIAN_POINT('',(-1.23952E1,2.22631E0,-1.5494E1)); +#8773=VERTEX_POINT('',#8771); +#8774=VERTEX_POINT('',#8772); +#8775=CARTESIAN_POINT('',(-1.34096125E1,-2.62509E0,-1.397E1)); +#8776=CARTESIAN_POINT('',(-1.30048E1,-2.62509E0,-1.5494E1)); +#8777=VERTEX_POINT('',#8775); +#8778=VERTEX_POINT('',#8776); +#8779=CARTESIAN_POINT('',(-1.23952E1,-2.62509E0,-1.5494E1)); +#8780=CARTESIAN_POINT('',(-1.19903875E1,-2.62509E0,-1.397E1)); +#8781=VERTEX_POINT('',#8779); +#8782=VERTEX_POINT('',#8780); +#8783=CARTESIAN_POINT('',(-1.34096125E1,-2.22631E0,-1.397E1)); +#8784=CARTESIAN_POINT('',(-1.30048E1,-2.22631E0,-1.5494E1)); +#8785=VERTEX_POINT('',#8783); +#8786=VERTEX_POINT('',#8784); +#8787=CARTESIAN_POINT('',(-1.23952E1,-2.22631E0,-1.5494E1)); +#8788=CARTESIAN_POINT('',(-1.19903875E1,-2.22631E0,-1.397E1)); +#8789=VERTEX_POINT('',#8787); +#8790=VERTEX_POINT('',#8788); +#8791=CARTESIAN_POINT('',(-1.04648E1,2.62509E0,-1.5494E1)); +#8792=CARTESIAN_POINT('',(-1.08696125E1,2.62509E0,-1.397E1)); +#8793=VERTEX_POINT('',#8791); +#8794=VERTEX_POINT('',#8792); +#8795=CARTESIAN_POINT('',(-9.4503875E0,2.62509E0,-1.397E1)); +#8796=CARTESIAN_POINT('',(-9.8552E0,2.62509E0,-1.5494E1)); +#8797=VERTEX_POINT('',#8795); +#8798=VERTEX_POINT('',#8796); +#8799=CARTESIAN_POINT('',(-1.04648E1,2.22631E0,-1.5494E1)); +#8800=CARTESIAN_POINT('',(-1.08696125E1,2.22631E0,-1.397E1)); +#8801=VERTEX_POINT('',#8799); +#8802=VERTEX_POINT('',#8800); +#8803=CARTESIAN_POINT('',(-9.4503875E0,2.22631E0,-1.397E1)); +#8804=CARTESIAN_POINT('',(-9.8552E0,2.22631E0,-1.5494E1)); +#8805=VERTEX_POINT('',#8803); +#8806=VERTEX_POINT('',#8804); +#8807=CARTESIAN_POINT('',(-1.08696125E1,-2.62509E0,-1.397E1)); +#8808=CARTESIAN_POINT('',(-1.04648E1,-2.62509E0,-1.5494E1)); +#8809=VERTEX_POINT('',#8807); +#8810=VERTEX_POINT('',#8808); +#8811=CARTESIAN_POINT('',(-9.8552E0,-2.62509E0,-1.5494E1)); +#8812=CARTESIAN_POINT('',(-9.4503875E0,-2.62509E0,-1.397E1)); +#8813=VERTEX_POINT('',#8811); +#8814=VERTEX_POINT('',#8812); +#8815=CARTESIAN_POINT('',(-1.08696125E1,-2.22631E0,-1.397E1)); +#8816=CARTESIAN_POINT('',(-1.04648E1,-2.22631E0,-1.5494E1)); +#8817=VERTEX_POINT('',#8815); +#8818=VERTEX_POINT('',#8816); +#8819=CARTESIAN_POINT('',(-9.8552E0,-2.22631E0,-1.5494E1)); +#8820=CARTESIAN_POINT('',(-9.4503875E0,-2.22631E0,-1.397E1)); +#8821=VERTEX_POINT('',#8819); +#8822=VERTEX_POINT('',#8820); +#8823=CARTESIAN_POINT('',(-7.9248E0,2.62509E0,-1.5494E1)); +#8824=CARTESIAN_POINT('',(-8.3296125E0,2.62509E0,-1.397E1)); +#8825=VERTEX_POINT('',#8823); +#8826=VERTEX_POINT('',#8824); +#8827=CARTESIAN_POINT('',(-6.9103875E0,2.62509E0,-1.397E1)); +#8828=CARTESIAN_POINT('',(-7.3152E0,2.62509E0,-1.5494E1)); +#8829=VERTEX_POINT('',#8827); +#8830=VERTEX_POINT('',#8828); +#8831=CARTESIAN_POINT('',(-7.9248E0,2.22631E0,-1.5494E1)); +#8832=CARTESIAN_POINT('',(-8.3296125E0,2.22631E0,-1.397E1)); +#8833=VERTEX_POINT('',#8831); +#8834=VERTEX_POINT('',#8832); +#8835=CARTESIAN_POINT('',(-6.9103875E0,2.22631E0,-1.397E1)); +#8836=CARTESIAN_POINT('',(-7.3152E0,2.22631E0,-1.5494E1)); +#8837=VERTEX_POINT('',#8835); +#8838=VERTEX_POINT('',#8836); +#8839=CARTESIAN_POINT('',(-8.3296125E0,-2.62509E0,-1.397E1)); +#8840=CARTESIAN_POINT('',(-7.9248E0,-2.62509E0,-1.5494E1)); +#8841=VERTEX_POINT('',#8839); +#8842=VERTEX_POINT('',#8840); +#8843=CARTESIAN_POINT('',(-7.3152E0,-2.62509E0,-1.5494E1)); +#8844=CARTESIAN_POINT('',(-6.9103875E0,-2.62509E0,-1.397E1)); +#8845=VERTEX_POINT('',#8843); +#8846=VERTEX_POINT('',#8844); +#8847=CARTESIAN_POINT('',(-8.3296125E0,-2.22631E0,-1.397E1)); +#8848=CARTESIAN_POINT('',(-7.9248E0,-2.22631E0,-1.5494E1)); +#8849=VERTEX_POINT('',#8847); +#8850=VERTEX_POINT('',#8848); +#8851=CARTESIAN_POINT('',(-7.3152E0,-2.22631E0,-1.5494E1)); +#8852=CARTESIAN_POINT('',(-6.9103875E0,-2.22631E0,-1.397E1)); +#8853=VERTEX_POINT('',#8851); +#8854=VERTEX_POINT('',#8852); +#8855=CARTESIAN_POINT('',(-5.3848E0,2.62509E0,-1.5494E1)); +#8856=CARTESIAN_POINT('',(-5.7896125E0,2.62509E0,-1.397E1)); +#8857=VERTEX_POINT('',#8855); +#8858=VERTEX_POINT('',#8856); +#8859=CARTESIAN_POINT('',(-4.3703875E0,2.62509E0,-1.397E1)); +#8860=CARTESIAN_POINT('',(-4.7752E0,2.62509E0,-1.5494E1)); +#8861=VERTEX_POINT('',#8859); +#8862=VERTEX_POINT('',#8860); +#8863=CARTESIAN_POINT('',(-5.3848E0,2.22631E0,-1.5494E1)); +#8864=CARTESIAN_POINT('',(-5.7896125E0,2.22631E0,-1.397E1)); +#8865=VERTEX_POINT('',#8863); +#8866=VERTEX_POINT('',#8864); +#8867=CARTESIAN_POINT('',(-4.3703875E0,2.22631E0,-1.397E1)); +#8868=CARTESIAN_POINT('',(-4.7752E0,2.22631E0,-1.5494E1)); +#8869=VERTEX_POINT('',#8867); +#8870=VERTEX_POINT('',#8868); +#8871=CARTESIAN_POINT('',(-5.7896125E0,-2.62509E0,-1.397E1)); +#8872=CARTESIAN_POINT('',(-5.3848E0,-2.62509E0,-1.5494E1)); +#8873=VERTEX_POINT('',#8871); +#8874=VERTEX_POINT('',#8872); +#8875=CARTESIAN_POINT('',(-4.7752E0,-2.62509E0,-1.5494E1)); +#8876=CARTESIAN_POINT('',(-4.3703875E0,-2.62509E0,-1.397E1)); +#8877=VERTEX_POINT('',#8875); +#8878=VERTEX_POINT('',#8876); +#8879=CARTESIAN_POINT('',(-5.7896125E0,-2.22631E0,-1.397E1)); +#8880=CARTESIAN_POINT('',(-5.3848E0,-2.22631E0,-1.5494E1)); +#8881=VERTEX_POINT('',#8879); +#8882=VERTEX_POINT('',#8880); +#8883=CARTESIAN_POINT('',(-4.7752E0,-2.22631E0,-1.5494E1)); +#8884=CARTESIAN_POINT('',(-4.3703875E0,-2.22631E0,-1.397E1)); +#8885=VERTEX_POINT('',#8883); +#8886=VERTEX_POINT('',#8884); +#8887=CARTESIAN_POINT('',(-2.8448E0,2.62509E0,-1.5494E1)); +#8888=CARTESIAN_POINT('',(-3.2496125E0,2.62509E0,-1.397E1)); +#8889=VERTEX_POINT('',#8887); +#8890=VERTEX_POINT('',#8888); +#8891=CARTESIAN_POINT('',(-1.8303875E0,2.62509E0,-1.397E1)); +#8892=CARTESIAN_POINT('',(-2.2352E0,2.62509E0,-1.5494E1)); +#8893=VERTEX_POINT('',#8891); +#8894=VERTEX_POINT('',#8892); +#8895=CARTESIAN_POINT('',(-2.8448E0,2.22631E0,-1.5494E1)); +#8896=CARTESIAN_POINT('',(-3.2496125E0,2.22631E0,-1.397E1)); +#8897=VERTEX_POINT('',#8895); +#8898=VERTEX_POINT('',#8896); +#8899=CARTESIAN_POINT('',(-1.8303875E0,2.22631E0,-1.397E1)); +#8900=CARTESIAN_POINT('',(-2.2352E0,2.22631E0,-1.5494E1)); +#8901=VERTEX_POINT('',#8899); +#8902=VERTEX_POINT('',#8900); +#8903=CARTESIAN_POINT('',(-3.2496125E0,-2.62509E0,-1.397E1)); +#8904=CARTESIAN_POINT('',(-2.8448E0,-2.62509E0,-1.5494E1)); +#8905=VERTEX_POINT('',#8903); +#8906=VERTEX_POINT('',#8904); +#8907=CARTESIAN_POINT('',(-2.2352E0,-2.62509E0,-1.5494E1)); +#8908=CARTESIAN_POINT('',(-1.8303875E0,-2.62509E0,-1.397E1)); +#8909=VERTEX_POINT('',#8907); +#8910=VERTEX_POINT('',#8908); +#8911=CARTESIAN_POINT('',(-3.2496125E0,-2.22631E0,-1.397E1)); +#8912=CARTESIAN_POINT('',(-2.8448E0,-2.22631E0,-1.5494E1)); +#8913=VERTEX_POINT('',#8911); +#8914=VERTEX_POINT('',#8912); +#8915=CARTESIAN_POINT('',(-2.2352E0,-2.22631E0,-1.5494E1)); +#8916=CARTESIAN_POINT('',(-1.8303875E0,-2.22631E0,-1.397E1)); +#8917=VERTEX_POINT('',#8915); +#8918=VERTEX_POINT('',#8916); +#8919=CARTESIAN_POINT('',(-3.048E-1,2.62509E0,-1.5494E1)); +#8920=CARTESIAN_POINT('',(-7.096125E-1,2.62509E0,-1.397E1)); +#8921=VERTEX_POINT('',#8919); +#8922=VERTEX_POINT('',#8920); +#8923=CARTESIAN_POINT('',(7.096125E-1,2.62509E0,-1.397E1)); +#8924=CARTESIAN_POINT('',(3.048E-1,2.62509E0,-1.5494E1)); +#8925=VERTEX_POINT('',#8923); +#8926=VERTEX_POINT('',#8924); +#8927=CARTESIAN_POINT('',(-3.048E-1,2.22631E0,-1.5494E1)); +#8928=CARTESIAN_POINT('',(-7.096125E-1,2.22631E0,-1.397E1)); +#8929=VERTEX_POINT('',#8927); +#8930=VERTEX_POINT('',#8928); +#8931=CARTESIAN_POINT('',(7.096125E-1,2.22631E0,-1.397E1)); +#8932=CARTESIAN_POINT('',(3.048E-1,2.22631E0,-1.5494E1)); +#8933=VERTEX_POINT('',#8931); +#8934=VERTEX_POINT('',#8932); +#8935=CARTESIAN_POINT('',(-7.096125E-1,-2.62509E0,-1.397E1)); +#8936=CARTESIAN_POINT('',(-3.048E-1,-2.62509E0,-1.5494E1)); +#8937=VERTEX_POINT('',#8935); +#8938=VERTEX_POINT('',#8936); +#8939=CARTESIAN_POINT('',(3.048E-1,-2.62509E0,-1.5494E1)); +#8940=CARTESIAN_POINT('',(7.096125E-1,-2.62509E0,-1.397E1)); +#8941=VERTEX_POINT('',#8939); +#8942=VERTEX_POINT('',#8940); +#8943=CARTESIAN_POINT('',(-7.096125E-1,-2.22631E0,-1.397E1)); +#8944=CARTESIAN_POINT('',(-3.048E-1,-2.22631E0,-1.5494E1)); +#8945=VERTEX_POINT('',#8943); +#8946=VERTEX_POINT('',#8944); +#8947=CARTESIAN_POINT('',(3.048E-1,-2.22631E0,-1.5494E1)); +#8948=CARTESIAN_POINT('',(7.096125E-1,-2.22631E0,-1.397E1)); +#8949=VERTEX_POINT('',#8947); +#8950=VERTEX_POINT('',#8948); +#8951=CARTESIAN_POINT('',(2.2352E0,2.62509E0,-1.5494E1)); +#8952=CARTESIAN_POINT('',(1.8303875E0,2.62509E0,-1.397E1)); +#8953=VERTEX_POINT('',#8951); +#8954=VERTEX_POINT('',#8952); +#8955=CARTESIAN_POINT('',(3.2496125E0,2.62509E0,-1.397E1)); +#8956=CARTESIAN_POINT('',(2.8448E0,2.62509E0,-1.5494E1)); +#8957=VERTEX_POINT('',#8955); +#8958=VERTEX_POINT('',#8956); +#8959=CARTESIAN_POINT('',(2.2352E0,2.22631E0,-1.5494E1)); +#8960=CARTESIAN_POINT('',(1.8303875E0,2.22631E0,-1.397E1)); +#8961=VERTEX_POINT('',#8959); +#8962=VERTEX_POINT('',#8960); +#8963=CARTESIAN_POINT('',(3.2496125E0,2.22631E0,-1.397E1)); +#8964=CARTESIAN_POINT('',(2.8448E0,2.22631E0,-1.5494E1)); +#8965=VERTEX_POINT('',#8963); +#8966=VERTEX_POINT('',#8964); +#8967=CARTESIAN_POINT('',(1.8303875E0,-2.62509E0,-1.397E1)); +#8968=CARTESIAN_POINT('',(2.2352E0,-2.62509E0,-1.5494E1)); +#8969=VERTEX_POINT('',#8967); +#8970=VERTEX_POINT('',#8968); +#8971=CARTESIAN_POINT('',(2.8448E0,-2.62509E0,-1.5494E1)); +#8972=CARTESIAN_POINT('',(3.2496125E0,-2.62509E0,-1.397E1)); +#8973=VERTEX_POINT('',#8971); +#8974=VERTEX_POINT('',#8972); +#8975=CARTESIAN_POINT('',(1.8303875E0,-2.22631E0,-1.397E1)); +#8976=CARTESIAN_POINT('',(2.2352E0,-2.22631E0,-1.5494E1)); +#8977=VERTEX_POINT('',#8975); +#8978=VERTEX_POINT('',#8976); +#8979=CARTESIAN_POINT('',(2.8448E0,-2.22631E0,-1.5494E1)); +#8980=CARTESIAN_POINT('',(3.2496125E0,-2.22631E0,-1.397E1)); +#8981=VERTEX_POINT('',#8979); +#8982=VERTEX_POINT('',#8980); +#8983=CARTESIAN_POINT('',(4.7752E0,2.62509E0,-1.5494E1)); +#8984=CARTESIAN_POINT('',(4.3703875E0,2.62509E0,-1.397E1)); +#8985=VERTEX_POINT('',#8983); +#8986=VERTEX_POINT('',#8984); +#8987=CARTESIAN_POINT('',(5.7896125E0,2.62509E0,-1.397E1)); +#8988=CARTESIAN_POINT('',(5.3848E0,2.62509E0,-1.5494E1)); +#8989=VERTEX_POINT('',#8987); +#8990=VERTEX_POINT('',#8988); +#8991=CARTESIAN_POINT('',(4.7752E0,2.22631E0,-1.5494E1)); +#8992=CARTESIAN_POINT('',(4.3703875E0,2.22631E0,-1.397E1)); +#8993=VERTEX_POINT('',#8991); +#8994=VERTEX_POINT('',#8992); +#8995=CARTESIAN_POINT('',(5.7896125E0,2.22631E0,-1.397E1)); +#8996=CARTESIAN_POINT('',(5.3848E0,2.22631E0,-1.5494E1)); +#8997=VERTEX_POINT('',#8995); +#8998=VERTEX_POINT('',#8996); +#8999=CARTESIAN_POINT('',(4.3703875E0,-2.62509E0,-1.397E1)); +#9000=CARTESIAN_POINT('',(4.7752E0,-2.62509E0,-1.5494E1)); +#9001=VERTEX_POINT('',#8999); +#9002=VERTEX_POINT('',#9000); +#9003=CARTESIAN_POINT('',(5.3848E0,-2.62509E0,-1.5494E1)); +#9004=CARTESIAN_POINT('',(5.7896125E0,-2.62509E0,-1.397E1)); +#9005=VERTEX_POINT('',#9003); +#9006=VERTEX_POINT('',#9004); +#9007=CARTESIAN_POINT('',(4.3703875E0,-2.22631E0,-1.397E1)); +#9008=CARTESIAN_POINT('',(4.7752E0,-2.22631E0,-1.5494E1)); +#9009=VERTEX_POINT('',#9007); +#9010=VERTEX_POINT('',#9008); +#9011=CARTESIAN_POINT('',(5.3848E0,-2.22631E0,-1.5494E1)); +#9012=CARTESIAN_POINT('',(5.7896125E0,-2.22631E0,-1.397E1)); +#9013=VERTEX_POINT('',#9011); +#9014=VERTEX_POINT('',#9012); +#9015=CARTESIAN_POINT('',(7.3152E0,2.62509E0,-1.5494E1)); +#9016=CARTESIAN_POINT('',(6.9103875E0,2.62509E0,-1.397E1)); +#9017=VERTEX_POINT('',#9015); +#9018=VERTEX_POINT('',#9016); +#9019=CARTESIAN_POINT('',(8.3296125E0,2.62509E0,-1.397E1)); +#9020=CARTESIAN_POINT('',(7.9248E0,2.62509E0,-1.5494E1)); +#9021=VERTEX_POINT('',#9019); +#9022=VERTEX_POINT('',#9020); +#9023=CARTESIAN_POINT('',(7.3152E0,2.22631E0,-1.5494E1)); +#9024=CARTESIAN_POINT('',(6.9103875E0,2.22631E0,-1.397E1)); +#9025=VERTEX_POINT('',#9023); +#9026=VERTEX_POINT('',#9024); +#9027=CARTESIAN_POINT('',(8.3296125E0,2.22631E0,-1.397E1)); +#9028=CARTESIAN_POINT('',(7.9248E0,2.22631E0,-1.5494E1)); +#9029=VERTEX_POINT('',#9027); +#9030=VERTEX_POINT('',#9028); +#9031=CARTESIAN_POINT('',(6.9103875E0,-2.62509E0,-1.397E1)); +#9032=CARTESIAN_POINT('',(7.3152E0,-2.62509E0,-1.5494E1)); +#9033=VERTEX_POINT('',#9031); +#9034=VERTEX_POINT('',#9032); +#9035=CARTESIAN_POINT('',(7.9248E0,-2.62509E0,-1.5494E1)); +#9036=CARTESIAN_POINT('',(8.3296125E0,-2.62509E0,-1.397E1)); +#9037=VERTEX_POINT('',#9035); +#9038=VERTEX_POINT('',#9036); +#9039=CARTESIAN_POINT('',(6.9103875E0,-2.22631E0,-1.397E1)); +#9040=CARTESIAN_POINT('',(7.3152E0,-2.22631E0,-1.5494E1)); +#9041=VERTEX_POINT('',#9039); +#9042=VERTEX_POINT('',#9040); +#9043=CARTESIAN_POINT('',(7.9248E0,-2.22631E0,-1.5494E1)); +#9044=CARTESIAN_POINT('',(8.3296125E0,-2.22631E0,-1.397E1)); +#9045=VERTEX_POINT('',#9043); +#9046=VERTEX_POINT('',#9044); +#9047=CARTESIAN_POINT('',(9.8552E0,2.62509E0,-1.5494E1)); +#9048=CARTESIAN_POINT('',(9.4503875E0,2.62509E0,-1.397E1)); +#9049=VERTEX_POINT('',#9047); +#9050=VERTEX_POINT('',#9048); +#9051=CARTESIAN_POINT('',(1.08696125E1,2.62509E0,-1.397E1)); +#9052=CARTESIAN_POINT('',(1.04648E1,2.62509E0,-1.5494E1)); +#9053=VERTEX_POINT('',#9051); +#9054=VERTEX_POINT('',#9052); +#9055=CARTESIAN_POINT('',(9.8552E0,2.22631E0,-1.5494E1)); +#9056=CARTESIAN_POINT('',(9.4503875E0,2.22631E0,-1.397E1)); +#9057=VERTEX_POINT('',#9055); +#9058=VERTEX_POINT('',#9056); +#9059=CARTESIAN_POINT('',(1.08696125E1,2.22631E0,-1.397E1)); +#9060=CARTESIAN_POINT('',(1.04648E1,2.22631E0,-1.5494E1)); +#9061=VERTEX_POINT('',#9059); +#9062=VERTEX_POINT('',#9060); +#9063=CARTESIAN_POINT('',(9.4503875E0,-2.62509E0,-1.397E1)); +#9064=CARTESIAN_POINT('',(9.8552E0,-2.62509E0,-1.5494E1)); +#9065=VERTEX_POINT('',#9063); +#9066=VERTEX_POINT('',#9064); +#9067=CARTESIAN_POINT('',(1.04648E1,-2.62509E0,-1.5494E1)); +#9068=CARTESIAN_POINT('',(1.08696125E1,-2.62509E0,-1.397E1)); +#9069=VERTEX_POINT('',#9067); +#9070=VERTEX_POINT('',#9068); +#9071=CARTESIAN_POINT('',(9.4503875E0,-2.22631E0,-1.397E1)); +#9072=CARTESIAN_POINT('',(9.8552E0,-2.22631E0,-1.5494E1)); +#9073=VERTEX_POINT('',#9071); +#9074=VERTEX_POINT('',#9072); +#9075=CARTESIAN_POINT('',(1.04648E1,-2.22631E0,-1.5494E1)); +#9076=CARTESIAN_POINT('',(1.08696125E1,-2.22631E0,-1.397E1)); +#9077=VERTEX_POINT('',#9075); +#9078=VERTEX_POINT('',#9076); +#9079=CARTESIAN_POINT('',(1.23952E1,2.62509E0,-1.5494E1)); +#9080=CARTESIAN_POINT('',(1.19903875E1,2.62509E0,-1.397E1)); +#9081=VERTEX_POINT('',#9079); +#9082=VERTEX_POINT('',#9080); +#9083=CARTESIAN_POINT('',(1.34096125E1,2.62509E0,-1.397E1)); +#9084=CARTESIAN_POINT('',(1.30048E1,2.62509E0,-1.5494E1)); +#9085=VERTEX_POINT('',#9083); +#9086=VERTEX_POINT('',#9084); +#9087=CARTESIAN_POINT('',(1.23952E1,2.22631E0,-1.5494E1)); +#9088=CARTESIAN_POINT('',(1.19903875E1,2.22631E0,-1.397E1)); +#9089=VERTEX_POINT('',#9087); +#9090=VERTEX_POINT('',#9088); +#9091=CARTESIAN_POINT('',(1.34096125E1,2.22631E0,-1.397E1)); +#9092=CARTESIAN_POINT('',(1.30048E1,2.22631E0,-1.5494E1)); +#9093=VERTEX_POINT('',#9091); +#9094=VERTEX_POINT('',#9092); +#9095=CARTESIAN_POINT('',(1.19903875E1,-2.62509E0,-1.397E1)); +#9096=CARTESIAN_POINT('',(1.23952E1,-2.62509E0,-1.5494E1)); +#9097=VERTEX_POINT('',#9095); +#9098=VERTEX_POINT('',#9096); +#9099=CARTESIAN_POINT('',(1.30048E1,-2.62509E0,-1.5494E1)); +#9100=CARTESIAN_POINT('',(1.34096125E1,-2.62509E0,-1.397E1)); +#9101=VERTEX_POINT('',#9099); +#9102=VERTEX_POINT('',#9100); +#9103=CARTESIAN_POINT('',(1.19903875E1,-2.22631E0,-1.397E1)); +#9104=CARTESIAN_POINT('',(1.23952E1,-2.22631E0,-1.5494E1)); +#9105=VERTEX_POINT('',#9103); +#9106=VERTEX_POINT('',#9104); +#9107=CARTESIAN_POINT('',(1.30048E1,-2.22631E0,-1.5494E1)); +#9108=CARTESIAN_POINT('',(1.34096125E1,-2.22631E0,-1.397E1)); +#9109=VERTEX_POINT('',#9107); +#9110=VERTEX_POINT('',#9108); +#9111=CARTESIAN_POINT('',(1.49352E1,2.62509E0,-1.5494E1)); +#9112=CARTESIAN_POINT('',(1.45303875E1,2.62509E0,-1.397E1)); +#9113=VERTEX_POINT('',#9111); +#9114=VERTEX_POINT('',#9112); +#9115=CARTESIAN_POINT('',(1.59496125E1,2.62509E0,-1.397E1)); +#9116=CARTESIAN_POINT('',(1.55448E1,2.62509E0,-1.5494E1)); +#9117=VERTEX_POINT('',#9115); +#9118=VERTEX_POINT('',#9116); +#9119=CARTESIAN_POINT('',(1.49352E1,2.22631E0,-1.5494E1)); +#9120=CARTESIAN_POINT('',(1.45303875E1,2.22631E0,-1.397E1)); +#9121=VERTEX_POINT('',#9119); +#9122=VERTEX_POINT('',#9120); +#9123=CARTESIAN_POINT('',(1.59496125E1,2.22631E0,-1.397E1)); +#9124=CARTESIAN_POINT('',(1.55448E1,2.22631E0,-1.5494E1)); +#9125=VERTEX_POINT('',#9123); +#9126=VERTEX_POINT('',#9124); +#9127=CARTESIAN_POINT('',(1.45303875E1,-2.62509E0,-1.397E1)); +#9128=CARTESIAN_POINT('',(1.49352E1,-2.62509E0,-1.5494E1)); +#9129=VERTEX_POINT('',#9127); +#9130=VERTEX_POINT('',#9128); +#9131=CARTESIAN_POINT('',(1.55448E1,-2.62509E0,-1.5494E1)); +#9132=CARTESIAN_POINT('',(1.59496125E1,-2.62509E0,-1.397E1)); +#9133=VERTEX_POINT('',#9131); +#9134=VERTEX_POINT('',#9132); +#9135=CARTESIAN_POINT('',(1.45303875E1,-2.22631E0,-1.397E1)); +#9136=CARTESIAN_POINT('',(1.49352E1,-2.22631E0,-1.5494E1)); +#9137=VERTEX_POINT('',#9135); +#9138=VERTEX_POINT('',#9136); +#9139=CARTESIAN_POINT('',(1.55448E1,-2.22631E0,-1.5494E1)); +#9140=CARTESIAN_POINT('',(1.59496125E1,-2.22631E0,-1.397E1)); +#9141=VERTEX_POINT('',#9139); +#9142=VERTEX_POINT('',#9140); +#9143=CARTESIAN_POINT('',(1.74752E1,2.62509E0,-1.5494E1)); +#9144=CARTESIAN_POINT('',(1.70703875E1,2.62509E0,-1.397E1)); +#9145=VERTEX_POINT('',#9143); +#9146=VERTEX_POINT('',#9144); +#9147=CARTESIAN_POINT('',(1.84896125E1,2.62509E0,-1.397E1)); +#9148=CARTESIAN_POINT('',(1.80848E1,2.62509E0,-1.5494E1)); +#9149=VERTEX_POINT('',#9147); +#9150=VERTEX_POINT('',#9148); +#9151=CARTESIAN_POINT('',(1.74752E1,2.22631E0,-1.5494E1)); +#9152=CARTESIAN_POINT('',(1.70703875E1,2.22631E0,-1.397E1)); +#9153=VERTEX_POINT('',#9151); +#9154=VERTEX_POINT('',#9152); +#9155=CARTESIAN_POINT('',(1.84896125E1,2.22631E0,-1.397E1)); +#9156=CARTESIAN_POINT('',(1.80848E1,2.22631E0,-1.5494E1)); +#9157=VERTEX_POINT('',#9155); +#9158=VERTEX_POINT('',#9156); +#9159=CARTESIAN_POINT('',(1.70703875E1,-2.62509E0,-1.397E1)); +#9160=CARTESIAN_POINT('',(1.74752E1,-2.62509E0,-1.5494E1)); +#9161=VERTEX_POINT('',#9159); +#9162=VERTEX_POINT('',#9160); +#9163=CARTESIAN_POINT('',(1.80848E1,-2.62509E0,-1.5494E1)); +#9164=CARTESIAN_POINT('',(1.84896125E1,-2.62509E0,-1.397E1)); +#9165=VERTEX_POINT('',#9163); +#9166=VERTEX_POINT('',#9164); +#9167=CARTESIAN_POINT('',(1.70703875E1,-2.22631E0,-1.397E1)); +#9168=CARTESIAN_POINT('',(1.74752E1,-2.22631E0,-1.5494E1)); +#9169=VERTEX_POINT('',#9167); +#9170=VERTEX_POINT('',#9168); +#9171=CARTESIAN_POINT('',(1.80848E1,-2.22631E0,-1.5494E1)); +#9172=CARTESIAN_POINT('',(1.84896125E1,-2.22631E0,-1.397E1)); +#9173=VERTEX_POINT('',#9171); +#9174=VERTEX_POINT('',#9172); +#9175=CARTESIAN_POINT('',(2.00152E1,2.62509E0,-1.5494E1)); +#9176=CARTESIAN_POINT('',(1.96103875E1,2.62509E0,-1.397E1)); +#9177=VERTEX_POINT('',#9175); +#9178=VERTEX_POINT('',#9176); +#9179=CARTESIAN_POINT('',(2.10296125E1,2.62509E0,-1.397E1)); +#9180=CARTESIAN_POINT('',(2.06248E1,2.62509E0,-1.5494E1)); +#9181=VERTEX_POINT('',#9179); +#9182=VERTEX_POINT('',#9180); +#9183=CARTESIAN_POINT('',(2.00152E1,2.22631E0,-1.5494E1)); +#9184=CARTESIAN_POINT('',(1.96103875E1,2.22631E0,-1.397E1)); +#9185=VERTEX_POINT('',#9183); +#9186=VERTEX_POINT('',#9184); +#9187=CARTESIAN_POINT('',(2.10296125E1,2.22631E0,-1.397E1)); +#9188=CARTESIAN_POINT('',(2.06248E1,2.22631E0,-1.5494E1)); +#9189=VERTEX_POINT('',#9187); +#9190=VERTEX_POINT('',#9188); +#9191=CARTESIAN_POINT('',(1.96103875E1,-2.62509E0,-1.397E1)); +#9192=CARTESIAN_POINT('',(2.00152E1,-2.62509E0,-1.5494E1)); +#9193=VERTEX_POINT('',#9191); +#9194=VERTEX_POINT('',#9192); +#9195=CARTESIAN_POINT('',(2.06248E1,-2.62509E0,-1.5494E1)); +#9196=CARTESIAN_POINT('',(2.10296125E1,-2.62509E0,-1.397E1)); +#9197=VERTEX_POINT('',#9195); +#9198=VERTEX_POINT('',#9196); +#9199=CARTESIAN_POINT('',(1.96103875E1,-2.22631E0,-1.397E1)); +#9200=CARTESIAN_POINT('',(2.00152E1,-2.22631E0,-1.5494E1)); +#9201=VERTEX_POINT('',#9199); +#9202=VERTEX_POINT('',#9200); +#9203=CARTESIAN_POINT('',(2.06248E1,-2.22631E0,-1.5494E1)); +#9204=CARTESIAN_POINT('',(2.10296125E1,-2.22631E0,-1.397E1)); +#9205=VERTEX_POINT('',#9203); +#9206=VERTEX_POINT('',#9204); +#9207=CARTESIAN_POINT('',(2.25552E1,2.62509E0,-1.5494E1)); +#9208=CARTESIAN_POINT('',(2.21503875E1,2.62509E0,-1.397E1)); +#9209=VERTEX_POINT('',#9207); +#9210=VERTEX_POINT('',#9208); +#9211=CARTESIAN_POINT('',(2.35696125E1,2.62509E0,-1.397E1)); +#9212=CARTESIAN_POINT('',(2.31648E1,2.62509E0,-1.5494E1)); +#9213=VERTEX_POINT('',#9211); +#9214=VERTEX_POINT('',#9212); +#9215=CARTESIAN_POINT('',(2.25552E1,2.22631E0,-1.5494E1)); +#9216=CARTESIAN_POINT('',(2.21503875E1,2.22631E0,-1.397E1)); +#9217=VERTEX_POINT('',#9215); +#9218=VERTEX_POINT('',#9216); +#9219=CARTESIAN_POINT('',(2.35696125E1,2.22631E0,-1.397E1)); +#9220=CARTESIAN_POINT('',(2.31648E1,2.22631E0,-1.5494E1)); +#9221=VERTEX_POINT('',#9219); +#9222=VERTEX_POINT('',#9220); +#9223=CARTESIAN_POINT('',(2.21503875E1,-2.62509E0,-1.397E1)); +#9224=CARTESIAN_POINT('',(2.25552E1,-2.62509E0,-1.5494E1)); +#9225=VERTEX_POINT('',#9223); +#9226=VERTEX_POINT('',#9224); +#9227=CARTESIAN_POINT('',(2.31648E1,-2.62509E0,-1.5494E1)); +#9228=CARTESIAN_POINT('',(2.35696125E1,-2.62509E0,-1.397E1)); +#9229=VERTEX_POINT('',#9227); +#9230=VERTEX_POINT('',#9228); +#9231=CARTESIAN_POINT('',(2.21503875E1,-2.22631E0,-1.397E1)); +#9232=CARTESIAN_POINT('',(2.25552E1,-2.22631E0,-1.5494E1)); +#9233=VERTEX_POINT('',#9231); +#9234=VERTEX_POINT('',#9232); +#9235=CARTESIAN_POINT('',(2.31648E1,-2.22631E0,-1.5494E1)); +#9236=CARTESIAN_POINT('',(2.35696125E1,-2.22631E0,-1.397E1)); +#9237=VERTEX_POINT('',#9235); +#9238=VERTEX_POINT('',#9236); +#9239=CARTESIAN_POINT('',(2.50952E1,2.62509E0,-1.5494E1)); +#9240=CARTESIAN_POINT('',(2.46903875E1,2.62509E0,-1.397E1)); +#9241=VERTEX_POINT('',#9239); +#9242=VERTEX_POINT('',#9240); +#9243=CARTESIAN_POINT('',(2.61096125E1,2.62509E0,-1.397E1)); +#9244=CARTESIAN_POINT('',(2.57048E1,2.62509E0,-1.5494E1)); +#9245=VERTEX_POINT('',#9243); +#9246=VERTEX_POINT('',#9244); +#9247=CARTESIAN_POINT('',(2.50952E1,2.22631E0,-1.5494E1)); +#9248=CARTESIAN_POINT('',(2.46903875E1,2.22631E0,-1.397E1)); +#9249=VERTEX_POINT('',#9247); +#9250=VERTEX_POINT('',#9248); +#9251=CARTESIAN_POINT('',(2.61096125E1,2.22631E0,-1.397E1)); +#9252=CARTESIAN_POINT('',(2.57048E1,2.22631E0,-1.5494E1)); +#9253=VERTEX_POINT('',#9251); +#9254=VERTEX_POINT('',#9252); +#9255=CARTESIAN_POINT('',(2.46903875E1,-2.62509E0,-1.397E1)); +#9256=CARTESIAN_POINT('',(2.50952E1,-2.62509E0,-1.5494E1)); +#9257=VERTEX_POINT('',#9255); +#9258=VERTEX_POINT('',#9256); +#9259=CARTESIAN_POINT('',(2.57048E1,-2.62509E0,-1.5494E1)); +#9260=CARTESIAN_POINT('',(2.61096125E1,-2.62509E0,-1.397E1)); +#9261=VERTEX_POINT('',#9259); +#9262=VERTEX_POINT('',#9260); +#9263=CARTESIAN_POINT('',(2.46903875E1,-2.22631E0,-1.397E1)); +#9264=CARTESIAN_POINT('',(2.50952E1,-2.22631E0,-1.5494E1)); +#9265=VERTEX_POINT('',#9263); +#9266=VERTEX_POINT('',#9264); +#9267=CARTESIAN_POINT('',(2.57048E1,-2.22631E0,-1.5494E1)); +#9268=CARTESIAN_POINT('',(2.61096125E1,-2.22631E0,-1.397E1)); +#9269=VERTEX_POINT('',#9267); +#9270=VERTEX_POINT('',#9268); +#9271=CARTESIAN_POINT('',(2.76352E1,2.62509E0,-1.5494E1)); +#9272=CARTESIAN_POINT('',(2.72303875E1,2.62509E0,-1.397E1)); +#9273=VERTEX_POINT('',#9271); +#9274=VERTEX_POINT('',#9272); +#9275=CARTESIAN_POINT('',(2.86496125E1,2.62509E0,-1.397E1)); +#9276=CARTESIAN_POINT('',(2.82448E1,2.62509E0,-1.5494E1)); +#9277=VERTEX_POINT('',#9275); +#9278=VERTEX_POINT('',#9276); +#9279=CARTESIAN_POINT('',(2.76352E1,2.22631E0,-1.5494E1)); +#9280=CARTESIAN_POINT('',(2.72303875E1,2.22631E0,-1.397E1)); +#9281=VERTEX_POINT('',#9279); +#9282=VERTEX_POINT('',#9280); +#9283=CARTESIAN_POINT('',(2.86496125E1,2.22631E0,-1.397E1)); +#9284=CARTESIAN_POINT('',(2.82448E1,2.22631E0,-1.5494E1)); +#9285=VERTEX_POINT('',#9283); +#9286=VERTEX_POINT('',#9284); +#9287=CARTESIAN_POINT('',(2.72303875E1,-2.62509E0,-1.397E1)); +#9288=CARTESIAN_POINT('',(2.76352E1,-2.62509E0,-1.5494E1)); +#9289=VERTEX_POINT('',#9287); +#9290=VERTEX_POINT('',#9288); +#9291=CARTESIAN_POINT('',(2.82448E1,-2.62509E0,-1.5494E1)); +#9292=CARTESIAN_POINT('',(2.86496125E1,-2.62509E0,-1.397E1)); +#9293=VERTEX_POINT('',#9291); +#9294=VERTEX_POINT('',#9292); +#9295=CARTESIAN_POINT('',(2.72303875E1,-2.22631E0,-1.397E1)); +#9296=CARTESIAN_POINT('',(2.76352E1,-2.22631E0,-1.5494E1)); +#9297=VERTEX_POINT('',#9295); +#9298=VERTEX_POINT('',#9296); +#9299=CARTESIAN_POINT('',(2.82448E1,-2.22631E0,-1.5494E1)); +#9300=CARTESIAN_POINT('',(2.86496125E1,-2.22631E0,-1.397E1)); +#9301=VERTEX_POINT('',#9299); +#9302=VERTEX_POINT('',#9300); +#9303=CARTESIAN_POINT('',(3.01752E1,2.62509E0,-1.5494E1)); +#9304=CARTESIAN_POINT('',(2.97703875E1,2.62509E0,-1.397E1)); +#9305=VERTEX_POINT('',#9303); +#9306=VERTEX_POINT('',#9304); +#9307=CARTESIAN_POINT('',(3.11896125E1,2.62509E0,-1.397E1)); +#9308=CARTESIAN_POINT('',(3.07848E1,2.62509E0,-1.5494E1)); +#9309=VERTEX_POINT('',#9307); +#9310=VERTEX_POINT('',#9308); +#9311=CARTESIAN_POINT('',(3.01752E1,2.22631E0,-1.5494E1)); +#9312=CARTESIAN_POINT('',(2.97703875E1,2.22631E0,-1.397E1)); +#9313=VERTEX_POINT('',#9311); +#9314=VERTEX_POINT('',#9312); +#9315=CARTESIAN_POINT('',(3.11896125E1,2.22631E0,-1.397E1)); +#9316=CARTESIAN_POINT('',(3.07848E1,2.22631E0,-1.5494E1)); +#9317=VERTEX_POINT('',#9315); +#9318=VERTEX_POINT('',#9316); +#9319=CARTESIAN_POINT('',(2.97703875E1,-2.62509E0,-1.397E1)); +#9320=CARTESIAN_POINT('',(3.01752E1,-2.62509E0,-1.5494E1)); +#9321=VERTEX_POINT('',#9319); +#9322=VERTEX_POINT('',#9320); +#9323=CARTESIAN_POINT('',(3.07848E1,-2.62509E0,-1.5494E1)); +#9324=CARTESIAN_POINT('',(3.11896125E1,-2.62509E0,-1.397E1)); +#9325=VERTEX_POINT('',#9323); +#9326=VERTEX_POINT('',#9324); +#9327=CARTESIAN_POINT('',(2.97703875E1,-2.22631E0,-1.397E1)); +#9328=CARTESIAN_POINT('',(3.01752E1,-2.22631E0,-1.5494E1)); +#9329=VERTEX_POINT('',#9327); +#9330=VERTEX_POINT('',#9328); +#9331=CARTESIAN_POINT('',(3.07848E1,-2.22631E0,-1.5494E1)); +#9332=CARTESIAN_POINT('',(3.11896125E1,-2.22631E0,-1.397E1)); +#9333=VERTEX_POINT('',#9331); +#9334=VERTEX_POINT('',#9332); +#9335=CARTESIAN_POINT('',(3.27152E1,2.62509E0,-1.5494E1)); +#9336=CARTESIAN_POINT('',(3.23103875E1,2.62509E0,-1.397E1)); +#9337=VERTEX_POINT('',#9335); +#9338=VERTEX_POINT('',#9336); +#9339=CARTESIAN_POINT('',(3.37296125E1,2.62509E0,-1.397E1)); +#9340=CARTESIAN_POINT('',(3.33248E1,2.62509E0,-1.5494E1)); +#9341=VERTEX_POINT('',#9339); +#9342=VERTEX_POINT('',#9340); +#9343=CARTESIAN_POINT('',(3.27152E1,2.22631E0,-1.5494E1)); +#9344=CARTESIAN_POINT('',(3.23103875E1,2.22631E0,-1.397E1)); +#9345=VERTEX_POINT('',#9343); +#9346=VERTEX_POINT('',#9344); +#9347=CARTESIAN_POINT('',(3.37296125E1,2.22631E0,-1.397E1)); +#9348=CARTESIAN_POINT('',(3.33248E1,2.22631E0,-1.5494E1)); +#9349=VERTEX_POINT('',#9347); +#9350=VERTEX_POINT('',#9348); +#9351=CARTESIAN_POINT('',(3.23103875E1,-2.62509E0,-1.397E1)); +#9352=CARTESIAN_POINT('',(3.27152E1,-2.62509E0,-1.5494E1)); +#9353=VERTEX_POINT('',#9351); +#9354=VERTEX_POINT('',#9352); +#9355=CARTESIAN_POINT('',(3.33248E1,-2.62509E0,-1.5494E1)); +#9356=CARTESIAN_POINT('',(3.37296125E1,-2.62509E0,-1.397E1)); +#9357=VERTEX_POINT('',#9355); +#9358=VERTEX_POINT('',#9356); +#9359=CARTESIAN_POINT('',(3.23103875E1,-2.22631E0,-1.397E1)); +#9360=CARTESIAN_POINT('',(3.27152E1,-2.22631E0,-1.5494E1)); +#9361=VERTEX_POINT('',#9359); +#9362=VERTEX_POINT('',#9360); +#9363=CARTESIAN_POINT('',(3.33248E1,-2.22631E0,-1.5494E1)); +#9364=CARTESIAN_POINT('',(3.37296125E1,-2.22631E0,-1.397E1)); +#9365=VERTEX_POINT('',#9363); +#9366=VERTEX_POINT('',#9364); +#9367=CARTESIAN_POINT('',(3.52552E1,2.62509E0,-1.5494E1)); +#9368=CARTESIAN_POINT('',(3.48503875E1,2.62509E0,-1.397E1)); +#9369=VERTEX_POINT('',#9367); +#9370=VERTEX_POINT('',#9368); +#9371=CARTESIAN_POINT('',(3.62696125E1,2.62509E0,-1.397E1)); +#9372=CARTESIAN_POINT('',(3.58648E1,2.62509E0,-1.5494E1)); +#9373=VERTEX_POINT('',#9371); +#9374=VERTEX_POINT('',#9372); +#9375=CARTESIAN_POINT('',(3.52552E1,2.22631E0,-1.5494E1)); +#9376=CARTESIAN_POINT('',(3.48503875E1,2.22631E0,-1.397E1)); +#9377=VERTEX_POINT('',#9375); +#9378=VERTEX_POINT('',#9376); +#9379=CARTESIAN_POINT('',(3.62696125E1,2.22631E0,-1.397E1)); +#9380=CARTESIAN_POINT('',(3.58648E1,2.22631E0,-1.5494E1)); +#9381=VERTEX_POINT('',#9379); +#9382=VERTEX_POINT('',#9380); +#9383=CARTESIAN_POINT('',(3.48503875E1,-2.62509E0,-1.397E1)); +#9384=CARTESIAN_POINT('',(3.52552E1,-2.62509E0,-1.5494E1)); +#9385=VERTEX_POINT('',#9383); +#9386=VERTEX_POINT('',#9384); +#9387=CARTESIAN_POINT('',(3.58648E1,-2.62509E0,-1.5494E1)); +#9388=CARTESIAN_POINT('',(3.62696125E1,-2.62509E0,-1.397E1)); +#9389=VERTEX_POINT('',#9387); +#9390=VERTEX_POINT('',#9388); +#9391=CARTESIAN_POINT('',(3.48503875E1,-2.22631E0,-1.397E1)); +#9392=CARTESIAN_POINT('',(3.52552E1,-2.22631E0,-1.5494E1)); +#9393=VERTEX_POINT('',#9391); +#9394=VERTEX_POINT('',#9392); +#9395=CARTESIAN_POINT('',(3.58648E1,-2.22631E0,-1.5494E1)); +#9396=CARTESIAN_POINT('',(3.62696125E1,-2.22631E0,-1.397E1)); +#9397=VERTEX_POINT('',#9395); +#9398=VERTEX_POINT('',#9396); +#9399=CARTESIAN_POINT('',(3.77952E1,2.62509E0,-1.5494E1)); +#9400=CARTESIAN_POINT('',(3.73903875E1,2.62509E0,-1.397E1)); +#9401=VERTEX_POINT('',#9399); +#9402=VERTEX_POINT('',#9400); +#9403=CARTESIAN_POINT('',(3.88096125E1,2.62509E0,-1.397E1)); +#9404=CARTESIAN_POINT('',(3.84048E1,2.62509E0,-1.5494E1)); +#9405=VERTEX_POINT('',#9403); +#9406=VERTEX_POINT('',#9404); +#9407=CARTESIAN_POINT('',(3.77952E1,2.22631E0,-1.5494E1)); +#9408=CARTESIAN_POINT('',(3.73903875E1,2.22631E0,-1.397E1)); +#9409=VERTEX_POINT('',#9407); +#9410=VERTEX_POINT('',#9408); +#9411=CARTESIAN_POINT('',(3.88096125E1,2.22631E0,-1.397E1)); +#9412=CARTESIAN_POINT('',(3.84048E1,2.22631E0,-1.5494E1)); +#9413=VERTEX_POINT('',#9411); +#9414=VERTEX_POINT('',#9412); +#9415=CARTESIAN_POINT('',(3.73903875E1,-2.62509E0,-1.397E1)); +#9416=CARTESIAN_POINT('',(3.77952E1,-2.62509E0,-1.5494E1)); +#9417=VERTEX_POINT('',#9415); +#9418=VERTEX_POINT('',#9416); +#9419=CARTESIAN_POINT('',(3.84048E1,-2.62509E0,-1.5494E1)); +#9420=CARTESIAN_POINT('',(3.88096125E1,-2.62509E0,-1.397E1)); +#9421=VERTEX_POINT('',#9419); +#9422=VERTEX_POINT('',#9420); +#9423=CARTESIAN_POINT('',(3.73903875E1,-2.22631E0,-1.397E1)); +#9424=CARTESIAN_POINT('',(3.77952E1,-2.22631E0,-1.5494E1)); +#9425=VERTEX_POINT('',#9423); +#9426=VERTEX_POINT('',#9424); +#9427=CARTESIAN_POINT('',(3.84048E1,-2.22631E0,-1.5494E1)); +#9428=CARTESIAN_POINT('',(3.88096125E1,-2.22631E0,-1.397E1)); +#9429=VERTEX_POINT('',#9427); +#9430=VERTEX_POINT('',#9428); +#9431=CARTESIAN_POINT('',(-4.2672E1,0.E0,0.E0)); +#9432=DIRECTION('',(1.E0,0.E0,0.E0)); +#9433=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9434=AXIS2_PLACEMENT_3D('',#9431,#9432,#9433); +#9435=PLANE('',#9434); +#9437=ORIENTED_EDGE('',*,*,#9436,.F.); +#9439=ORIENTED_EDGE('',*,*,#9438,.T.); +#9441=ORIENTED_EDGE('',*,*,#9440,.T.); +#9443=ORIENTED_EDGE('',*,*,#9442,.F.); +#9445=ORIENTED_EDGE('',*,*,#9444,.F.); +#9447=ORIENTED_EDGE('',*,*,#9446,.F.); +#9449=ORIENTED_EDGE('',*,*,#9448,.T.); +#9451=ORIENTED_EDGE('',*,*,#9450,.F.); +#9452=EDGE_LOOP('',(#9437,#9439,#9441,#9443,#9445,#9447,#9449,#9451)); +#9453=FACE_OUTER_BOUND('',#9452,.F.); +#9455=CARTESIAN_POINT('',(-4.2672E1,-4.6736E0,-8.89E0)); +#9456=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9457=DIRECTION('',(0.E0,1.E0,0.E0)); +#9458=AXIS2_PLACEMENT_3D('',#9455,#9456,#9457); +#9459=PLANE('',#9458); +#9461=ORIENTED_EDGE('',*,*,#9460,.F.); +#9463=ORIENTED_EDGE('',*,*,#9462,.F.); +#9465=ORIENTED_EDGE('',*,*,#9464,.T.); +#9467=ORIENTED_EDGE('',*,*,#9466,.F.); +#9469=ORIENTED_EDGE('',*,*,#9468,.F.); +#9471=ORIENTED_EDGE('',*,*,#9470,.F.); +#9472=ORIENTED_EDGE('',*,*,#9444,.T.); +#9474=ORIENTED_EDGE('',*,*,#9473,.F.); +#9475=EDGE_LOOP('',(#9461,#9463,#9465,#9467,#9469,#9471,#9472,#9474)); +#9476=FACE_OUTER_BOUND('',#9475,.F.); +#9478=CARTESIAN_POINT('',(-4.2672E1,-4.6736E0,-8.89E0)); +#9479=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9480=DIRECTION('',(0.E0,1.E0,0.E0)); +#9481=AXIS2_PLACEMENT_3D('',#9478,#9479,#9480); +#9482=PLANE('',#9481); +#9484=ORIENTED_EDGE('',*,*,#9483,.F.); +#9486=ORIENTED_EDGE('',*,*,#9485,.F.); +#9487=ORIENTED_EDGE('',*,*,#9436,.T.); +#9489=ORIENTED_EDGE('',*,*,#9488,.F.); +#9491=ORIENTED_EDGE('',*,*,#9490,.T.); +#9493=ORIENTED_EDGE('',*,*,#9492,.F.); +#9495=ORIENTED_EDGE('',*,*,#9494,.T.); +#9497=ORIENTED_EDGE('',*,*,#9496,.F.); +#9498=EDGE_LOOP('',(#9484,#9486,#9487,#9489,#9491,#9493,#9495,#9497)); +#9499=FACE_OUTER_BOUND('',#9498,.F.); +#9501=CARTESIAN_POINT('',(-4.0513E1,3.81E0,-8.89E0)); +#9502=DIRECTION('',(0.E0,1.E0,0.E0)); +#9503=DIRECTION('',(1.E0,0.E0,0.E0)); +#9504=AXIS2_PLACEMENT_3D('',#9501,#9502,#9503); +#9505=PLANE('',#9504); +#9507=ORIENTED_EDGE('',*,*,#9506,.F.); +#9509=ORIENTED_EDGE('',*,*,#9508,.F.); +#9510=ORIENTED_EDGE('',*,*,#9460,.T.); +#9512=ORIENTED_EDGE('',*,*,#9511,.T.); +#9514=ORIENTED_EDGE('',*,*,#9513,.F.); +#9516=ORIENTED_EDGE('',*,*,#9515,.F.); +#9518=ORIENTED_EDGE('',*,*,#9517,.T.); +#9520=ORIENTED_EDGE('',*,*,#9519,.F.); +#9522=ORIENTED_EDGE('',*,*,#9521,.T.); +#9524=ORIENTED_EDGE('',*,*,#9523,.F.); +#9526=ORIENTED_EDGE('',*,*,#9525,.F.); +#9528=ORIENTED_EDGE('',*,*,#9527,.F.); +#9529=EDGE_LOOP('',(#9507,#9509,#9510,#9512,#9514,#9516,#9518,#9520,#9522,#9524, +#9526,#9528)); +#9530=FACE_OUTER_BOUND('',#9529,.F.); +#9532=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.0922E1)); +#9533=DIRECTION('',(0.E0,0.E0,1.E0)); +#9534=DIRECTION('',(1.E0,0.E0,0.E0)); +#9535=AXIS2_PLACEMENT_3D('',#9532,#9533,#9534); +#9536=PLANE('',#9535); +#9538=ORIENTED_EDGE('',*,*,#9537,.F.); +#9540=ORIENTED_EDGE('',*,*,#9539,.F.); +#9541=ORIENTED_EDGE('',*,*,#9506,.T.); +#9543=ORIENTED_EDGE('',*,*,#9542,.T.); +#9545=ORIENTED_EDGE('',*,*,#9544,.T.); +#9547=ORIENTED_EDGE('',*,*,#9546,.T.); +#9549=ORIENTED_EDGE('',*,*,#9548,.T.); +#9551=ORIENTED_EDGE('',*,*,#9550,.F.); +#9552=EDGE_LOOP('',(#9538,#9540,#9541,#9543,#9545,#9547,#9549,#9551)); +#9553=FACE_OUTER_BOUND('',#9552,.F.); +#9555=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.0922E1)); +#9556=DIRECTION('',(0.E0,0.E0,1.E0)); +#9557=DIRECTION('',(1.E0,0.E0,0.E0)); +#9558=AXIS2_PLACEMENT_3D('',#9555,#9556,#9557); +#9559=PLANE('',#9558); +#9560=ORIENTED_EDGE('',*,*,#9440,.F.); +#9562=ORIENTED_EDGE('',*,*,#9561,.F.); +#9564=ORIENTED_EDGE('',*,*,#9563,.T.); +#9566=ORIENTED_EDGE('',*,*,#9565,.T.); +#9568=ORIENTED_EDGE('',*,*,#9567,.F.); +#9570=ORIENTED_EDGE('',*,*,#9569,.T.); +#9571=ORIENTED_EDGE('',*,*,#9513,.T.); +#9573=ORIENTED_EDGE('',*,*,#9572,.F.); +#9574=EDGE_LOOP('',(#9560,#9562,#9564,#9566,#9568,#9570,#9571,#9573)); +#9575=FACE_OUTER_BOUND('',#9574,.F.); +#9577=CARTESIAN_POINT('',(4.2672E1,0.E0,0.E0)); +#9578=DIRECTION('',(1.E0,0.E0,0.E0)); +#9579=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9580=AXIS2_PLACEMENT_3D('',#9577,#9578,#9579); +#9581=PLANE('',#9580); +#9582=ORIENTED_EDGE('',*,*,#9464,.F.); +#9584=ORIENTED_EDGE('',*,*,#9583,.T.); +#9585=ORIENTED_EDGE('',*,*,#9537,.T.); +#9587=ORIENTED_EDGE('',*,*,#9586,.F.); +#9588=ORIENTED_EDGE('',*,*,#9494,.F.); +#9590=ORIENTED_EDGE('',*,*,#9589,.F.); +#9592=ORIENTED_EDGE('',*,*,#9591,.F.); +#9594=ORIENTED_EDGE('',*,*,#9593,.F.); +#9595=EDGE_LOOP('',(#9582,#9584,#9585,#9587,#9588,#9590,#9592,#9594)); +#9596=FACE_OUTER_BOUND('',#9595,.F.); +#9598=CARTESIAN_POINT('',(4.191E1,3.048E0,2.664883238269E-2)); +#9599=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9600=DIRECTION('',(0.E0,1.E0,0.E0)); +#9601=AXIS2_PLACEMENT_3D('',#9598,#9599,#9600); +#9602=CYLINDRICAL_SURFACE('',#9601,7.62E-1); +#9603=ORIENTED_EDGE('',*,*,#9462,.T.); +#9604=ORIENTED_EDGE('',*,*,#9508,.T.); +#9605=ORIENTED_EDGE('',*,*,#9539,.T.); +#9606=ORIENTED_EDGE('',*,*,#9583,.F.); +#9607=EDGE_LOOP('',(#9603,#9604,#9605,#9606)); +#9608=FACE_OUTER_BOUND('',#9607,.F.); +#9610=CARTESIAN_POINT('',(4.191E1,-3.048E0,2.523233432086E-2)); +#9611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9612=DIRECTION('',(1.E0,0.E0,0.E0)); +#9613=AXIS2_PLACEMENT_3D('',#9610,#9611,#9612); +#9614=CYLINDRICAL_SURFACE('',#9613,7.62E-1); +#9615=ORIENTED_EDGE('',*,*,#9496,.T.); +#9616=ORIENTED_EDGE('',*,*,#9586,.T.); +#9617=ORIENTED_EDGE('',*,*,#9550,.T.); +#9619=ORIENTED_EDGE('',*,*,#9618,.F.); +#9620=EDGE_LOOP('',(#9615,#9616,#9617,#9619)); +#9621=FACE_OUTER_BOUND('',#9620,.F.); +#9623=CARTESIAN_POINT('',(4.0513E1,-3.81E0,-8.89E0)); +#9624=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9625=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9626=AXIS2_PLACEMENT_3D('',#9623,#9624,#9625); +#9627=PLANE('',#9626); +#9628=ORIENTED_EDGE('',*,*,#9548,.F.); +#9630=ORIENTED_EDGE('',*,*,#9629,.F.); +#9632=ORIENTED_EDGE('',*,*,#9631,.T.); +#9634=ORIENTED_EDGE('',*,*,#9633,.F.); +#9636=ORIENTED_EDGE('',*,*,#9635,.T.); +#9638=ORIENTED_EDGE('',*,*,#9637,.F.); +#9640=ORIENTED_EDGE('',*,*,#9639,.F.); +#9642=ORIENTED_EDGE('',*,*,#9641,.F.); +#9643=ORIENTED_EDGE('',*,*,#9563,.F.); +#9645=ORIENTED_EDGE('',*,*,#9644,.F.); +#9646=ORIENTED_EDGE('',*,*,#9483,.T.); +#9647=ORIENTED_EDGE('',*,*,#9618,.T.); +#9648=EDGE_LOOP('',(#9628,#9630,#9632,#9634,#9636,#9638,#9640,#9642,#9643,#9645, +#9646,#9647)); +#9649=FACE_OUTER_BOUND('',#9648,.F.); +#9651=CARTESIAN_POINT('',(4.0513E1,-3.048E0,-8.89E0)); +#9652=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9653=DIRECTION('',(1.E0,0.E0,0.E0)); +#9654=AXIS2_PLACEMENT_3D('',#9651,#9652,#9653); +#9655=CYLINDRICAL_SURFACE('',#9654,7.62E-1); +#9657=ORIENTED_EDGE('',*,*,#9656,.T.); +#9659=ORIENTED_EDGE('',*,*,#9658,.T.); +#9660=ORIENTED_EDGE('',*,*,#9629,.T.); +#9661=ORIENTED_EDGE('',*,*,#9546,.F.); +#9662=EDGE_LOOP('',(#9657,#9659,#9660,#9661)); +#9663=FACE_OUTER_BOUND('',#9662,.F.); +#9665=CARTESIAN_POINT('',(4.1275E1,3.048E0,-8.89E0)); +#9666=DIRECTION('',(1.E0,0.E0,0.E0)); +#9667=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9668=AXIS2_PLACEMENT_3D('',#9665,#9666,#9667); +#9669=PLANE('',#9668); +#9671=ORIENTED_EDGE('',*,*,#9670,.F.); +#9673=ORIENTED_EDGE('',*,*,#9672,.F.); +#9675=ORIENTED_EDGE('',*,*,#9674,.F.); +#9677=ORIENTED_EDGE('',*,*,#9676,.F.); +#9679=ORIENTED_EDGE('',*,*,#9678,.T.); +#9681=ORIENTED_EDGE('',*,*,#9680,.F.); +#9683=ORIENTED_EDGE('',*,*,#9682,.F.); +#9685=ORIENTED_EDGE('',*,*,#9684,.F.); +#9686=ORIENTED_EDGE('',*,*,#9656,.F.); +#9687=ORIENTED_EDGE('',*,*,#9544,.F.); +#9688=EDGE_LOOP('',(#9671,#9673,#9675,#9677,#9679,#9681,#9683,#9685,#9686, +#9687)); +#9689=FACE_OUTER_BOUND('',#9688,.F.); +#9691=CARTESIAN_POINT('',(4.0513E1,3.048E0,-8.89E0)); +#9692=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9693=DIRECTION('',(1.E0,0.E0,0.E0)); +#9694=AXIS2_PLACEMENT_3D('',#9691,#9692,#9693); +#9695=CYLINDRICAL_SURFACE('',#9694,7.62E-1); +#9696=ORIENTED_EDGE('',*,*,#9527,.T.); +#9698=ORIENTED_EDGE('',*,*,#9697,.T.); +#9699=ORIENTED_EDGE('',*,*,#9670,.T.); +#9700=ORIENTED_EDGE('',*,*,#9542,.F.); +#9701=EDGE_LOOP('',(#9696,#9698,#9699,#9700)); +#9702=FACE_OUTER_BOUND('',#9701,.F.); +#9704=CARTESIAN_POINT('',(4.1275E1,2.54E0,-1.5494E1)); +#9705=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9706=DIRECTION('',(0.E0,1.E0,0.E0)); +#9707=AXIS2_PLACEMENT_3D('',#9704,#9705,#9706); +#9708=PLANE('',#9707); +#9709=ORIENTED_EDGE('',*,*,#9697,.F.); +#9710=ORIENTED_EDGE('',*,*,#9525,.T.); +#9712=ORIENTED_EDGE('',*,*,#9711,.T.); +#9714=ORIENTED_EDGE('',*,*,#9713,.T.); +#9715=ORIENTED_EDGE('',*,*,#9672,.T.); +#9716=EDGE_LOOP('',(#9709,#9710,#9712,#9714,#9715)); +#9717=FACE_OUTER_BOUND('',#9716,.F.); +#9719=CARTESIAN_POINT('',(3.937E1,0.E0,-1.397E1)); +#9720=DIRECTION('',(1.E0,0.E0,0.E0)); +#9721=DIRECTION('',(0.E0,1.E0,0.E0)); +#9722=AXIS2_PLACEMENT_3D('',#9719,#9720,#9721); +#9723=PLANE('',#9722); +#9725=ORIENTED_EDGE('',*,*,#9724,.T.); +#9727=ORIENTED_EDGE('',*,*,#9726,.T.); +#9729=ORIENTED_EDGE('',*,*,#9728,.F.); +#9730=ORIENTED_EDGE('',*,*,#9711,.F.); +#9731=ORIENTED_EDGE('',*,*,#9523,.T.); +#9732=EDGE_LOOP('',(#9725,#9727,#9729,#9730,#9731)); +#9733=FACE_OUTER_BOUND('',#9732,.F.); +#9735=CARTESIAN_POINT('',(3.937E1,0.E0,-1.397E1)); +#9736=DIRECTION('',(1.E0,0.E0,0.E0)); +#9737=DIRECTION('',(0.E0,1.E0,0.E0)); +#9738=AXIS2_PLACEMENT_3D('',#9735,#9736,#9737); +#9739=PLANE('',#9738); +#9741=ORIENTED_EDGE('',*,*,#9740,.T.); +#9742=ORIENTED_EDGE('',*,*,#9633,.T.); +#9744=ORIENTED_EDGE('',*,*,#9743,.T.); +#9746=ORIENTED_EDGE('',*,*,#9745,.T.); +#9748=ORIENTED_EDGE('',*,*,#9747,.T.); +#9749=EDGE_LOOP('',(#9741,#9742,#9744,#9746,#9748)); +#9750=FACE_OUTER_BOUND('',#9749,.F.); +#9752=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.397E1)); +#9753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9754=DIRECTION('',(1.E0,0.E0,0.E0)); +#9755=AXIS2_PLACEMENT_3D('',#9752,#9753,#9754); +#9756=PLANE('',#9755); +#9758=ORIENTED_EDGE('',*,*,#9757,.T.); +#9760=ORIENTED_EDGE('',*,*,#9759,.T.); +#9762=ORIENTED_EDGE('',*,*,#9761,.F.); +#9764=ORIENTED_EDGE('',*,*,#9763,.F.); +#9766=ORIENTED_EDGE('',*,*,#9765,.T.); +#9767=ORIENTED_EDGE('',*,*,#9635,.F.); +#9768=ORIENTED_EDGE('',*,*,#9740,.F.); +#9770=ORIENTED_EDGE('',*,*,#9769,.T.); +#9771=ORIENTED_EDGE('',*,*,#9678,.F.); +#9773=ORIENTED_EDGE('',*,*,#9772,.F.); +#9774=ORIENTED_EDGE('',*,*,#9724,.F.); +#9775=ORIENTED_EDGE('',*,*,#9521,.F.); +#9776=EDGE_LOOP('',(#9758,#9760,#9762,#9764,#9766,#9767,#9768,#9770,#9771,#9773, +#9774,#9775)); +#9777=FACE_OUTER_BOUND('',#9776,.F.); +#9779=ORIENTED_EDGE('',*,*,#9778,.T.); +#9781=ORIENTED_EDGE('',*,*,#9780,.T.); +#9783=ORIENTED_EDGE('',*,*,#9782,.T.); +#9785=ORIENTED_EDGE('',*,*,#9784,.T.); +#9787=ORIENTED_EDGE('',*,*,#9786,.T.); +#9789=ORIENTED_EDGE('',*,*,#9788,.T.); +#9791=ORIENTED_EDGE('',*,*,#9790,.T.); +#9792=EDGE_LOOP('',(#9779,#9781,#9783,#9785,#9787,#9789,#9791)); +#9793=FACE_BOUND('',#9792,.F.); +#9795=ORIENTED_EDGE('',*,*,#9794,.T.); +#9797=ORIENTED_EDGE('',*,*,#9796,.T.); +#9799=ORIENTED_EDGE('',*,*,#9798,.T.); +#9801=ORIENTED_EDGE('',*,*,#9800,.T.); +#9803=ORIENTED_EDGE('',*,*,#9802,.T.); +#9805=ORIENTED_EDGE('',*,*,#9804,.T.); +#9807=ORIENTED_EDGE('',*,*,#9806,.T.); +#9809=ORIENTED_EDGE('',*,*,#9808,.T.); +#9811=ORIENTED_EDGE('',*,*,#9810,.T.); +#9813=ORIENTED_EDGE('',*,*,#9812,.T.); +#9815=ORIENTED_EDGE('',*,*,#9814,.T.); +#9817=ORIENTED_EDGE('',*,*,#9816,.T.); +#9819=ORIENTED_EDGE('',*,*,#9818,.T.); +#9821=ORIENTED_EDGE('',*,*,#9820,.T.); +#9823=ORIENTED_EDGE('',*,*,#9822,.T.); +#9825=ORIENTED_EDGE('',*,*,#9824,.T.); +#9827=ORIENTED_EDGE('',*,*,#9826,.T.); +#9829=ORIENTED_EDGE('',*,*,#9828,.T.); +#9831=ORIENTED_EDGE('',*,*,#9830,.T.); +#9833=ORIENTED_EDGE('',*,*,#9832,.T.); +#9835=ORIENTED_EDGE('',*,*,#9834,.T.); +#9837=ORIENTED_EDGE('',*,*,#9836,.T.); +#9839=ORIENTED_EDGE('',*,*,#9838,.T.); +#9841=ORIENTED_EDGE('',*,*,#9840,.T.); +#9843=ORIENTED_EDGE('',*,*,#9842,.T.); +#9845=ORIENTED_EDGE('',*,*,#9844,.T.); +#9847=ORIENTED_EDGE('',*,*,#9846,.T.); +#9849=ORIENTED_EDGE('',*,*,#9848,.T.); +#9850=EDGE_LOOP('',(#9795,#9797,#9799,#9801,#9803,#9805,#9807,#9809,#9811,#9813, +#9815,#9817,#9819,#9821,#9823,#9825,#9827,#9829,#9831,#9833,#9835,#9837,#9839, +#9841,#9843,#9845,#9847,#9849)); +#9851=FACE_BOUND('',#9850,.F.); +#9853=ORIENTED_EDGE('',*,*,#9852,.F.); +#9855=ORIENTED_EDGE('',*,*,#9854,.F.); +#9857=ORIENTED_EDGE('',*,*,#9856,.F.); +#9859=ORIENTED_EDGE('',*,*,#9858,.T.); +#9860=EDGE_LOOP('',(#9853,#9855,#9857,#9859)); +#9861=FACE_BOUND('',#9860,.F.); +#9863=ORIENTED_EDGE('',*,*,#9862,.F.); +#9865=ORIENTED_EDGE('',*,*,#9864,.F.); +#9867=ORIENTED_EDGE('',*,*,#9866,.F.); +#9869=ORIENTED_EDGE('',*,*,#9868,.T.); +#9870=EDGE_LOOP('',(#9863,#9865,#9867,#9869)); +#9871=FACE_BOUND('',#9870,.F.); +#9873=ORIENTED_EDGE('',*,*,#9872,.F.); +#9875=ORIENTED_EDGE('',*,*,#9874,.F.); +#9877=ORIENTED_EDGE('',*,*,#9876,.F.); +#9879=ORIENTED_EDGE('',*,*,#9878,.T.); +#9880=EDGE_LOOP('',(#9873,#9875,#9877,#9879)); +#9881=FACE_BOUND('',#9880,.F.); +#9883=ORIENTED_EDGE('',*,*,#9882,.F.); +#9885=ORIENTED_EDGE('',*,*,#9884,.F.); +#9887=ORIENTED_EDGE('',*,*,#9886,.F.); +#9889=ORIENTED_EDGE('',*,*,#9888,.T.); +#9890=EDGE_LOOP('',(#9883,#9885,#9887,#9889)); +#9891=FACE_BOUND('',#9890,.F.); +#9893=ORIENTED_EDGE('',*,*,#9892,.F.); +#9895=ORIENTED_EDGE('',*,*,#9894,.F.); +#9897=ORIENTED_EDGE('',*,*,#9896,.F.); +#9899=ORIENTED_EDGE('',*,*,#9898,.T.); +#9900=EDGE_LOOP('',(#9893,#9895,#9897,#9899)); +#9901=FACE_BOUND('',#9900,.F.); +#9903=ORIENTED_EDGE('',*,*,#9902,.F.); +#9905=ORIENTED_EDGE('',*,*,#9904,.F.); +#9907=ORIENTED_EDGE('',*,*,#9906,.F.); +#9909=ORIENTED_EDGE('',*,*,#9908,.T.); +#9910=EDGE_LOOP('',(#9903,#9905,#9907,#9909)); +#9911=FACE_BOUND('',#9910,.F.); +#9913=ORIENTED_EDGE('',*,*,#9912,.F.); +#9915=ORIENTED_EDGE('',*,*,#9914,.F.); +#9917=ORIENTED_EDGE('',*,*,#9916,.F.); +#9919=ORIENTED_EDGE('',*,*,#9918,.T.); +#9920=EDGE_LOOP('',(#9913,#9915,#9917,#9919)); +#9921=FACE_BOUND('',#9920,.F.); +#9923=ORIENTED_EDGE('',*,*,#9922,.F.); +#9925=ORIENTED_EDGE('',*,*,#9924,.F.); +#9927=ORIENTED_EDGE('',*,*,#9926,.F.); +#9929=ORIENTED_EDGE('',*,*,#9928,.T.); +#9930=EDGE_LOOP('',(#9923,#9925,#9927,#9929)); +#9931=FACE_BOUND('',#9930,.F.); +#9933=ORIENTED_EDGE('',*,*,#9932,.F.); +#9935=ORIENTED_EDGE('',*,*,#9934,.F.); +#9937=ORIENTED_EDGE('',*,*,#9936,.F.); +#9939=ORIENTED_EDGE('',*,*,#9938,.T.); +#9940=EDGE_LOOP('',(#9933,#9935,#9937,#9939)); +#9941=FACE_BOUND('',#9940,.F.); +#9943=ORIENTED_EDGE('',*,*,#9942,.F.); +#9945=ORIENTED_EDGE('',*,*,#9944,.F.); +#9947=ORIENTED_EDGE('',*,*,#9946,.F.); +#9949=ORIENTED_EDGE('',*,*,#9948,.T.); +#9950=EDGE_LOOP('',(#9943,#9945,#9947,#9949)); +#9951=FACE_BOUND('',#9950,.F.); +#9953=ORIENTED_EDGE('',*,*,#9952,.F.); +#9955=ORIENTED_EDGE('',*,*,#9954,.F.); +#9957=ORIENTED_EDGE('',*,*,#9956,.F.); +#9959=ORIENTED_EDGE('',*,*,#9958,.T.); +#9960=EDGE_LOOP('',(#9953,#9955,#9957,#9959)); +#9961=FACE_BOUND('',#9960,.F.); +#9963=ORIENTED_EDGE('',*,*,#9962,.F.); +#9965=ORIENTED_EDGE('',*,*,#9964,.F.); +#9967=ORIENTED_EDGE('',*,*,#9966,.F.); +#9969=ORIENTED_EDGE('',*,*,#9968,.T.); +#9970=EDGE_LOOP('',(#9963,#9965,#9967,#9969)); +#9971=FACE_BOUND('',#9970,.F.); +#9973=ORIENTED_EDGE('',*,*,#9972,.F.); +#9975=ORIENTED_EDGE('',*,*,#9974,.F.); +#9977=ORIENTED_EDGE('',*,*,#9976,.F.); +#9979=ORIENTED_EDGE('',*,*,#9978,.T.); +#9980=EDGE_LOOP('',(#9973,#9975,#9977,#9979)); +#9981=FACE_BOUND('',#9980,.F.); +#9983=ORIENTED_EDGE('',*,*,#9982,.F.); +#9985=ORIENTED_EDGE('',*,*,#9984,.F.); +#9987=ORIENTED_EDGE('',*,*,#9986,.F.); +#9989=ORIENTED_EDGE('',*,*,#9988,.T.); +#9990=EDGE_LOOP('',(#9983,#9985,#9987,#9989)); +#9991=FACE_BOUND('',#9990,.F.); +#9993=ORIENTED_EDGE('',*,*,#9992,.F.); +#9995=ORIENTED_EDGE('',*,*,#9994,.F.); +#9997=ORIENTED_EDGE('',*,*,#9996,.F.); +#9999=ORIENTED_EDGE('',*,*,#9998,.T.); +#10000=EDGE_LOOP('',(#9993,#9995,#9997,#9999)); +#10001=FACE_BOUND('',#10000,.F.); +#10003=ORIENTED_EDGE('',*,*,#10002,.F.); +#10005=ORIENTED_EDGE('',*,*,#10004,.F.); +#10007=ORIENTED_EDGE('',*,*,#10006,.F.); +#10009=ORIENTED_EDGE('',*,*,#10008,.T.); +#10010=EDGE_LOOP('',(#10003,#10005,#10007,#10009)); +#10011=FACE_BOUND('',#10010,.F.); +#10013=ORIENTED_EDGE('',*,*,#10012,.F.); +#10015=ORIENTED_EDGE('',*,*,#10014,.F.); +#10017=ORIENTED_EDGE('',*,*,#10016,.F.); +#10019=ORIENTED_EDGE('',*,*,#10018,.T.); +#10020=EDGE_LOOP('',(#10013,#10015,#10017,#10019)); +#10021=FACE_BOUND('',#10020,.F.); +#10023=ORIENTED_EDGE('',*,*,#10022,.F.); +#10025=ORIENTED_EDGE('',*,*,#10024,.F.); +#10027=ORIENTED_EDGE('',*,*,#10026,.F.); +#10029=ORIENTED_EDGE('',*,*,#10028,.T.); +#10030=EDGE_LOOP('',(#10023,#10025,#10027,#10029)); +#10031=FACE_BOUND('',#10030,.F.); +#10033=ORIENTED_EDGE('',*,*,#10032,.F.); +#10035=ORIENTED_EDGE('',*,*,#10034,.F.); +#10037=ORIENTED_EDGE('',*,*,#10036,.F.); +#10039=ORIENTED_EDGE('',*,*,#10038,.T.); +#10040=EDGE_LOOP('',(#10033,#10035,#10037,#10039)); +#10041=FACE_BOUND('',#10040,.F.); +#10043=ORIENTED_EDGE('',*,*,#10042,.F.); +#10045=ORIENTED_EDGE('',*,*,#10044,.F.); +#10047=ORIENTED_EDGE('',*,*,#10046,.F.); +#10049=ORIENTED_EDGE('',*,*,#10048,.T.); +#10050=EDGE_LOOP('',(#10043,#10045,#10047,#10049)); +#10051=FACE_BOUND('',#10050,.F.); +#10053=ORIENTED_EDGE('',*,*,#10052,.F.); +#10055=ORIENTED_EDGE('',*,*,#10054,.F.); +#10057=ORIENTED_EDGE('',*,*,#10056,.F.); +#10059=ORIENTED_EDGE('',*,*,#10058,.T.); +#10060=EDGE_LOOP('',(#10053,#10055,#10057,#10059)); +#10061=FACE_BOUND('',#10060,.F.); +#10063=ORIENTED_EDGE('',*,*,#10062,.F.); +#10065=ORIENTED_EDGE('',*,*,#10064,.F.); +#10067=ORIENTED_EDGE('',*,*,#10066,.F.); +#10069=ORIENTED_EDGE('',*,*,#10068,.T.); +#10070=EDGE_LOOP('',(#10063,#10065,#10067,#10069)); +#10071=FACE_BOUND('',#10070,.F.); +#10073=ORIENTED_EDGE('',*,*,#10072,.F.); +#10075=ORIENTED_EDGE('',*,*,#10074,.F.); +#10077=ORIENTED_EDGE('',*,*,#10076,.F.); +#10079=ORIENTED_EDGE('',*,*,#10078,.T.); +#10080=EDGE_LOOP('',(#10073,#10075,#10077,#10079)); +#10081=FACE_BOUND('',#10080,.F.); +#10083=ORIENTED_EDGE('',*,*,#10082,.F.); +#10085=ORIENTED_EDGE('',*,*,#10084,.F.); +#10087=ORIENTED_EDGE('',*,*,#10086,.F.); +#10089=ORIENTED_EDGE('',*,*,#10088,.T.); +#10090=EDGE_LOOP('',(#10083,#10085,#10087,#10089)); +#10091=FACE_BOUND('',#10090,.F.); +#10093=ORIENTED_EDGE('',*,*,#10092,.F.); +#10095=ORIENTED_EDGE('',*,*,#10094,.F.); +#10097=ORIENTED_EDGE('',*,*,#10096,.F.); +#10099=ORIENTED_EDGE('',*,*,#10098,.T.); +#10100=EDGE_LOOP('',(#10093,#10095,#10097,#10099)); +#10101=FACE_BOUND('',#10100,.F.); +#10103=ORIENTED_EDGE('',*,*,#10102,.F.); +#10105=ORIENTED_EDGE('',*,*,#10104,.F.); +#10107=ORIENTED_EDGE('',*,*,#10106,.F.); +#10109=ORIENTED_EDGE('',*,*,#10108,.T.); +#10110=EDGE_LOOP('',(#10103,#10105,#10107,#10109)); +#10111=FACE_BOUND('',#10110,.F.); +#10113=ORIENTED_EDGE('',*,*,#10112,.F.); +#10115=ORIENTED_EDGE('',*,*,#10114,.F.); +#10117=ORIENTED_EDGE('',*,*,#10116,.F.); +#10119=ORIENTED_EDGE('',*,*,#10118,.T.); +#10120=EDGE_LOOP('',(#10113,#10115,#10117,#10119)); +#10121=FACE_BOUND('',#10120,.F.); +#10123=ORIENTED_EDGE('',*,*,#10122,.F.); +#10125=ORIENTED_EDGE('',*,*,#10124,.F.); +#10127=ORIENTED_EDGE('',*,*,#10126,.F.); +#10129=ORIENTED_EDGE('',*,*,#10128,.T.); +#10130=EDGE_LOOP('',(#10123,#10125,#10127,#10129)); +#10131=FACE_BOUND('',#10130,.F.); +#10133=ORIENTED_EDGE('',*,*,#10132,.F.); +#10135=ORIENTED_EDGE('',*,*,#10134,.F.); +#10137=ORIENTED_EDGE('',*,*,#10136,.F.); +#10139=ORIENTED_EDGE('',*,*,#10138,.T.); +#10140=EDGE_LOOP('',(#10133,#10135,#10137,#10139)); +#10141=FACE_BOUND('',#10140,.F.); +#10143=ORIENTED_EDGE('',*,*,#10142,.F.); +#10145=ORIENTED_EDGE('',*,*,#10144,.F.); +#10147=ORIENTED_EDGE('',*,*,#10146,.F.); +#10149=ORIENTED_EDGE('',*,*,#10148,.T.); +#10150=EDGE_LOOP('',(#10143,#10145,#10147,#10149)); +#10151=FACE_BOUND('',#10150,.F.); +#10153=ORIENTED_EDGE('',*,*,#10152,.F.); +#10155=ORIENTED_EDGE('',*,*,#10154,.F.); +#10157=ORIENTED_EDGE('',*,*,#10156,.F.); +#10159=ORIENTED_EDGE('',*,*,#10158,.T.); +#10160=EDGE_LOOP('',(#10153,#10155,#10157,#10159)); +#10161=FACE_BOUND('',#10160,.F.); +#10163=ORIENTED_EDGE('',*,*,#10162,.F.); +#10165=ORIENTED_EDGE('',*,*,#10164,.F.); +#10167=ORIENTED_EDGE('',*,*,#10166,.F.); +#10169=ORIENTED_EDGE('',*,*,#10168,.T.); +#10170=EDGE_LOOP('',(#10163,#10165,#10167,#10169)); +#10171=FACE_BOUND('',#10170,.F.); +#10173=ORIENTED_EDGE('',*,*,#10172,.F.); +#10175=ORIENTED_EDGE('',*,*,#10174,.F.); +#10177=ORIENTED_EDGE('',*,*,#10176,.F.); +#10179=ORIENTED_EDGE('',*,*,#10178,.T.); +#10180=EDGE_LOOP('',(#10173,#10175,#10177,#10179)); +#10181=FACE_BOUND('',#10180,.F.); +#10183=ORIENTED_EDGE('',*,*,#10182,.F.); +#10185=ORIENTED_EDGE('',*,*,#10184,.F.); +#10187=ORIENTED_EDGE('',*,*,#10186,.F.); +#10189=ORIENTED_EDGE('',*,*,#10188,.T.); +#10190=EDGE_LOOP('',(#10183,#10185,#10187,#10189)); +#10191=FACE_BOUND('',#10190,.F.); +#10193=ORIENTED_EDGE('',*,*,#10192,.F.); +#10195=ORIENTED_EDGE('',*,*,#10194,.F.); +#10197=ORIENTED_EDGE('',*,*,#10196,.F.); +#10199=ORIENTED_EDGE('',*,*,#10198,.T.); +#10200=EDGE_LOOP('',(#10193,#10195,#10197,#10199)); +#10201=FACE_BOUND('',#10200,.F.); +#10203=ORIENTED_EDGE('',*,*,#10202,.F.); +#10205=ORIENTED_EDGE('',*,*,#10204,.F.); +#10207=ORIENTED_EDGE('',*,*,#10206,.F.); +#10209=ORIENTED_EDGE('',*,*,#10208,.T.); +#10210=EDGE_LOOP('',(#10203,#10205,#10207,#10209)); +#10211=FACE_BOUND('',#10210,.F.); +#10213=ORIENTED_EDGE('',*,*,#10212,.F.); +#10215=ORIENTED_EDGE('',*,*,#10214,.F.); +#10217=ORIENTED_EDGE('',*,*,#10216,.F.); +#10219=ORIENTED_EDGE('',*,*,#10218,.T.); +#10220=EDGE_LOOP('',(#10213,#10215,#10217,#10219)); +#10221=FACE_BOUND('',#10220,.F.); +#10223=ORIENTED_EDGE('',*,*,#10222,.F.); +#10225=ORIENTED_EDGE('',*,*,#10224,.F.); +#10227=ORIENTED_EDGE('',*,*,#10226,.F.); +#10229=ORIENTED_EDGE('',*,*,#10228,.T.); +#10230=EDGE_LOOP('',(#10223,#10225,#10227,#10229)); +#10231=FACE_BOUND('',#10230,.F.); +#10233=ORIENTED_EDGE('',*,*,#10232,.F.); +#10235=ORIENTED_EDGE('',*,*,#10234,.F.); +#10237=ORIENTED_EDGE('',*,*,#10236,.F.); +#10239=ORIENTED_EDGE('',*,*,#10238,.T.); +#10240=EDGE_LOOP('',(#10233,#10235,#10237,#10239)); +#10241=FACE_BOUND('',#10240,.F.); +#10243=ORIENTED_EDGE('',*,*,#10242,.F.); +#10245=ORIENTED_EDGE('',*,*,#10244,.F.); +#10247=ORIENTED_EDGE('',*,*,#10246,.F.); +#10249=ORIENTED_EDGE('',*,*,#10248,.T.); +#10250=EDGE_LOOP('',(#10243,#10245,#10247,#10249)); +#10251=FACE_BOUND('',#10250,.F.); +#10253=ORIENTED_EDGE('',*,*,#10252,.F.); +#10255=ORIENTED_EDGE('',*,*,#10254,.F.); +#10257=ORIENTED_EDGE('',*,*,#10256,.F.); +#10259=ORIENTED_EDGE('',*,*,#10258,.T.); +#10260=EDGE_LOOP('',(#10253,#10255,#10257,#10259)); +#10261=FACE_BOUND('',#10260,.F.); +#10263=ORIENTED_EDGE('',*,*,#10262,.F.); +#10265=ORIENTED_EDGE('',*,*,#10264,.F.); +#10267=ORIENTED_EDGE('',*,*,#10266,.F.); +#10269=ORIENTED_EDGE('',*,*,#10268,.T.); +#10270=EDGE_LOOP('',(#10263,#10265,#10267,#10269)); +#10271=FACE_BOUND('',#10270,.F.); +#10273=ORIENTED_EDGE('',*,*,#10272,.F.); +#10275=ORIENTED_EDGE('',*,*,#10274,.F.); +#10277=ORIENTED_EDGE('',*,*,#10276,.F.); +#10279=ORIENTED_EDGE('',*,*,#10278,.T.); +#10280=EDGE_LOOP('',(#10273,#10275,#10277,#10279)); +#10281=FACE_BOUND('',#10280,.F.); +#10283=ORIENTED_EDGE('',*,*,#10282,.F.); +#10285=ORIENTED_EDGE('',*,*,#10284,.F.); +#10287=ORIENTED_EDGE('',*,*,#10286,.F.); +#10289=ORIENTED_EDGE('',*,*,#10288,.T.); +#10290=EDGE_LOOP('',(#10283,#10285,#10287,#10289)); +#10291=FACE_BOUND('',#10290,.F.); +#10293=ORIENTED_EDGE('',*,*,#10292,.F.); +#10295=ORIENTED_EDGE('',*,*,#10294,.F.); +#10297=ORIENTED_EDGE('',*,*,#10296,.F.); +#10299=ORIENTED_EDGE('',*,*,#10298,.T.); +#10300=EDGE_LOOP('',(#10293,#10295,#10297,#10299)); +#10301=FACE_BOUND('',#10300,.F.); +#10303=ORIENTED_EDGE('',*,*,#10302,.F.); +#10305=ORIENTED_EDGE('',*,*,#10304,.F.); +#10307=ORIENTED_EDGE('',*,*,#10306,.F.); +#10309=ORIENTED_EDGE('',*,*,#10308,.T.); +#10310=EDGE_LOOP('',(#10303,#10305,#10307,#10309)); +#10311=FACE_BOUND('',#10310,.F.); +#10313=ORIENTED_EDGE('',*,*,#10312,.F.); +#10315=ORIENTED_EDGE('',*,*,#10314,.F.); +#10317=ORIENTED_EDGE('',*,*,#10316,.F.); +#10319=ORIENTED_EDGE('',*,*,#10318,.T.); +#10320=EDGE_LOOP('',(#10313,#10315,#10317,#10319)); +#10321=FACE_BOUND('',#10320,.F.); +#10323=ORIENTED_EDGE('',*,*,#10322,.F.); +#10325=ORIENTED_EDGE('',*,*,#10324,.F.); +#10327=ORIENTED_EDGE('',*,*,#10326,.F.); +#10329=ORIENTED_EDGE('',*,*,#10328,.T.); +#10330=EDGE_LOOP('',(#10323,#10325,#10327,#10329)); +#10331=FACE_BOUND('',#10330,.F.); +#10333=ORIENTED_EDGE('',*,*,#10332,.F.); +#10335=ORIENTED_EDGE('',*,*,#10334,.F.); +#10337=ORIENTED_EDGE('',*,*,#10336,.F.); +#10339=ORIENTED_EDGE('',*,*,#10338,.T.); +#10340=EDGE_LOOP('',(#10333,#10335,#10337,#10339)); +#10341=FACE_BOUND('',#10340,.F.); +#10343=ORIENTED_EDGE('',*,*,#10342,.F.); +#10345=ORIENTED_EDGE('',*,*,#10344,.F.); +#10347=ORIENTED_EDGE('',*,*,#10346,.F.); +#10349=ORIENTED_EDGE('',*,*,#10348,.T.); +#10350=EDGE_LOOP('',(#10343,#10345,#10347,#10349)); +#10351=FACE_BOUND('',#10350,.F.); +#10353=ORIENTED_EDGE('',*,*,#10352,.F.); +#10355=ORIENTED_EDGE('',*,*,#10354,.F.); +#10357=ORIENTED_EDGE('',*,*,#10356,.F.); +#10359=ORIENTED_EDGE('',*,*,#10358,.T.); +#10360=EDGE_LOOP('',(#10353,#10355,#10357,#10359)); +#10361=FACE_BOUND('',#10360,.F.); +#10363=ORIENTED_EDGE('',*,*,#10362,.F.); +#10365=ORIENTED_EDGE('',*,*,#10364,.F.); +#10367=ORIENTED_EDGE('',*,*,#10366,.F.); +#10369=ORIENTED_EDGE('',*,*,#10368,.T.); +#10370=EDGE_LOOP('',(#10363,#10365,#10367,#10369)); +#10371=FACE_BOUND('',#10370,.F.); +#10373=ORIENTED_EDGE('',*,*,#10372,.F.); +#10375=ORIENTED_EDGE('',*,*,#10374,.F.); +#10377=ORIENTED_EDGE('',*,*,#10376,.F.); +#10379=ORIENTED_EDGE('',*,*,#10378,.T.); +#10380=EDGE_LOOP('',(#10373,#10375,#10377,#10379)); +#10381=FACE_BOUND('',#10380,.F.); +#10383=ORIENTED_EDGE('',*,*,#10382,.F.); +#10385=ORIENTED_EDGE('',*,*,#10384,.F.); +#10387=ORIENTED_EDGE('',*,*,#10386,.F.); +#10389=ORIENTED_EDGE('',*,*,#10388,.T.); +#10390=EDGE_LOOP('',(#10383,#10385,#10387,#10389)); +#10391=FACE_BOUND('',#10390,.F.); +#10393=ORIENTED_EDGE('',*,*,#10392,.F.); +#10395=ORIENTED_EDGE('',*,*,#10394,.F.); +#10397=ORIENTED_EDGE('',*,*,#10396,.F.); +#10399=ORIENTED_EDGE('',*,*,#10398,.T.); +#10400=EDGE_LOOP('',(#10393,#10395,#10397,#10399)); +#10401=FACE_BOUND('',#10400,.F.); +#10403=ORIENTED_EDGE('',*,*,#10402,.F.); +#10405=ORIENTED_EDGE('',*,*,#10404,.F.); +#10407=ORIENTED_EDGE('',*,*,#10406,.F.); +#10409=ORIENTED_EDGE('',*,*,#10408,.T.); +#10410=EDGE_LOOP('',(#10403,#10405,#10407,#10409)); +#10411=FACE_BOUND('',#10410,.F.); +#10413=ORIENTED_EDGE('',*,*,#10412,.F.); +#10415=ORIENTED_EDGE('',*,*,#10414,.F.); +#10417=ORIENTED_EDGE('',*,*,#10416,.F.); +#10419=ORIENTED_EDGE('',*,*,#10418,.T.); +#10420=EDGE_LOOP('',(#10413,#10415,#10417,#10419)); +#10421=FACE_BOUND('',#10420,.F.); +#10423=ORIENTED_EDGE('',*,*,#10422,.F.); +#10425=ORIENTED_EDGE('',*,*,#10424,.F.); +#10427=ORIENTED_EDGE('',*,*,#10426,.F.); +#10429=ORIENTED_EDGE('',*,*,#10428,.T.); +#10430=EDGE_LOOP('',(#10423,#10425,#10427,#10429)); +#10431=FACE_BOUND('',#10430,.F.); +#10433=ORIENTED_EDGE('',*,*,#10432,.F.); +#10435=ORIENTED_EDGE('',*,*,#10434,.F.); +#10437=ORIENTED_EDGE('',*,*,#10436,.F.); +#10439=ORIENTED_EDGE('',*,*,#10438,.T.); +#10440=EDGE_LOOP('',(#10433,#10435,#10437,#10439)); +#10441=FACE_BOUND('',#10440,.F.); +#10443=ORIENTED_EDGE('',*,*,#10442,.F.); +#10445=ORIENTED_EDGE('',*,*,#10444,.F.); +#10447=ORIENTED_EDGE('',*,*,#10446,.F.); +#10449=ORIENTED_EDGE('',*,*,#10448,.T.); +#10450=EDGE_LOOP('',(#10443,#10445,#10447,#10449)); +#10451=FACE_BOUND('',#10450,.F.); +#10453=ORIENTED_EDGE('',*,*,#10452,.F.); +#10455=ORIENTED_EDGE('',*,*,#10454,.F.); +#10457=ORIENTED_EDGE('',*,*,#10456,.F.); +#10459=ORIENTED_EDGE('',*,*,#10458,.T.); +#10460=EDGE_LOOP('',(#10453,#10455,#10457,#10459)); +#10461=FACE_BOUND('',#10460,.F.); +#10463=ORIENTED_EDGE('',*,*,#10462,.F.); +#10465=ORIENTED_EDGE('',*,*,#10464,.F.); +#10467=ORIENTED_EDGE('',*,*,#10466,.F.); +#10469=ORIENTED_EDGE('',*,*,#10468,.T.); +#10470=EDGE_LOOP('',(#10463,#10465,#10467,#10469)); +#10471=FACE_BOUND('',#10470,.F.); +#10473=CARTESIAN_POINT('',(-3.937E1,0.E0,-1.397E1)); +#10474=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10476=AXIS2_PLACEMENT_3D('',#10473,#10474,#10475); +#10477=PLANE('',#10476); +#10478=ORIENTED_EDGE('',*,*,#9757,.F.); +#10479=ORIENTED_EDGE('',*,*,#9519,.T.); +#10481=ORIENTED_EDGE('',*,*,#10480,.T.); +#10483=ORIENTED_EDGE('',*,*,#10482,.T.); +#10485=ORIENTED_EDGE('',*,*,#10484,.T.); +#10486=EDGE_LOOP('',(#10478,#10479,#10481,#10483,#10485)); +#10487=FACE_OUTER_BOUND('',#10486,.F.); +#10489=CARTESIAN_POINT('',(-3.937E1,0.E0,-1.397E1)); +#10490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10491=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10492=AXIS2_PLACEMENT_3D('',#10489,#10490,#10491); +#10493=PLANE('',#10492); +#10494=ORIENTED_EDGE('',*,*,#9765,.F.); +#10496=ORIENTED_EDGE('',*,*,#10495,.T.); +#10498=ORIENTED_EDGE('',*,*,#10497,.T.); +#10500=ORIENTED_EDGE('',*,*,#10499,.T.); +#10501=ORIENTED_EDGE('',*,*,#9637,.T.); +#10502=EDGE_LOOP('',(#10494,#10496,#10498,#10500,#10501)); +#10503=FACE_OUTER_BOUND('',#10502,.F.); +#10505=CARTESIAN_POINT('',(-4.1275E1,3.81E0,-1.5494E1)); +#10506=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10507=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10508=AXIS2_PLACEMENT_3D('',#10505,#10506,#10507); +#10509=PLANE('',#10508); +#10511=ORIENTED_EDGE('',*,*,#10510,.F.); +#10513=ORIENTED_EDGE('',*,*,#10512,.T.); +#10515=ORIENTED_EDGE('',*,*,#10514,.T.); +#10516=ORIENTED_EDGE('',*,*,#10480,.F.); +#10517=ORIENTED_EDGE('',*,*,#9517,.F.); +#10518=EDGE_LOOP('',(#10511,#10513,#10515,#10516,#10517)); +#10519=FACE_OUTER_BOUND('',#10518,.F.); +#10521=CARTESIAN_POINT('',(-4.0513E1,3.048E0,-8.89E0)); +#10522=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10523=DIRECTION('',(1.E0,0.E0,0.E0)); +#10524=AXIS2_PLACEMENT_3D('',#10521,#10522,#10523); +#10525=CYLINDRICAL_SURFACE('',#10524,7.62E-1); +#10527=ORIENTED_EDGE('',*,*,#10526,.T.); +#10528=ORIENTED_EDGE('',*,*,#10510,.T.); +#10529=ORIENTED_EDGE('',*,*,#9515,.T.); +#10530=ORIENTED_EDGE('',*,*,#9569,.F.); +#10531=EDGE_LOOP('',(#10527,#10528,#10529,#10530)); +#10532=FACE_OUTER_BOUND('',#10531,.F.); +#10534=CARTESIAN_POINT('',(-4.1275E1,-3.048E0,-8.89E0)); +#10535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10536=DIRECTION('',(0.E0,1.E0,0.E0)); +#10537=AXIS2_PLACEMENT_3D('',#10534,#10535,#10536); +#10538=PLANE('',#10537); +#10540=ORIENTED_EDGE('',*,*,#10539,.F.); +#10542=ORIENTED_EDGE('',*,*,#10541,.F.); +#10544=ORIENTED_EDGE('',*,*,#10543,.F.); +#10546=ORIENTED_EDGE('',*,*,#10545,.F.); +#10547=ORIENTED_EDGE('',*,*,#9761,.T.); +#10549=ORIENTED_EDGE('',*,*,#10548,.F.); +#10551=ORIENTED_EDGE('',*,*,#10550,.F.); +#10552=ORIENTED_EDGE('',*,*,#10512,.F.); +#10553=ORIENTED_EDGE('',*,*,#10526,.F.); +#10554=ORIENTED_EDGE('',*,*,#9567,.T.); +#10555=EDGE_LOOP('',(#10540,#10542,#10544,#10546,#10547,#10549,#10551,#10552, +#10553,#10554)); +#10556=FACE_OUTER_BOUND('',#10555,.F.); +#10558=CARTESIAN_POINT('',(-4.0513E1,-3.048E0,-8.89E0)); +#10559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10560=DIRECTION('',(1.E0,0.E0,0.E0)); +#10561=AXIS2_PLACEMENT_3D('',#10558,#10559,#10560); +#10562=CYLINDRICAL_SURFACE('',#10561,7.62E-1); +#10563=ORIENTED_EDGE('',*,*,#9641,.T.); +#10565=ORIENTED_EDGE('',*,*,#10564,.T.); +#10566=ORIENTED_EDGE('',*,*,#10539,.T.); +#10567=ORIENTED_EDGE('',*,*,#9565,.F.); +#10568=EDGE_LOOP('',(#10563,#10565,#10566,#10567)); +#10569=FACE_OUTER_BOUND('',#10568,.F.); +#10571=CARTESIAN_POINT('',(-4.1275E1,-2.54E0,-1.5494E1)); +#10572=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10573=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10574=AXIS2_PLACEMENT_3D('',#10571,#10572,#10573); +#10575=PLANE('',#10574); +#10576=ORIENTED_EDGE('',*,*,#10564,.F.); +#10577=ORIENTED_EDGE('',*,*,#9639,.T.); +#10578=ORIENTED_EDGE('',*,*,#10499,.F.); +#10580=ORIENTED_EDGE('',*,*,#10579,.F.); +#10581=ORIENTED_EDGE('',*,*,#10541,.T.); +#10582=EDGE_LOOP('',(#10576,#10577,#10578,#10580,#10581)); +#10583=FACE_OUTER_BOUND('',#10582,.F.); +#10585=CARTESIAN_POINT('',(-4.1275E1,-2.137382390414E0,-1.438781720899E1)); +#10586=DIRECTION('',(0.E0,9.396926207859E-1,-3.420201433257E-1)); +#10587=DIRECTION('',(0.E0,-3.420201433257E-1,-9.396926207859E-1)); +#10588=AXIS2_PLACEMENT_3D('',#10585,#10586,#10587); +#10589=PLANE('',#10588); +#10590=ORIENTED_EDGE('',*,*,#10543,.T.); +#10591=ORIENTED_EDGE('',*,*,#10579,.T.); +#10592=ORIENTED_EDGE('',*,*,#10497,.F.); +#10594=ORIENTED_EDGE('',*,*,#10593,.F.); +#10595=EDGE_LOOP('',(#10590,#10591,#10592,#10594)); +#10596=FACE_OUTER_BOUND('',#10595,.F.); +#10598=CARTESIAN_POINT('',(-4.1275E1,-1.540677576215E0,-1.4605E1)); +#10599=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10601=AXIS2_PLACEMENT_3D('',#10598,#10599,#10600); +#10602=CYLINDRICAL_SURFACE('',#10601,6.35E-1); +#10603=ORIENTED_EDGE('',*,*,#9763,.T.); +#10604=ORIENTED_EDGE('',*,*,#10545,.T.); +#10605=ORIENTED_EDGE('',*,*,#10593,.T.); +#10606=ORIENTED_EDGE('',*,*,#10495,.F.); +#10607=EDGE_LOOP('',(#10603,#10604,#10605,#10606)); +#10608=FACE_OUTER_BOUND('',#10607,.F.); +#10610=CARTESIAN_POINT('',(-4.1275E1,1.540677576215E0,-1.4605E1)); +#10611=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10612=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10613=AXIS2_PLACEMENT_3D('',#10610,#10611,#10612); +#10614=CYLINDRICAL_SURFACE('',#10613,6.35E-1); +#10615=ORIENTED_EDGE('',*,*,#9759,.F.); +#10616=ORIENTED_EDGE('',*,*,#10484,.F.); +#10618=ORIENTED_EDGE('',*,*,#10617,.F.); +#10619=ORIENTED_EDGE('',*,*,#10548,.T.); +#10620=EDGE_LOOP('',(#10615,#10616,#10618,#10619)); +#10621=FACE_OUTER_BOUND('',#10620,.F.); +#10623=CARTESIAN_POINT('',(-4.1275E1,2.54E0,-1.5494E1)); +#10624=DIRECTION('',(0.E0,-9.396926207859E-1,-3.420201433257E-1)); +#10625=DIRECTION('',(0.E0,-3.420201433257E-1,9.396926207859E-1)); +#10626=AXIS2_PLACEMENT_3D('',#10623,#10624,#10625); +#10627=PLANE('',#10626); +#10628=ORIENTED_EDGE('',*,*,#10550,.T.); +#10629=ORIENTED_EDGE('',*,*,#10617,.T.); +#10630=ORIENTED_EDGE('',*,*,#10482,.F.); +#10631=ORIENTED_EDGE('',*,*,#10514,.F.); +#10632=EDGE_LOOP('',(#10628,#10629,#10630,#10631)); +#10633=FACE_OUTER_BOUND('',#10632,.F.); +#10635=CARTESIAN_POINT('',(4.1275E1,-1.540677576215E0,-1.4605E1)); +#10636=DIRECTION('',(1.E0,0.E0,0.E0)); +#10637=DIRECTION('',(0.E0,1.E0,0.E0)); +#10638=AXIS2_PLACEMENT_3D('',#10635,#10636,#10637); +#10639=CYLINDRICAL_SURFACE('',#10638,6.35E-1); +#10640=ORIENTED_EDGE('',*,*,#9769,.F.); +#10641=ORIENTED_EDGE('',*,*,#9747,.F.); +#10643=ORIENTED_EDGE('',*,*,#10642,.F.); +#10644=ORIENTED_EDGE('',*,*,#9680,.T.); +#10645=EDGE_LOOP('',(#10640,#10641,#10643,#10644)); +#10646=FACE_OUTER_BOUND('',#10645,.F.); +#10648=CARTESIAN_POINT('',(4.1275E1,-2.54E0,-1.5494E1)); +#10649=DIRECTION('',(0.E0,9.396926207859E-1,-3.420201433257E-1)); +#10650=DIRECTION('',(0.E0,3.420201433257E-1,9.396926207859E-1)); +#10651=AXIS2_PLACEMENT_3D('',#10648,#10649,#10650); +#10652=PLANE('',#10651); +#10653=ORIENTED_EDGE('',*,*,#9682,.T.); +#10654=ORIENTED_EDGE('',*,*,#10642,.T.); +#10655=ORIENTED_EDGE('',*,*,#9745,.F.); +#10657=ORIENTED_EDGE('',*,*,#10656,.F.); +#10658=EDGE_LOOP('',(#10653,#10654,#10655,#10657)); +#10659=FACE_OUTER_BOUND('',#10658,.F.); +#10661=CARTESIAN_POINT('',(4.1275E1,-3.81E0,-1.5494E1)); +#10662=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10663=DIRECTION('',(0.E0,1.E0,0.E0)); +#10664=AXIS2_PLACEMENT_3D('',#10661,#10662,#10663); +#10665=PLANE('',#10664); +#10666=ORIENTED_EDGE('',*,*,#9658,.F.); +#10667=ORIENTED_EDGE('',*,*,#9684,.T.); +#10668=ORIENTED_EDGE('',*,*,#10656,.T.); +#10669=ORIENTED_EDGE('',*,*,#9743,.F.); +#10670=ORIENTED_EDGE('',*,*,#9631,.F.); +#10671=EDGE_LOOP('',(#10666,#10667,#10668,#10669,#10670)); +#10672=FACE_OUTER_BOUND('',#10671,.F.); +#10674=CARTESIAN_POINT('',(4.1275E1,1.540677576215E0,-1.4605E1)); +#10675=DIRECTION('',(1.E0,0.E0,0.E0)); +#10676=DIRECTION('',(0.E0,1.E0,0.E0)); +#10677=AXIS2_PLACEMENT_3D('',#10674,#10675,#10676); +#10678=CYLINDRICAL_SURFACE('',#10677,6.35E-1); +#10679=ORIENTED_EDGE('',*,*,#9772,.T.); +#10680=ORIENTED_EDGE('',*,*,#9676,.T.); +#10682=ORIENTED_EDGE('',*,*,#10681,.T.); +#10683=ORIENTED_EDGE('',*,*,#9726,.F.); +#10684=EDGE_LOOP('',(#10679,#10680,#10682,#10683)); +#10685=FACE_OUTER_BOUND('',#10684,.F.); +#10687=CARTESIAN_POINT('',(4.1275E1,2.137382390414E0,-1.438781720899E1)); +#10688=DIRECTION('',(0.E0,-9.396926207859E-1,-3.420201433257E-1)); +#10689=DIRECTION('',(0.E0,3.420201433257E-1,-9.396926207859E-1)); +#10690=AXIS2_PLACEMENT_3D('',#10687,#10688,#10689); +#10691=PLANE('',#10690); +#10692=ORIENTED_EDGE('',*,*,#9674,.T.); +#10693=ORIENTED_EDGE('',*,*,#9713,.F.); +#10694=ORIENTED_EDGE('',*,*,#9728,.T.); +#10695=ORIENTED_EDGE('',*,*,#10681,.F.); +#10696=EDGE_LOOP('',(#10692,#10693,#10694,#10695)); +#10697=FACE_OUTER_BOUND('',#10696,.F.); +#10699=CARTESIAN_POINT('',(-3.79984E1,-1.0922E0,-1.397E1)); +#10700=DIRECTION('',(1.E0,0.E0,0.E0)); +#10701=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10702=AXIS2_PLACEMENT_3D('',#10699,#10700,#10701); +#10703=PLANE('',#10702); +#10704=ORIENTED_EDGE('',*,*,#9778,.F.); +#10706=ORIENTED_EDGE('',*,*,#10705,.T.); +#10708=ORIENTED_EDGE('',*,*,#10707,.T.); +#10710=ORIENTED_EDGE('',*,*,#10709,.F.); +#10711=EDGE_LOOP('',(#10704,#10706,#10708,#10710)); +#10712=FACE_OUTER_BOUND('',#10711,.F.); +#10714=CARTESIAN_POINT('',(-3.81254E1,-1.0922E0,-1.397E1)); +#10715=DIRECTION('',(0.E0,1.E0,0.E0)); +#10716=DIRECTION('',(1.E0,0.E0,0.E0)); +#10717=AXIS2_PLACEMENT_3D('',#10714,#10715,#10716); +#10718=PLANE('',#10717); +#10719=ORIENTED_EDGE('',*,*,#9790,.F.); +#10721=ORIENTED_EDGE('',*,*,#10720,.T.); +#10723=ORIENTED_EDGE('',*,*,#10722,.T.); +#10724=ORIENTED_EDGE('',*,*,#10705,.F.); +#10725=EDGE_LOOP('',(#10719,#10721,#10723,#10724)); +#10726=FACE_OUTER_BOUND('',#10725,.F.); +#10728=CARTESIAN_POINT('',(-3.81254E1,-1.935263850403E0,-1.397E1)); +#10729=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10730=DIRECTION('',(0.E0,1.E0,0.E0)); +#10731=AXIS2_PLACEMENT_3D('',#10728,#10729,#10730); +#10732=PLANE('',#10731); +#10733=ORIENTED_EDGE('',*,*,#9788,.F.); +#10735=ORIENTED_EDGE('',*,*,#10734,.T.); +#10737=ORIENTED_EDGE('',*,*,#10736,.T.); +#10738=ORIENTED_EDGE('',*,*,#10720,.F.); +#10739=EDGE_LOOP('',(#10733,#10735,#10737,#10738)); +#10740=FACE_OUTER_BOUND('',#10739,.F.); +#10742=CARTESIAN_POINT('',(-3.82524E1,-1.783944658947E0,-1.397E1)); +#10743=DIRECTION('',(7.659743427825E-1,6.428711427642E-1,0.E0)); +#10744=DIRECTION('',(6.428711427642E-1,-7.659743427825E-1,0.E0)); +#10745=AXIS2_PLACEMENT_3D('',#10742,#10743,#10744); +#10746=PLANE('',#10745); +#10747=ORIENTED_EDGE('',*,*,#9786,.F.); +#10749=ORIENTED_EDGE('',*,*,#10748,.T.); +#10751=ORIENTED_EDGE('',*,*,#10750,.T.); +#10752=ORIENTED_EDGE('',*,*,#10734,.F.); +#10753=EDGE_LOOP('',(#10747,#10749,#10751,#10752)); +#10754=FACE_OUTER_BOUND('',#10753,.F.); +#10756=CARTESIAN_POINT('',(-3.82524E1,-1.956880869102E0,-1.397E1)); +#10757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10758=DIRECTION('',(0.E0,1.E0,0.E0)); +#10759=AXIS2_PLACEMENT_3D('',#10756,#10757,#10758); +#10760=PLANE('',#10759); +#10761=ORIENTED_EDGE('',*,*,#9784,.F.); +#10763=ORIENTED_EDGE('',*,*,#10762,.T.); +#10765=ORIENTED_EDGE('',*,*,#10764,.T.); +#10766=ORIENTED_EDGE('',*,*,#10748,.F.); +#10767=EDGE_LOOP('',(#10761,#10763,#10765,#10766)); +#10768=FACE_OUTER_BOUND('',#10767,.F.); +#10770=CARTESIAN_POINT('',(-3.81254E1,-2.1082E0,-1.397E1)); +#10771=DIRECTION('',(-7.659742160926E-1,-6.428712937139E-1,0.E0)); +#10772=DIRECTION('',(-6.428712937139E-1,7.659742160926E-1,0.E0)); +#10773=AXIS2_PLACEMENT_3D('',#10770,#10771,#10772); +#10774=PLANE('',#10773); +#10775=ORIENTED_EDGE('',*,*,#9782,.F.); +#10777=ORIENTED_EDGE('',*,*,#10776,.T.); +#10779=ORIENTED_EDGE('',*,*,#10778,.T.); +#10780=ORIENTED_EDGE('',*,*,#10762,.F.); +#10781=EDGE_LOOP('',(#10775,#10777,#10779,#10780)); +#10782=FACE_OUTER_BOUND('',#10781,.F.); +#10784=CARTESIAN_POINT('',(-3.79984E1,-2.1082E0,-1.397E1)); +#10785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10786=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10787=AXIS2_PLACEMENT_3D('',#10784,#10785,#10786); +#10788=PLANE('',#10787); +#10789=ORIENTED_EDGE('',*,*,#9780,.F.); +#10790=ORIENTED_EDGE('',*,*,#10709,.T.); +#10792=ORIENTED_EDGE('',*,*,#10791,.T.); +#10793=ORIENTED_EDGE('',*,*,#10776,.F.); +#10794=EDGE_LOOP('',(#10789,#10790,#10792,#10793)); +#10795=FACE_OUTER_BOUND('',#10794,.F.); +#10797=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.4097E1)); +#10798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10799=DIRECTION('',(1.E0,0.E0,0.E0)); +#10800=AXIS2_PLACEMENT_3D('',#10797,#10798,#10799); +#10801=PLANE('',#10800); +#10802=ORIENTED_EDGE('',*,*,#10707,.F.); +#10803=ORIENTED_EDGE('',*,*,#10722,.F.); +#10804=ORIENTED_EDGE('',*,*,#10736,.F.); +#10805=ORIENTED_EDGE('',*,*,#10750,.F.); +#10806=ORIENTED_EDGE('',*,*,#10764,.F.); +#10807=ORIENTED_EDGE('',*,*,#10778,.F.); +#10808=ORIENTED_EDGE('',*,*,#10791,.F.); +#10809=EDGE_LOOP('',(#10802,#10803,#10804,#10805,#10806,#10807,#10808)); +#10810=FACE_OUTER_BOUND('',#10809,.F.); +#10812=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.4097E1)); +#10813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10814=DIRECTION('',(1.E0,0.E0,0.E0)); +#10815=AXIS2_PLACEMENT_3D('',#10812,#10813,#10814); +#10816=PLANE('',#10815); +#10818=ORIENTED_EDGE('',*,*,#10817,.F.); +#10820=ORIENTED_EDGE('',*,*,#10819,.F.); +#10822=ORIENTED_EDGE('',*,*,#10821,.F.); +#10824=ORIENTED_EDGE('',*,*,#10823,.F.); +#10826=ORIENTED_EDGE('',*,*,#10825,.F.); +#10828=ORIENTED_EDGE('',*,*,#10827,.F.); +#10830=ORIENTED_EDGE('',*,*,#10829,.F.); +#10832=ORIENTED_EDGE('',*,*,#10831,.F.); +#10834=ORIENTED_EDGE('',*,*,#10833,.F.); +#10836=ORIENTED_EDGE('',*,*,#10835,.F.); +#10838=ORIENTED_EDGE('',*,*,#10837,.F.); +#10840=ORIENTED_EDGE('',*,*,#10839,.F.); +#10842=ORIENTED_EDGE('',*,*,#10841,.F.); +#10844=ORIENTED_EDGE('',*,*,#10843,.F.); +#10846=ORIENTED_EDGE('',*,*,#10845,.F.); +#10848=ORIENTED_EDGE('',*,*,#10847,.F.); +#10850=ORIENTED_EDGE('',*,*,#10849,.F.); +#10852=ORIENTED_EDGE('',*,*,#10851,.F.); +#10854=ORIENTED_EDGE('',*,*,#10853,.F.); +#10856=ORIENTED_EDGE('',*,*,#10855,.F.); +#10858=ORIENTED_EDGE('',*,*,#10857,.F.); +#10860=ORIENTED_EDGE('',*,*,#10859,.F.); +#10862=ORIENTED_EDGE('',*,*,#10861,.F.); +#10864=ORIENTED_EDGE('',*,*,#10863,.F.); +#10866=ORIENTED_EDGE('',*,*,#10865,.F.); +#10868=ORIENTED_EDGE('',*,*,#10867,.F.); +#10870=ORIENTED_EDGE('',*,*,#10869,.F.); +#10872=ORIENTED_EDGE('',*,*,#10871,.F.); +#10873=EDGE_LOOP('',(#10818,#10820,#10822,#10824,#10826,#10828,#10830,#10832, +#10834,#10836,#10838,#10840,#10842,#10844,#10846,#10848,#10850,#10852,#10854, +#10856,#10858,#10860,#10862,#10864,#10866,#10868,#10870,#10872)); +#10874=FACE_OUTER_BOUND('',#10873,.F.); +#10876=CARTESIAN_POINT('',(-3.84556E1,2.000114891362E0,-1.397E1)); +#10877=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10878=DIRECTION('',(0.E0,1.E0,0.E0)); +#10879=AXIS2_PLACEMENT_3D('',#10876,#10877,#10878); +#10880=PLANE('',#10879); +#10881=ORIENTED_EDGE('',*,*,#9794,.F.); +#10883=ORIENTED_EDGE('',*,*,#10882,.T.); +#10884=ORIENTED_EDGE('',*,*,#10817,.T.); +#10886=ORIENTED_EDGE('',*,*,#10885,.F.); +#10887=EDGE_LOOP('',(#10881,#10883,#10884,#10886)); +#10888=FACE_OUTER_BOUND('',#10887,.F.); +#10890=CARTESIAN_POINT('',(-3.786293335352E1,1.459689378452E0,-1.397E1)); +#10891=DIRECTION('',(-6.737899277363E-1,-7.389229549020E-1,0.E0)); +#10892=DIRECTION('',(-7.389229549020E-1,6.737899277363E-1,0.E0)); +#10893=AXIS2_PLACEMENT_3D('',#10890,#10891,#10892); +#10894=PLANE('',#10893); +#10895=ORIENTED_EDGE('',*,*,#9848,.F.); +#10897=ORIENTED_EDGE('',*,*,#10896,.T.); +#10898=ORIENTED_EDGE('',*,*,#10819,.T.); +#10899=ORIENTED_EDGE('',*,*,#10882,.F.); +#10900=EDGE_LOOP('',(#10895,#10897,#10898,#10899)); +#10901=FACE_OUTER_BOUND('',#10900,.F.); +#10903=CARTESIAN_POINT('',(-3.78206E1,1.373221303654E0,-1.397E1)); +#10904=DIRECTION('',(-8.981380143666E-1,-4.397136649566E-1,0.E0)); +#10905=DIRECTION('',(-4.397136649566E-1,8.981380143666E-1,0.E0)); +#10906=AXIS2_PLACEMENT_3D('',#10903,#10904,#10905); +#10907=PLANE('',#10906); +#10908=ORIENTED_EDGE('',*,*,#9846,.F.); +#10910=ORIENTED_EDGE('',*,*,#10909,.T.); +#10911=ORIENTED_EDGE('',*,*,#10821,.T.); +#10912=ORIENTED_EDGE('',*,*,#10896,.F.); +#10913=EDGE_LOOP('',(#10908,#10910,#10911,#10912)); +#10914=FACE_OUTER_BOUND('',#10913,.F.); +#10916=CARTESIAN_POINT('',(-3.78206E1,1.329987205696E0,-1.397E1)); +#10917=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10918=DIRECTION('',(0.E0,1.E0,0.E0)); +#10919=AXIS2_PLACEMENT_3D('',#10916,#10917,#10918); +#10920=PLANE('',#10919); +#10921=ORIENTED_EDGE('',*,*,#9844,.F.); +#10923=ORIENTED_EDGE('',*,*,#10922,.T.); +#10924=ORIENTED_EDGE('',*,*,#10823,.T.); +#10925=ORIENTED_EDGE('',*,*,#10909,.F.); +#10926=EDGE_LOOP('',(#10921,#10923,#10924,#10925)); +#10927=FACE_OUTER_BOUND('',#10926,.F.); +#10929=CARTESIAN_POINT('',(-3.786293335352E1,1.265136149597E0,-1.397E1)); +#10930=DIRECTION('',(-8.373790012342E-1,5.466227293958E-1,0.E0)); +#10931=DIRECTION('',(5.466227293958E-1,8.373790012342E-1,0.E0)); +#10932=AXIS2_PLACEMENT_3D('',#10929,#10930,#10931); +#10933=PLANE('',#10932); +#10934=ORIENTED_EDGE('',*,*,#9842,.F.); +#10936=ORIENTED_EDGE('',*,*,#10935,.T.); +#10937=ORIENTED_EDGE('',*,*,#10825,.T.); +#10938=ORIENTED_EDGE('',*,*,#10922,.F.); +#10939=EDGE_LOOP('',(#10934,#10936,#10937,#10938)); +#10940=FACE_OUTER_BOUND('',#10939,.F.); +#10942=CARTESIAN_POINT('',(-3.792643335352E1,1.221902112198E0,-1.397E1)); +#10943=DIRECTION('',(-5.627909312417E-1,8.265992787996E-1,0.E0)); +#10944=DIRECTION('',(8.265992787996E-1,5.627909312417E-1,0.E0)); +#10945=AXIS2_PLACEMENT_3D('',#10942,#10943,#10944); +#10946=PLANE('',#10945); +#10947=ORIENTED_EDGE('',*,*,#9840,.F.); +#10949=ORIENTED_EDGE('',*,*,#10948,.T.); +#10950=ORIENTED_EDGE('',*,*,#10827,.T.); +#10951=ORIENTED_EDGE('',*,*,#10935,.F.); +#10952=EDGE_LOOP('',(#10947,#10949,#10950,#10951)); +#10953=FACE_OUTER_BOUND('',#10952,.F.); +#10955=CARTESIAN_POINT('',(-3.803226667676E1,1.200285093498E0,-1.397E1)); +#10956=DIRECTION('',(-2.001233733807E-1,9.797707055361E-1,0.E0)); +#10957=DIRECTION('',(9.797707055361E-1,2.001233733807E-1,0.E0)); +#10958=AXIS2_PLACEMENT_3D('',#10955,#10956,#10957); +#10959=PLANE('',#10958); +#10960=ORIENTED_EDGE('',*,*,#9838,.F.); +#10962=ORIENTED_EDGE('',*,*,#10961,.T.); +#10963=ORIENTED_EDGE('',*,*,#10829,.T.); +#10964=ORIENTED_EDGE('',*,*,#10948,.F.); +#10965=EDGE_LOOP('',(#10960,#10962,#10963,#10964)); +#10966=FACE_OUTER_BOUND('',#10965,.F.); +#10968=CARTESIAN_POINT('',(-3.811693332324E1,1.200285093498E0,-1.397E1)); +#10969=DIRECTION('',(0.E0,1.E0,0.E0)); +#10970=DIRECTION('',(1.E0,0.E0,0.E0)); +#10971=AXIS2_PLACEMENT_3D('',#10968,#10969,#10970); +#10972=PLANE('',#10971); +#10973=ORIENTED_EDGE('',*,*,#9836,.F.); +#10975=ORIENTED_EDGE('',*,*,#10974,.T.); +#10976=ORIENTED_EDGE('',*,*,#10831,.T.); +#10977=ORIENTED_EDGE('',*,*,#10961,.F.); +#10978=EDGE_LOOP('',(#10973,#10975,#10976,#10977)); +#10979=FACE_OUTER_BOUND('',#10978,.F.); +#10981=CARTESIAN_POINT('',(-3.822276666162E1,1.221902112198E0,-1.397E1)); +#10982=DIRECTION('',(2.001233458994E-1,9.797707111493E-1,0.E0)); +#10983=DIRECTION('',(9.797707111493E-1,-2.001233458994E-1,0.E0)); +#10984=AXIS2_PLACEMENT_3D('',#10981,#10982,#10983); +#10985=PLANE('',#10984); +#10986=ORIENTED_EDGE('',*,*,#9834,.F.); +#10988=ORIENTED_EDGE('',*,*,#10987,.T.); +#10989=ORIENTED_EDGE('',*,*,#10833,.T.); +#10990=ORIENTED_EDGE('',*,*,#10974,.F.); +#10991=EDGE_LOOP('',(#10986,#10988,#10989,#10990)); +#10992=FACE_OUTER_BOUND('',#10991,.F.); +#10994=CARTESIAN_POINT('',(-3.828626666162E1,1.265136149597E0,-1.397E1)); +#10995=DIRECTION('',(5.627909312417E-1,8.265992787996E-1,0.E0)); +#10996=DIRECTION('',(8.265992787996E-1,-5.627909312417E-1,0.E0)); +#10997=AXIS2_PLACEMENT_3D('',#10994,#10995,#10996); +#10998=PLANE('',#10997); +#10999=ORIENTED_EDGE('',*,*,#9832,.F.); +#11001=ORIENTED_EDGE('',*,*,#11000,.T.); +#11002=ORIENTED_EDGE('',*,*,#10835,.T.); +#11003=ORIENTED_EDGE('',*,*,#10987,.F.); +#11004=EDGE_LOOP('',(#10999,#11001,#11002,#11003)); +#11005=FACE_OUTER_BOUND('',#11004,.F.); +#11007=CARTESIAN_POINT('',(-3.83286E1,1.329987205696E0,-1.397E1)); +#11008=DIRECTION('',(8.373790907147E-1,5.466225923193E-1,0.E0)); +#11009=DIRECTION('',(5.466225923193E-1,-8.373790907147E-1,0.E0)); +#11010=AXIS2_PLACEMENT_3D('',#11007,#11008,#11009); +#11011=PLANE('',#11010); +#11012=ORIENTED_EDGE('',*,*,#9830,.F.); +#11014=ORIENTED_EDGE('',*,*,#11013,.T.); +#11015=ORIENTED_EDGE('',*,*,#10837,.T.); +#11016=ORIENTED_EDGE('',*,*,#11000,.F.); +#11017=EDGE_LOOP('',(#11012,#11014,#11015,#11016)); +#11018=FACE_OUTER_BOUND('',#11017,.F.); +#11020=CARTESIAN_POINT('',(-3.843443333270E1,1.329987205696E0,-1.397E1)); +#11021=DIRECTION('',(0.E0,1.E0,0.E0)); +#11022=DIRECTION('',(1.E0,0.E0,0.E0)); +#11023=AXIS2_PLACEMENT_3D('',#11020,#11021,#11022); +#11024=PLANE('',#11023); +#11025=ORIENTED_EDGE('',*,*,#9828,.F.); +#11027=ORIENTED_EDGE('',*,*,#11026,.T.); +#11028=ORIENTED_EDGE('',*,*,#10839,.T.); +#11029=ORIENTED_EDGE('',*,*,#11013,.F.); +#11030=EDGE_LOOP('',(#11025,#11027,#11028,#11029)); +#11031=FACE_OUTER_BOUND('',#11030,.F.); +#11033=CARTESIAN_POINT('',(-3.841326666541E1,1.243519130898E0,-1.397E1)); +#11034=DIRECTION('',(-9.713211522284E-1,-2.377713591533E-1,0.E0)); +#11035=DIRECTION('',(-2.377713591533E-1,9.713211522284E-1,0.E0)); +#11036=AXIS2_PLACEMENT_3D('',#11033,#11034,#11035); +#11037=PLANE('',#11036); +#11038=ORIENTED_EDGE('',*,*,#9826,.F.); +#11040=ORIENTED_EDGE('',*,*,#11039,.T.); +#11041=ORIENTED_EDGE('',*,*,#10841,.T.); +#11042=ORIENTED_EDGE('',*,*,#11026,.F.); +#11043=EDGE_LOOP('',(#11038,#11040,#11041,#11042)); +#11044=FACE_OUTER_BOUND('',#11043,.F.); +#11046=CARTESIAN_POINT('',(-3.83286E1,1.157051056099E0,-1.397E1)); +#11047=DIRECTION('',(-7.145103900133E-1,-6.996248298646E-1,0.E0)); +#11048=DIRECTION('',(-6.996248298646E-1,7.145103900133E-1,0.E0)); +#11049=AXIS2_PLACEMENT_3D('',#11046,#11047,#11048); +#11050=PLANE('',#11049); +#11051=ORIENTED_EDGE('',*,*,#9824,.F.); +#11053=ORIENTED_EDGE('',*,*,#11052,.T.); +#11054=ORIENTED_EDGE('',*,*,#10843,.T.); +#11055=ORIENTED_EDGE('',*,*,#11039,.F.); +#11056=EDGE_LOOP('',(#11051,#11053,#11054,#11055)); +#11057=FACE_OUTER_BOUND('',#11056,.F.); +#11059=CARTESIAN_POINT('',(-3.824393333838E1,1.113817018700E0,-1.397E1)); +#11060=DIRECTION('',(-4.547771350481E-1,-8.906052758868E-1,0.E0)); +#11061=DIRECTION('',(-8.906052758868E-1,4.547771350481E-1,0.E0)); +#11062=AXIS2_PLACEMENT_3D('',#11059,#11060,#11061); +#11063=PLANE('',#11062); +#11064=ORIENTED_EDGE('',*,*,#9822,.F.); +#11066=ORIENTED_EDGE('',*,*,#11065,.T.); +#11067=ORIENTED_EDGE('',*,*,#10845,.T.); +#11068=ORIENTED_EDGE('',*,*,#11052,.F.); +#11069=EDGE_LOOP('',(#11064,#11066,#11067,#11068)); +#11070=FACE_OUTER_BOUND('',#11069,.F.); +#11072=CARTESIAN_POINT('',(-3.809576667676E1,1.0922E0,-1.397E1)); +#11073=DIRECTION('',(-1.443682357291E-1,-9.895240333173E-1,0.E0)); +#11074=DIRECTION('',(-9.895240333173E-1,1.443682357291E-1,0.E0)); +#11075=AXIS2_PLACEMENT_3D('',#11072,#11073,#11074); +#11076=PLANE('',#11075); +#11077=ORIENTED_EDGE('',*,*,#9820,.F.); +#11079=ORIENTED_EDGE('',*,*,#11078,.T.); +#11080=ORIENTED_EDGE('',*,*,#10847,.T.); +#11081=ORIENTED_EDGE('',*,*,#11065,.F.); +#11082=EDGE_LOOP('',(#11077,#11079,#11080,#11081)); +#11083=FACE_OUTER_BOUND('',#11082,.F.); +#11085=CARTESIAN_POINT('',(-3.80111E1,1.0922E0,-1.397E1)); +#11086=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11087=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11088=AXIS2_PLACEMENT_3D('',#11085,#11086,#11087); +#11089=PLANE('',#11088); +#11090=ORIENTED_EDGE('',*,*,#9818,.F.); +#11092=ORIENTED_EDGE('',*,*,#11091,.T.); +#11093=ORIENTED_EDGE('',*,*,#10849,.T.); +#11094=ORIENTED_EDGE('',*,*,#11078,.F.); +#11095=EDGE_LOOP('',(#11090,#11092,#11093,#11094)); +#11096=FACE_OUTER_BOUND('',#11095,.F.); +#11098=CARTESIAN_POINT('',(-3.78841E1,1.113817018700E0,-1.397E1)); +#11099=DIRECTION('',(1.677993286083E-1,-9.858211730931E-1,0.E0)); +#11100=DIRECTION('',(-9.858211730931E-1,-1.677993286083E-1,0.E0)); +#11101=AXIS2_PLACEMENT_3D('',#11098,#11099,#11100); +#11102=PLANE('',#11101); +#11103=ORIENTED_EDGE('',*,*,#9816,.F.); +#11105=ORIENTED_EDGE('',*,*,#11104,.T.); +#11106=ORIENTED_EDGE('',*,*,#10851,.T.); +#11107=ORIENTED_EDGE('',*,*,#11091,.F.); +#11108=EDGE_LOOP('',(#11103,#11105,#11106,#11107)); +#11109=FACE_OUTER_BOUND('',#11108,.F.); +#11111=CARTESIAN_POINT('',(-3.779943335352E1,1.157051056099E0,-1.397E1)); +#11112=DIRECTION('',(4.547771995497E-1,-8.906052429498E-1,0.E0)); +#11113=DIRECTION('',(-8.906052429498E-1,-4.547771995497E-1,0.E0)); +#11114=AXIS2_PLACEMENT_3D('',#11111,#11112,#11113); +#11115=PLANE('',#11114); +#11116=ORIENTED_EDGE('',*,*,#9814,.F.); +#11118=ORIENTED_EDGE('',*,*,#11117,.T.); +#11119=ORIENTED_EDGE('',*,*,#10853,.T.); +#11120=ORIENTED_EDGE('',*,*,#11104,.F.); +#11121=EDGE_LOOP('',(#11116,#11118,#11119,#11120)); +#11122=FACE_OUTER_BOUND('',#11121,.F.); +#11124=CARTESIAN_POINT('',(-3.771476664648E1,1.243519130898E0,-1.397E1)); +#11125=DIRECTION('',(7.145102180353E-1,-6.996250055017E-1,0.E0)); +#11126=DIRECTION('',(-6.996250055017E-1,-7.145102180353E-1,0.E0)); +#11127=AXIS2_PLACEMENT_3D('',#11124,#11125,#11126); +#11128=PLANE('',#11127); +#11129=ORIENTED_EDGE('',*,*,#9812,.F.); +#11131=ORIENTED_EDGE('',*,*,#11130,.T.); +#11132=ORIENTED_EDGE('',*,*,#10855,.T.); +#11133=ORIENTED_EDGE('',*,*,#11117,.F.); +#11134=EDGE_LOOP('',(#11129,#11131,#11132,#11133)); +#11135=FACE_OUTER_BOUND('',#11134,.F.); +#11137=CARTESIAN_POINT('',(-3.76936E1,1.329987205696E0,-1.397E1)); +#11138=DIRECTION('',(9.713212062349E-1,-2.377711385310E-1,0.E0)); +#11139=DIRECTION('',(-2.377711385310E-1,-9.713212062349E-1,0.E0)); +#11140=AXIS2_PLACEMENT_3D('',#11137,#11138,#11139); +#11141=PLANE('',#11140); +#11142=ORIENTED_EDGE('',*,*,#9810,.F.); +#11144=ORIENTED_EDGE('',*,*,#11143,.T.); +#11145=ORIENTED_EDGE('',*,*,#10857,.T.); +#11146=ORIENTED_EDGE('',*,*,#11130,.F.); +#11147=EDGE_LOOP('',(#11142,#11144,#11145,#11146)); +#11148=FACE_OUTER_BOUND('',#11147,.F.); +#11150=CARTESIAN_POINT('',(-3.76936E1,1.373221303654E0,-1.397E1)); +#11151=DIRECTION('',(1.E0,0.E0,0.E0)); +#11152=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11153=AXIS2_PLACEMENT_3D('',#11150,#11151,#11152); +#11154=PLANE('',#11153); +#11155=ORIENTED_EDGE('',*,*,#9808,.F.); +#11157=ORIENTED_EDGE('',*,*,#11156,.T.); +#11158=ORIENTED_EDGE('',*,*,#10859,.T.); +#11159=ORIENTED_EDGE('',*,*,#11143,.F.); +#11160=EDGE_LOOP('',(#11155,#11157,#11158,#11159)); +#11161=FACE_OUTER_BOUND('',#11160,.F.); +#11163=CARTESIAN_POINT('',(-3.771476664648E1,1.459689378452E0,-1.397E1)); +#11164=DIRECTION('',(9.713212062349E-1,2.377711385310E-1,0.E0)); +#11165=DIRECTION('',(2.377711385310E-1,-9.713212062349E-1,0.E0)); +#11166=AXIS2_PLACEMENT_3D('',#11163,#11164,#11165); +#11167=PLANE('',#11166); +#11168=ORIENTED_EDGE('',*,*,#9806,.F.); +#11170=ORIENTED_EDGE('',*,*,#11169,.T.); +#11171=ORIENTED_EDGE('',*,*,#10861,.T.); +#11172=ORIENTED_EDGE('',*,*,#11156,.F.); +#11173=EDGE_LOOP('',(#11168,#11170,#11171,#11172)); +#11174=FACE_OUTER_BOUND('',#11173,.F.); +#11176=CARTESIAN_POINT('',(-3.77571E1,1.524540434551E0,-1.397E1)); +#11177=DIRECTION('',(8.373790012342E-1,5.466227293958E-1,0.E0)); +#11178=DIRECTION('',(5.466227293958E-1,-8.373790012342E-1,0.E0)); +#11179=AXIS2_PLACEMENT_3D('',#11176,#11177,#11178); +#11180=PLANE('',#11179); +#11181=ORIENTED_EDGE('',*,*,#9804,.F.); +#11183=ORIENTED_EDGE('',*,*,#11182,.T.); +#11184=ORIENTED_EDGE('',*,*,#10863,.T.); +#11185=ORIENTED_EDGE('',*,*,#11169,.F.); +#11186=EDGE_LOOP('',(#11181,#11183,#11184,#11185)); +#11187=FACE_OUTER_BOUND('',#11186,.F.); +#11189=CARTESIAN_POINT('',(-3.828626666162E1,2.000114891362E0,-1.397E1)); +#11190=DIRECTION('',(6.684399804373E-1,7.437660872566E-1,0.E0)); +#11191=DIRECTION('',(7.437660872566E-1,-6.684399804373E-1,0.E0)); +#11192=AXIS2_PLACEMENT_3D('',#11189,#11190,#11191); +#11193=PLANE('',#11192); +#11194=ORIENTED_EDGE('',*,*,#9802,.F.); +#11196=ORIENTED_EDGE('',*,*,#11195,.T.); +#11197=ORIENTED_EDGE('',*,*,#10865,.T.); +#11198=ORIENTED_EDGE('',*,*,#11182,.F.); +#11199=EDGE_LOOP('',(#11194,#11196,#11197,#11198)); +#11200=FACE_OUTER_BOUND('',#11199,.F.); +#11202=CARTESIAN_POINT('',(-3.76936E1,2.000114891362E0,-1.397E1)); +#11203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11204=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11205=AXIS2_PLACEMENT_3D('',#11202,#11203,#11204); +#11206=PLANE('',#11205); +#11207=ORIENTED_EDGE('',*,*,#9800,.F.); +#11209=ORIENTED_EDGE('',*,*,#11208,.T.); +#11210=ORIENTED_EDGE('',*,*,#10867,.T.); +#11211=ORIENTED_EDGE('',*,*,#11195,.F.); +#11212=EDGE_LOOP('',(#11207,#11209,#11210,#11211)); +#11213=FACE_OUTER_BOUND('',#11212,.F.); +#11215=CARTESIAN_POINT('',(-3.76936E1,2.1082E0,-1.397E1)); +#11216=DIRECTION('',(1.E0,0.E0,0.E0)); +#11217=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11218=AXIS2_PLACEMENT_3D('',#11215,#11216,#11217); +#11219=PLANE('',#11218); +#11220=ORIENTED_EDGE('',*,*,#9798,.F.); +#11222=ORIENTED_EDGE('',*,*,#11221,.T.); +#11223=ORIENTED_EDGE('',*,*,#10869,.T.); +#11224=ORIENTED_EDGE('',*,*,#11208,.F.); +#11225=EDGE_LOOP('',(#11220,#11222,#11223,#11224)); +#11226=FACE_OUTER_BOUND('',#11225,.F.); +#11228=CARTESIAN_POINT('',(-3.84556E1,2.1082E0,-1.397E1)); +#11229=DIRECTION('',(0.E0,1.E0,0.E0)); +#11230=DIRECTION('',(1.E0,0.E0,0.E0)); +#11231=AXIS2_PLACEMENT_3D('',#11228,#11229,#11230); +#11232=PLANE('',#11231); +#11233=ORIENTED_EDGE('',*,*,#9796,.F.); +#11234=ORIENTED_EDGE('',*,*,#10885,.T.); +#11235=ORIENTED_EDGE('',*,*,#10871,.T.); +#11236=ORIENTED_EDGE('',*,*,#11221,.F.); +#11237=EDGE_LOOP('',(#11233,#11234,#11235,#11236)); +#11238=FACE_OUTER_BOUND('',#11237,.F.); +#11240=CARTESIAN_POINT('',(-3.84048E1,2.62509E0,-1.397E1)); +#11241=DIRECTION('',(0.E0,1.E0,0.E0)); +#11242=DIRECTION('',(1.E0,0.E0,0.E0)); +#11243=AXIS2_PLACEMENT_3D('',#11240,#11241,#11242); +#11244=PLANE('',#11243); +#11246=ORIENTED_EDGE('',*,*,#11245,.F.); +#11248=ORIENTED_EDGE('',*,*,#11247,.F.); +#11249=ORIENTED_EDGE('',*,*,#9852,.T.); +#11251=ORIENTED_EDGE('',*,*,#11250,.F.); +#11253=ORIENTED_EDGE('',*,*,#11252,.T.); +#11255=ORIENTED_EDGE('',*,*,#11254,.T.); +#11256=EDGE_LOOP('',(#11246,#11248,#11249,#11251,#11253,#11255)); +#11257=FACE_OUTER_BOUND('',#11256,.F.); +#11259=CARTESIAN_POINT('',(-3.77952E1,2.62509E0,-1.397E1)); +#11260=DIRECTION('',(1.E0,0.E0,0.E0)); +#11261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11262=AXIS2_PLACEMENT_3D('',#11259,#11260,#11261); +#11263=PLANE('',#11262); +#11265=ORIENTED_EDGE('',*,*,#11264,.F.); +#11266=ORIENTED_EDGE('',*,*,#11245,.T.); +#11268=ORIENTED_EDGE('',*,*,#11267,.T.); +#11270=ORIENTED_EDGE('',*,*,#11269,.F.); +#11271=EDGE_LOOP('',(#11265,#11266,#11268,#11270)); +#11272=FACE_OUTER_BOUND('',#11271,.F.); +#11274=CARTESIAN_POINT('',(-3.73903875E1,2.62509E0,-1.397E1)); +#11275=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11276=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#11277=AXIS2_PLACEMENT_3D('',#11274,#11275,#11276); +#11278=PLANE('',#11277); +#11279=ORIENTED_EDGE('',*,*,#9854,.T.); +#11280=ORIENTED_EDGE('',*,*,#11247,.T.); +#11281=ORIENTED_EDGE('',*,*,#11264,.T.); +#11283=ORIENTED_EDGE('',*,*,#11282,.F.); +#11284=EDGE_LOOP('',(#11279,#11280,#11281,#11283)); +#11285=FACE_OUTER_BOUND('',#11284,.F.); +#11287=CARTESIAN_POINT('',(-3.77952E1,2.22631E0,-1.397E1)); +#11288=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11289=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11290=AXIS2_PLACEMENT_3D('',#11287,#11288,#11289); +#11291=PLANE('',#11290); +#11293=ORIENTED_EDGE('',*,*,#11292,.F.); +#11295=ORIENTED_EDGE('',*,*,#11294,.T.); +#11296=ORIENTED_EDGE('',*,*,#9856,.T.); +#11297=ORIENTED_EDGE('',*,*,#11282,.T.); +#11298=ORIENTED_EDGE('',*,*,#11269,.T.); +#11300=ORIENTED_EDGE('',*,*,#11299,.T.); +#11301=EDGE_LOOP('',(#11293,#11295,#11296,#11297,#11298,#11300)); +#11302=FACE_OUTER_BOUND('',#11301,.F.); +#11304=CARTESIAN_POINT('',(-3.84048E1,2.22631E0,-1.397E1)); +#11305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11306=DIRECTION('',(0.E0,1.E0,0.E0)); +#11307=AXIS2_PLACEMENT_3D('',#11304,#11305,#11306); +#11308=PLANE('',#11307); +#11310=ORIENTED_EDGE('',*,*,#11309,.F.); +#11311=ORIENTED_EDGE('',*,*,#11292,.T.); +#11313=ORIENTED_EDGE('',*,*,#11312,.T.); +#11314=ORIENTED_EDGE('',*,*,#11252,.F.); +#11315=EDGE_LOOP('',(#11310,#11311,#11313,#11314)); +#11316=FACE_OUTER_BOUND('',#11315,.F.); +#11318=CARTESIAN_POINT('',(-3.84048E1,2.62509E0,-1.5494E1)); +#11319=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11320=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#11321=AXIS2_PLACEMENT_3D('',#11318,#11319,#11320); +#11322=PLANE('',#11321); +#11323=ORIENTED_EDGE('',*,*,#9858,.F.); +#11324=ORIENTED_EDGE('',*,*,#11294,.F.); +#11325=ORIENTED_EDGE('',*,*,#11309,.T.); +#11326=ORIENTED_EDGE('',*,*,#11250,.T.); +#11327=EDGE_LOOP('',(#11323,#11324,#11325,#11326)); +#11328=FACE_OUTER_BOUND('',#11327,.F.); +#11330=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#11331=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11332=DIRECTION('',(1.E0,0.E0,0.E0)); +#11333=AXIS2_PLACEMENT_3D('',#11330,#11331,#11332); +#11334=PLANE('',#11333); +#11336=ORIENTED_EDGE('',*,*,#11335,.F.); +#11338=ORIENTED_EDGE('',*,*,#11337,.F.); +#11340=ORIENTED_EDGE('',*,*,#11339,.F.); +#11342=ORIENTED_EDGE('',*,*,#11341,.F.); +#11343=EDGE_LOOP('',(#11336,#11338,#11340,#11342)); +#11344=FACE_OUTER_BOUND('',#11343,.F.); +#11346=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#11347=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11348=DIRECTION('',(1.E0,0.E0,0.E0)); +#11349=AXIS2_PLACEMENT_3D('',#11346,#11347,#11348); +#11350=PLANE('',#11349); +#11351=ORIENTED_EDGE('',*,*,#11254,.F.); +#11352=ORIENTED_EDGE('',*,*,#11312,.F.); +#11353=ORIENTED_EDGE('',*,*,#11299,.F.); +#11354=ORIENTED_EDGE('',*,*,#11267,.F.); +#11355=EDGE_LOOP('',(#11351,#11352,#11353,#11354)); +#11356=FACE_OUTER_BOUND('',#11355,.F.); +#11358=CARTESIAN_POINT('',(-3.84048E1,-2.62509E0,-1.397E1)); +#11359=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11360=DIRECTION('',(0.E0,1.E0,0.E0)); +#11361=AXIS2_PLACEMENT_3D('',#11358,#11359,#11360); +#11362=PLANE('',#11361); +#11364=ORIENTED_EDGE('',*,*,#11363,.F.); +#11366=ORIENTED_EDGE('',*,*,#11365,.T.); +#11367=ORIENTED_EDGE('',*,*,#11335,.T.); +#11369=ORIENTED_EDGE('',*,*,#11368,.F.); +#11370=EDGE_LOOP('',(#11364,#11366,#11367,#11369)); +#11371=FACE_OUTER_BOUND('',#11370,.F.); +#11373=CARTESIAN_POINT('',(-3.88096125E1,-2.62509E0,-1.397E1)); +#11374=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#11375=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#11376=AXIS2_PLACEMENT_3D('',#11373,#11374,#11375); +#11377=PLANE('',#11376); +#11378=ORIENTED_EDGE('',*,*,#9864,.T.); +#11380=ORIENTED_EDGE('',*,*,#11379,.T.); +#11381=ORIENTED_EDGE('',*,*,#11363,.T.); +#11383=ORIENTED_EDGE('',*,*,#11382,.F.); +#11384=EDGE_LOOP('',(#11378,#11380,#11381,#11383)); +#11385=FACE_OUTER_BOUND('',#11384,.F.); +#11387=CARTESIAN_POINT('',(-3.77952E1,-2.62509E0,-1.397E1)); +#11388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11389=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11390=AXIS2_PLACEMENT_3D('',#11387,#11388,#11389); +#11391=PLANE('',#11390); +#11392=ORIENTED_EDGE('',*,*,#11365,.F.); +#11393=ORIENTED_EDGE('',*,*,#11379,.F.); +#11394=ORIENTED_EDGE('',*,*,#9862,.T.); +#11396=ORIENTED_EDGE('',*,*,#11395,.F.); +#11398=ORIENTED_EDGE('',*,*,#11397,.T.); +#11399=ORIENTED_EDGE('',*,*,#11337,.T.); +#11400=EDGE_LOOP('',(#11392,#11393,#11394,#11396,#11398,#11399)); +#11401=FACE_OUTER_BOUND('',#11400,.F.); +#11403=CARTESIAN_POINT('',(-3.77952E1,-2.62509E0,-1.5494E1)); +#11404=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#11405=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#11406=AXIS2_PLACEMENT_3D('',#11403,#11404,#11405); +#11407=PLANE('',#11406); +#11408=ORIENTED_EDGE('',*,*,#9868,.F.); +#11410=ORIENTED_EDGE('',*,*,#11409,.F.); +#11412=ORIENTED_EDGE('',*,*,#11411,.T.); +#11413=ORIENTED_EDGE('',*,*,#11395,.T.); +#11414=EDGE_LOOP('',(#11408,#11410,#11412,#11413)); +#11415=FACE_OUTER_BOUND('',#11414,.F.); +#11417=CARTESIAN_POINT('',(-3.84048E1,-2.22631E0,-1.397E1)); +#11418=DIRECTION('',(0.E0,1.E0,0.E0)); +#11419=DIRECTION('',(1.E0,0.E0,0.E0)); +#11420=AXIS2_PLACEMENT_3D('',#11417,#11418,#11419); +#11421=PLANE('',#11420); +#11423=ORIENTED_EDGE('',*,*,#11422,.F.); +#11424=ORIENTED_EDGE('',*,*,#11409,.T.); +#11425=ORIENTED_EDGE('',*,*,#9866,.T.); +#11426=ORIENTED_EDGE('',*,*,#11382,.T.); +#11427=ORIENTED_EDGE('',*,*,#11368,.T.); +#11428=ORIENTED_EDGE('',*,*,#11341,.T.); +#11429=EDGE_LOOP('',(#11423,#11424,#11425,#11426,#11427,#11428)); +#11430=FACE_OUTER_BOUND('',#11429,.F.); +#11432=CARTESIAN_POINT('',(-3.77952E1,-2.22631E0,-1.397E1)); +#11433=DIRECTION('',(1.E0,0.E0,0.E0)); +#11434=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11435=AXIS2_PLACEMENT_3D('',#11432,#11433,#11434); +#11436=PLANE('',#11435); +#11437=ORIENTED_EDGE('',*,*,#11411,.F.); +#11438=ORIENTED_EDGE('',*,*,#11422,.T.); +#11439=ORIENTED_EDGE('',*,*,#11339,.T.); +#11440=ORIENTED_EDGE('',*,*,#11397,.F.); +#11441=EDGE_LOOP('',(#11437,#11438,#11439,#11440)); +#11442=FACE_OUTER_BOUND('',#11441,.F.); +#11444=CARTESIAN_POINT('',(-3.58648E1,2.62509E0,-1.397E1)); +#11445=DIRECTION('',(0.E0,1.E0,0.E0)); +#11446=DIRECTION('',(1.E0,0.E0,0.E0)); +#11447=AXIS2_PLACEMENT_3D('',#11444,#11445,#11446); +#11448=PLANE('',#11447); +#11450=ORIENTED_EDGE('',*,*,#11449,.F.); +#11452=ORIENTED_EDGE('',*,*,#11451,.F.); +#11453=ORIENTED_EDGE('',*,*,#9872,.T.); +#11455=ORIENTED_EDGE('',*,*,#11454,.F.); +#11457=ORIENTED_EDGE('',*,*,#11456,.T.); +#11459=ORIENTED_EDGE('',*,*,#11458,.T.); +#11460=EDGE_LOOP('',(#11450,#11452,#11453,#11455,#11457,#11459)); +#11461=FACE_OUTER_BOUND('',#11460,.F.); +#11463=CARTESIAN_POINT('',(-3.52552E1,2.62509E0,-1.397E1)); +#11464=DIRECTION('',(1.E0,0.E0,0.E0)); +#11465=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11466=AXIS2_PLACEMENT_3D('',#11463,#11464,#11465); +#11467=PLANE('',#11466); +#11469=ORIENTED_EDGE('',*,*,#11468,.F.); +#11470=ORIENTED_EDGE('',*,*,#11449,.T.); +#11472=ORIENTED_EDGE('',*,*,#11471,.T.); +#11474=ORIENTED_EDGE('',*,*,#11473,.F.); +#11475=EDGE_LOOP('',(#11469,#11470,#11472,#11474)); +#11476=FACE_OUTER_BOUND('',#11475,.F.); +#11478=CARTESIAN_POINT('',(-3.48503875E1,2.62509E0,-1.397E1)); +#11479=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#11480=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#11481=AXIS2_PLACEMENT_3D('',#11478,#11479,#11480); +#11482=PLANE('',#11481); +#11483=ORIENTED_EDGE('',*,*,#11451,.T.); +#11484=ORIENTED_EDGE('',*,*,#11468,.T.); +#11486=ORIENTED_EDGE('',*,*,#11485,.F.); +#11487=ORIENTED_EDGE('',*,*,#9874,.T.); +#11488=EDGE_LOOP('',(#11483,#11484,#11486,#11487)); +#11489=FACE_OUTER_BOUND('',#11488,.F.); +#11491=CARTESIAN_POINT('',(-3.52552E1,2.22631E0,-1.397E1)); +#11492=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11493=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11494=AXIS2_PLACEMENT_3D('',#11491,#11492,#11493); +#11495=PLANE('',#11494); +#11496=ORIENTED_EDGE('',*,*,#9876,.T.); +#11497=ORIENTED_EDGE('',*,*,#11485,.T.); +#11498=ORIENTED_EDGE('',*,*,#11473,.T.); +#11500=ORIENTED_EDGE('',*,*,#11499,.T.); +#11502=ORIENTED_EDGE('',*,*,#11501,.F.); +#11504=ORIENTED_EDGE('',*,*,#11503,.T.); +#11505=EDGE_LOOP('',(#11496,#11497,#11498,#11500,#11502,#11504)); +#11506=FACE_OUTER_BOUND('',#11505,.F.); +#11508=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#11509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11510=DIRECTION('',(1.E0,0.E0,0.E0)); +#11511=AXIS2_PLACEMENT_3D('',#11508,#11509,#11510); +#11512=PLANE('',#11511); +#11514=ORIENTED_EDGE('',*,*,#11513,.F.); +#11516=ORIENTED_EDGE('',*,*,#11515,.F.); +#11518=ORIENTED_EDGE('',*,*,#11517,.F.); +#11520=ORIENTED_EDGE('',*,*,#11519,.F.); +#11521=EDGE_LOOP('',(#11514,#11516,#11518,#11520)); +#11522=FACE_OUTER_BOUND('',#11521,.F.); +#11524=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#11525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11526=DIRECTION('',(1.E0,0.E0,0.E0)); +#11527=AXIS2_PLACEMENT_3D('',#11524,#11525,#11526); +#11528=PLANE('',#11527); +#11529=ORIENTED_EDGE('',*,*,#11458,.F.); +#11531=ORIENTED_EDGE('',*,*,#11530,.F.); +#11532=ORIENTED_EDGE('',*,*,#11499,.F.); +#11533=ORIENTED_EDGE('',*,*,#11471,.F.); +#11534=EDGE_LOOP('',(#11529,#11531,#11532,#11533)); +#11535=FACE_OUTER_BOUND('',#11534,.F.); +#11537=CARTESIAN_POINT('',(-3.58648E1,-2.62509E0,-1.397E1)); +#11538=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11539=DIRECTION('',(0.E0,1.E0,0.E0)); +#11540=AXIS2_PLACEMENT_3D('',#11537,#11538,#11539); +#11541=PLANE('',#11540); +#11543=ORIENTED_EDGE('',*,*,#11542,.F.); +#11545=ORIENTED_EDGE('',*,*,#11544,.T.); +#11546=ORIENTED_EDGE('',*,*,#11513,.T.); +#11548=ORIENTED_EDGE('',*,*,#11547,.F.); +#11549=EDGE_LOOP('',(#11543,#11545,#11546,#11548)); +#11550=FACE_OUTER_BOUND('',#11549,.F.); +#11552=CARTESIAN_POINT('',(-3.62696125E1,-2.62509E0,-1.397E1)); +#11553=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11554=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#11555=AXIS2_PLACEMENT_3D('',#11552,#11553,#11554); +#11556=PLANE('',#11555); +#11558=ORIENTED_EDGE('',*,*,#11557,.T.); +#11559=ORIENTED_EDGE('',*,*,#11542,.T.); +#11561=ORIENTED_EDGE('',*,*,#11560,.F.); +#11562=ORIENTED_EDGE('',*,*,#9884,.T.); +#11563=EDGE_LOOP('',(#11558,#11559,#11561,#11562)); +#11564=FACE_OUTER_BOUND('',#11563,.F.); +#11566=CARTESIAN_POINT('',(-3.52552E1,-2.62509E0,-1.397E1)); +#11567=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11568=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11569=AXIS2_PLACEMENT_3D('',#11566,#11567,#11568); +#11570=PLANE('',#11569); +#11571=ORIENTED_EDGE('',*,*,#9882,.T.); +#11573=ORIENTED_EDGE('',*,*,#11572,.F.); +#11575=ORIENTED_EDGE('',*,*,#11574,.T.); +#11576=ORIENTED_EDGE('',*,*,#11515,.T.); +#11577=ORIENTED_EDGE('',*,*,#11544,.F.); +#11578=ORIENTED_EDGE('',*,*,#11557,.F.); +#11579=EDGE_LOOP('',(#11571,#11573,#11575,#11576,#11577,#11578)); +#11580=FACE_OUTER_BOUND('',#11579,.F.); +#11582=CARTESIAN_POINT('',(-3.52552E1,-2.62509E0,-1.5494E1)); +#11583=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#11584=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#11585=AXIS2_PLACEMENT_3D('',#11582,#11583,#11584); +#11586=PLANE('',#11585); +#11587=ORIENTED_EDGE('',*,*,#11572,.T.); +#11588=ORIENTED_EDGE('',*,*,#9888,.F.); +#11590=ORIENTED_EDGE('',*,*,#11589,.F.); +#11592=ORIENTED_EDGE('',*,*,#11591,.T.); +#11593=EDGE_LOOP('',(#11587,#11588,#11590,#11592)); +#11594=FACE_OUTER_BOUND('',#11593,.F.); +#11596=CARTESIAN_POINT('',(-3.58648E1,-2.22631E0,-1.397E1)); +#11597=DIRECTION('',(0.E0,1.E0,0.E0)); +#11598=DIRECTION('',(1.E0,0.E0,0.E0)); +#11599=AXIS2_PLACEMENT_3D('',#11596,#11597,#11598); +#11600=PLANE('',#11599); +#11602=ORIENTED_EDGE('',*,*,#11601,.F.); +#11603=ORIENTED_EDGE('',*,*,#11589,.T.); +#11604=ORIENTED_EDGE('',*,*,#9886,.T.); +#11605=ORIENTED_EDGE('',*,*,#11560,.T.); +#11606=ORIENTED_EDGE('',*,*,#11547,.T.); +#11607=ORIENTED_EDGE('',*,*,#11519,.T.); +#11608=EDGE_LOOP('',(#11602,#11603,#11604,#11605,#11606,#11607)); +#11609=FACE_OUTER_BOUND('',#11608,.F.); +#11611=CARTESIAN_POINT('',(-3.52552E1,-2.22631E0,-1.397E1)); +#11612=DIRECTION('',(1.E0,0.E0,0.E0)); +#11613=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11614=AXIS2_PLACEMENT_3D('',#11611,#11612,#11613); +#11615=PLANE('',#11614); +#11616=ORIENTED_EDGE('',*,*,#11591,.F.); +#11617=ORIENTED_EDGE('',*,*,#11601,.T.); +#11618=ORIENTED_EDGE('',*,*,#11517,.T.); +#11619=ORIENTED_EDGE('',*,*,#11574,.F.); +#11620=EDGE_LOOP('',(#11616,#11617,#11618,#11619)); +#11621=FACE_OUTER_BOUND('',#11620,.F.); +#11623=CARTESIAN_POINT('',(-3.58648E1,2.22631E0,-1.397E1)); +#11624=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11625=DIRECTION('',(0.E0,1.E0,0.E0)); +#11626=AXIS2_PLACEMENT_3D('',#11623,#11624,#11625); +#11627=PLANE('',#11626); +#11629=ORIENTED_EDGE('',*,*,#11628,.F.); +#11630=ORIENTED_EDGE('',*,*,#11501,.T.); +#11631=ORIENTED_EDGE('',*,*,#11530,.T.); +#11632=ORIENTED_EDGE('',*,*,#11456,.F.); +#11633=EDGE_LOOP('',(#11629,#11630,#11631,#11632)); +#11634=FACE_OUTER_BOUND('',#11633,.F.); +#11636=CARTESIAN_POINT('',(-3.58648E1,2.62509E0,-1.5494E1)); +#11637=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#11638=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#11639=AXIS2_PLACEMENT_3D('',#11636,#11637,#11638); +#11640=PLANE('',#11639); +#11641=ORIENTED_EDGE('',*,*,#11454,.T.); +#11642=ORIENTED_EDGE('',*,*,#9878,.F.); +#11643=ORIENTED_EDGE('',*,*,#11503,.F.); +#11644=ORIENTED_EDGE('',*,*,#11628,.T.); +#11645=EDGE_LOOP('',(#11641,#11642,#11643,#11644)); +#11646=FACE_OUTER_BOUND('',#11645,.F.); +#11648=CARTESIAN_POINT('',(-3.33248E1,2.62509E0,-1.397E1)); +#11649=DIRECTION('',(0.E0,1.E0,0.E0)); +#11650=DIRECTION('',(1.E0,0.E0,0.E0)); +#11651=AXIS2_PLACEMENT_3D('',#11648,#11649,#11650); +#11652=PLANE('',#11651); +#11654=ORIENTED_EDGE('',*,*,#11653,.F.); +#11656=ORIENTED_EDGE('',*,*,#11655,.F.); +#11657=ORIENTED_EDGE('',*,*,#9892,.T.); +#11659=ORIENTED_EDGE('',*,*,#11658,.F.); +#11661=ORIENTED_EDGE('',*,*,#11660,.T.); +#11663=ORIENTED_EDGE('',*,*,#11662,.T.); +#11664=EDGE_LOOP('',(#11654,#11656,#11657,#11659,#11661,#11663)); +#11665=FACE_OUTER_BOUND('',#11664,.F.); +#11667=CARTESIAN_POINT('',(-3.27152E1,2.62509E0,-1.397E1)); +#11668=DIRECTION('',(1.E0,0.E0,0.E0)); +#11669=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11670=AXIS2_PLACEMENT_3D('',#11667,#11668,#11669); +#11671=PLANE('',#11670); +#11673=ORIENTED_EDGE('',*,*,#11672,.F.); +#11674=ORIENTED_EDGE('',*,*,#11653,.T.); +#11676=ORIENTED_EDGE('',*,*,#11675,.T.); +#11678=ORIENTED_EDGE('',*,*,#11677,.F.); +#11679=EDGE_LOOP('',(#11673,#11674,#11676,#11678)); +#11680=FACE_OUTER_BOUND('',#11679,.F.); +#11682=CARTESIAN_POINT('',(-3.23103875E1,2.62509E0,-1.397E1)); +#11683=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11684=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#11685=AXIS2_PLACEMENT_3D('',#11682,#11683,#11684); +#11686=PLANE('',#11685); +#11687=ORIENTED_EDGE('',*,*,#11655,.T.); +#11688=ORIENTED_EDGE('',*,*,#11672,.T.); +#11690=ORIENTED_EDGE('',*,*,#11689,.F.); +#11691=ORIENTED_EDGE('',*,*,#9894,.T.); +#11692=EDGE_LOOP('',(#11687,#11688,#11690,#11691)); +#11693=FACE_OUTER_BOUND('',#11692,.F.); +#11695=CARTESIAN_POINT('',(-3.27152E1,2.22631E0,-1.397E1)); +#11696=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11697=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11698=AXIS2_PLACEMENT_3D('',#11695,#11696,#11697); +#11699=PLANE('',#11698); +#11700=ORIENTED_EDGE('',*,*,#9896,.T.); +#11701=ORIENTED_EDGE('',*,*,#11689,.T.); +#11702=ORIENTED_EDGE('',*,*,#11677,.T.); +#11704=ORIENTED_EDGE('',*,*,#11703,.T.); +#11706=ORIENTED_EDGE('',*,*,#11705,.F.); +#11708=ORIENTED_EDGE('',*,*,#11707,.T.); +#11709=EDGE_LOOP('',(#11700,#11701,#11702,#11704,#11706,#11708)); +#11710=FACE_OUTER_BOUND('',#11709,.F.); +#11712=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#11713=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11714=DIRECTION('',(1.E0,0.E0,0.E0)); +#11715=AXIS2_PLACEMENT_3D('',#11712,#11713,#11714); +#11716=PLANE('',#11715); +#11718=ORIENTED_EDGE('',*,*,#11717,.F.); +#11720=ORIENTED_EDGE('',*,*,#11719,.F.); +#11722=ORIENTED_EDGE('',*,*,#11721,.F.); +#11724=ORIENTED_EDGE('',*,*,#11723,.F.); +#11725=EDGE_LOOP('',(#11718,#11720,#11722,#11724)); +#11726=FACE_OUTER_BOUND('',#11725,.F.); +#11728=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#11729=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11730=DIRECTION('',(1.E0,0.E0,0.E0)); +#11731=AXIS2_PLACEMENT_3D('',#11728,#11729,#11730); +#11732=PLANE('',#11731); +#11733=ORIENTED_EDGE('',*,*,#11662,.F.); +#11735=ORIENTED_EDGE('',*,*,#11734,.F.); +#11736=ORIENTED_EDGE('',*,*,#11703,.F.); +#11737=ORIENTED_EDGE('',*,*,#11675,.F.); +#11738=EDGE_LOOP('',(#11733,#11735,#11736,#11737)); +#11739=FACE_OUTER_BOUND('',#11738,.F.); +#11741=CARTESIAN_POINT('',(-3.33248E1,-2.62509E0,-1.397E1)); +#11742=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11743=DIRECTION('',(0.E0,1.E0,0.E0)); +#11744=AXIS2_PLACEMENT_3D('',#11741,#11742,#11743); +#11745=PLANE('',#11744); +#11747=ORIENTED_EDGE('',*,*,#11746,.F.); +#11749=ORIENTED_EDGE('',*,*,#11748,.T.); +#11750=ORIENTED_EDGE('',*,*,#11717,.T.); +#11752=ORIENTED_EDGE('',*,*,#11751,.F.); +#11753=EDGE_LOOP('',(#11747,#11749,#11750,#11752)); +#11754=FACE_OUTER_BOUND('',#11753,.F.); +#11756=CARTESIAN_POINT('',(-3.37296125E1,-2.62509E0,-1.397E1)); +#11757=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11758=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#11759=AXIS2_PLACEMENT_3D('',#11756,#11757,#11758); +#11760=PLANE('',#11759); +#11762=ORIENTED_EDGE('',*,*,#11761,.T.); +#11763=ORIENTED_EDGE('',*,*,#11746,.T.); +#11765=ORIENTED_EDGE('',*,*,#11764,.F.); +#11766=ORIENTED_EDGE('',*,*,#9904,.T.); +#11767=EDGE_LOOP('',(#11762,#11763,#11765,#11766)); +#11768=FACE_OUTER_BOUND('',#11767,.F.); +#11770=CARTESIAN_POINT('',(-3.27152E1,-2.62509E0,-1.397E1)); +#11771=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11772=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11773=AXIS2_PLACEMENT_3D('',#11770,#11771,#11772); +#11774=PLANE('',#11773); +#11775=ORIENTED_EDGE('',*,*,#9902,.T.); +#11777=ORIENTED_EDGE('',*,*,#11776,.F.); +#11779=ORIENTED_EDGE('',*,*,#11778,.T.); +#11780=ORIENTED_EDGE('',*,*,#11719,.T.); +#11781=ORIENTED_EDGE('',*,*,#11748,.F.); +#11782=ORIENTED_EDGE('',*,*,#11761,.F.); +#11783=EDGE_LOOP('',(#11775,#11777,#11779,#11780,#11781,#11782)); +#11784=FACE_OUTER_BOUND('',#11783,.F.); +#11786=CARTESIAN_POINT('',(-3.27152E1,-2.62509E0,-1.5494E1)); +#11787=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11788=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#11789=AXIS2_PLACEMENT_3D('',#11786,#11787,#11788); +#11790=PLANE('',#11789); +#11791=ORIENTED_EDGE('',*,*,#11776,.T.); +#11792=ORIENTED_EDGE('',*,*,#9908,.F.); +#11794=ORIENTED_EDGE('',*,*,#11793,.F.); +#11796=ORIENTED_EDGE('',*,*,#11795,.T.); +#11797=EDGE_LOOP('',(#11791,#11792,#11794,#11796)); +#11798=FACE_OUTER_BOUND('',#11797,.F.); +#11800=CARTESIAN_POINT('',(-3.33248E1,-2.22631E0,-1.397E1)); +#11801=DIRECTION('',(0.E0,1.E0,0.E0)); +#11802=DIRECTION('',(1.E0,0.E0,0.E0)); +#11803=AXIS2_PLACEMENT_3D('',#11800,#11801,#11802); +#11804=PLANE('',#11803); +#11806=ORIENTED_EDGE('',*,*,#11805,.F.); +#11807=ORIENTED_EDGE('',*,*,#11793,.T.); +#11808=ORIENTED_EDGE('',*,*,#9906,.T.); +#11809=ORIENTED_EDGE('',*,*,#11764,.T.); +#11810=ORIENTED_EDGE('',*,*,#11751,.T.); +#11811=ORIENTED_EDGE('',*,*,#11723,.T.); +#11812=EDGE_LOOP('',(#11806,#11807,#11808,#11809,#11810,#11811)); +#11813=FACE_OUTER_BOUND('',#11812,.F.); +#11815=CARTESIAN_POINT('',(-3.27152E1,-2.22631E0,-1.397E1)); +#11816=DIRECTION('',(1.E0,0.E0,0.E0)); +#11817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11818=AXIS2_PLACEMENT_3D('',#11815,#11816,#11817); +#11819=PLANE('',#11818); +#11820=ORIENTED_EDGE('',*,*,#11795,.F.); +#11821=ORIENTED_EDGE('',*,*,#11805,.T.); +#11822=ORIENTED_EDGE('',*,*,#11721,.T.); +#11823=ORIENTED_EDGE('',*,*,#11778,.F.); +#11824=EDGE_LOOP('',(#11820,#11821,#11822,#11823)); +#11825=FACE_OUTER_BOUND('',#11824,.F.); +#11827=CARTESIAN_POINT('',(-3.33248E1,2.22631E0,-1.397E1)); +#11828=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11829=DIRECTION('',(0.E0,1.E0,0.E0)); +#11830=AXIS2_PLACEMENT_3D('',#11827,#11828,#11829); +#11831=PLANE('',#11830); +#11833=ORIENTED_EDGE('',*,*,#11832,.F.); +#11834=ORIENTED_EDGE('',*,*,#11705,.T.); +#11835=ORIENTED_EDGE('',*,*,#11734,.T.); +#11836=ORIENTED_EDGE('',*,*,#11660,.F.); +#11837=EDGE_LOOP('',(#11833,#11834,#11835,#11836)); +#11838=FACE_OUTER_BOUND('',#11837,.F.); +#11840=CARTESIAN_POINT('',(-3.33248E1,2.62509E0,-1.5494E1)); +#11841=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11842=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#11843=AXIS2_PLACEMENT_3D('',#11840,#11841,#11842); +#11844=PLANE('',#11843); +#11845=ORIENTED_EDGE('',*,*,#11658,.T.); +#11846=ORIENTED_EDGE('',*,*,#9898,.F.); +#11847=ORIENTED_EDGE('',*,*,#11707,.F.); +#11848=ORIENTED_EDGE('',*,*,#11832,.T.); +#11849=EDGE_LOOP('',(#11845,#11846,#11847,#11848)); +#11850=FACE_OUTER_BOUND('',#11849,.F.); +#11852=CARTESIAN_POINT('',(-3.07848E1,2.62509E0,-1.397E1)); +#11853=DIRECTION('',(0.E0,1.E0,0.E0)); +#11854=DIRECTION('',(1.E0,0.E0,0.E0)); +#11855=AXIS2_PLACEMENT_3D('',#11852,#11853,#11854); +#11856=PLANE('',#11855); +#11858=ORIENTED_EDGE('',*,*,#11857,.F.); +#11860=ORIENTED_EDGE('',*,*,#11859,.F.); +#11861=ORIENTED_EDGE('',*,*,#9912,.T.); +#11863=ORIENTED_EDGE('',*,*,#11862,.F.); +#11865=ORIENTED_EDGE('',*,*,#11864,.T.); +#11867=ORIENTED_EDGE('',*,*,#11866,.T.); +#11868=EDGE_LOOP('',(#11858,#11860,#11861,#11863,#11865,#11867)); +#11869=FACE_OUTER_BOUND('',#11868,.F.); +#11871=CARTESIAN_POINT('',(-3.01752E1,2.62509E0,-1.397E1)); +#11872=DIRECTION('',(1.E0,0.E0,0.E0)); +#11873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11874=AXIS2_PLACEMENT_3D('',#11871,#11872,#11873); +#11875=PLANE('',#11874); +#11877=ORIENTED_EDGE('',*,*,#11876,.F.); +#11878=ORIENTED_EDGE('',*,*,#11857,.T.); +#11880=ORIENTED_EDGE('',*,*,#11879,.T.); +#11882=ORIENTED_EDGE('',*,*,#11881,.F.); +#11883=EDGE_LOOP('',(#11877,#11878,#11880,#11882)); +#11884=FACE_OUTER_BOUND('',#11883,.F.); +#11886=CARTESIAN_POINT('',(-2.97703875E1,2.62509E0,-1.397E1)); +#11887=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#11888=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#11889=AXIS2_PLACEMENT_3D('',#11886,#11887,#11888); +#11890=PLANE('',#11889); +#11891=ORIENTED_EDGE('',*,*,#11859,.T.); +#11892=ORIENTED_EDGE('',*,*,#11876,.T.); +#11894=ORIENTED_EDGE('',*,*,#11893,.F.); +#11895=ORIENTED_EDGE('',*,*,#9914,.T.); +#11896=EDGE_LOOP('',(#11891,#11892,#11894,#11895)); +#11897=FACE_OUTER_BOUND('',#11896,.F.); +#11899=CARTESIAN_POINT('',(-3.01752E1,2.22631E0,-1.397E1)); +#11900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11901=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11902=AXIS2_PLACEMENT_3D('',#11899,#11900,#11901); +#11903=PLANE('',#11902); +#11904=ORIENTED_EDGE('',*,*,#9916,.T.); +#11905=ORIENTED_EDGE('',*,*,#11893,.T.); +#11906=ORIENTED_EDGE('',*,*,#11881,.T.); +#11908=ORIENTED_EDGE('',*,*,#11907,.T.); +#11910=ORIENTED_EDGE('',*,*,#11909,.F.); +#11912=ORIENTED_EDGE('',*,*,#11911,.T.); +#11913=EDGE_LOOP('',(#11904,#11905,#11906,#11908,#11910,#11912)); +#11914=FACE_OUTER_BOUND('',#11913,.F.); +#11916=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#11917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11918=DIRECTION('',(1.E0,0.E0,0.E0)); +#11919=AXIS2_PLACEMENT_3D('',#11916,#11917,#11918); +#11920=PLANE('',#11919); +#11922=ORIENTED_EDGE('',*,*,#11921,.F.); +#11924=ORIENTED_EDGE('',*,*,#11923,.F.); +#11926=ORIENTED_EDGE('',*,*,#11925,.F.); +#11928=ORIENTED_EDGE('',*,*,#11927,.F.); +#11929=EDGE_LOOP('',(#11922,#11924,#11926,#11928)); +#11930=FACE_OUTER_BOUND('',#11929,.F.); +#11932=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#11933=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11934=DIRECTION('',(1.E0,0.E0,0.E0)); +#11935=AXIS2_PLACEMENT_3D('',#11932,#11933,#11934); +#11936=PLANE('',#11935); +#11937=ORIENTED_EDGE('',*,*,#11866,.F.); +#11939=ORIENTED_EDGE('',*,*,#11938,.F.); +#11940=ORIENTED_EDGE('',*,*,#11907,.F.); +#11941=ORIENTED_EDGE('',*,*,#11879,.F.); +#11942=EDGE_LOOP('',(#11937,#11939,#11940,#11941)); +#11943=FACE_OUTER_BOUND('',#11942,.F.); +#11945=CARTESIAN_POINT('',(-3.07848E1,-2.62509E0,-1.397E1)); +#11946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11947=DIRECTION('',(0.E0,1.E0,0.E0)); +#11948=AXIS2_PLACEMENT_3D('',#11945,#11946,#11947); +#11949=PLANE('',#11948); +#11951=ORIENTED_EDGE('',*,*,#11950,.F.); +#11953=ORIENTED_EDGE('',*,*,#11952,.T.); +#11954=ORIENTED_EDGE('',*,*,#11921,.T.); +#11956=ORIENTED_EDGE('',*,*,#11955,.F.); +#11957=EDGE_LOOP('',(#11951,#11953,#11954,#11956)); +#11958=FACE_OUTER_BOUND('',#11957,.F.); +#11960=CARTESIAN_POINT('',(-3.11896125E1,-2.62509E0,-1.397E1)); +#11961=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#11962=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#11963=AXIS2_PLACEMENT_3D('',#11960,#11961,#11962); +#11964=PLANE('',#11963); +#11966=ORIENTED_EDGE('',*,*,#11965,.T.); +#11967=ORIENTED_EDGE('',*,*,#11950,.T.); +#11969=ORIENTED_EDGE('',*,*,#11968,.F.); +#11970=ORIENTED_EDGE('',*,*,#9924,.T.); +#11971=EDGE_LOOP('',(#11966,#11967,#11969,#11970)); +#11972=FACE_OUTER_BOUND('',#11971,.F.); +#11974=CARTESIAN_POINT('',(-3.01752E1,-2.62509E0,-1.397E1)); +#11975=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11977=AXIS2_PLACEMENT_3D('',#11974,#11975,#11976); +#11978=PLANE('',#11977); +#11979=ORIENTED_EDGE('',*,*,#9922,.T.); +#11981=ORIENTED_EDGE('',*,*,#11980,.F.); +#11983=ORIENTED_EDGE('',*,*,#11982,.T.); +#11984=ORIENTED_EDGE('',*,*,#11923,.T.); +#11985=ORIENTED_EDGE('',*,*,#11952,.F.); +#11986=ORIENTED_EDGE('',*,*,#11965,.F.); +#11987=EDGE_LOOP('',(#11979,#11981,#11983,#11984,#11985,#11986)); +#11988=FACE_OUTER_BOUND('',#11987,.F.); +#11990=CARTESIAN_POINT('',(-3.01752E1,-2.62509E0,-1.5494E1)); +#11991=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#11992=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#11993=AXIS2_PLACEMENT_3D('',#11990,#11991,#11992); +#11994=PLANE('',#11993); +#11995=ORIENTED_EDGE('',*,*,#11980,.T.); +#11996=ORIENTED_EDGE('',*,*,#9928,.F.); +#11998=ORIENTED_EDGE('',*,*,#11997,.F.); +#12000=ORIENTED_EDGE('',*,*,#11999,.T.); +#12001=EDGE_LOOP('',(#11995,#11996,#11998,#12000)); +#12002=FACE_OUTER_BOUND('',#12001,.F.); +#12004=CARTESIAN_POINT('',(-3.07848E1,-2.22631E0,-1.397E1)); +#12005=DIRECTION('',(0.E0,1.E0,0.E0)); +#12006=DIRECTION('',(1.E0,0.E0,0.E0)); +#12007=AXIS2_PLACEMENT_3D('',#12004,#12005,#12006); +#12008=PLANE('',#12007); +#12010=ORIENTED_EDGE('',*,*,#12009,.F.); +#12011=ORIENTED_EDGE('',*,*,#11997,.T.); +#12012=ORIENTED_EDGE('',*,*,#9926,.T.); +#12013=ORIENTED_EDGE('',*,*,#11968,.T.); +#12014=ORIENTED_EDGE('',*,*,#11955,.T.); +#12015=ORIENTED_EDGE('',*,*,#11927,.T.); +#12016=EDGE_LOOP('',(#12010,#12011,#12012,#12013,#12014,#12015)); +#12017=FACE_OUTER_BOUND('',#12016,.F.); +#12019=CARTESIAN_POINT('',(-3.01752E1,-2.22631E0,-1.397E1)); +#12020=DIRECTION('',(1.E0,0.E0,0.E0)); +#12021=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12022=AXIS2_PLACEMENT_3D('',#12019,#12020,#12021); +#12023=PLANE('',#12022); +#12024=ORIENTED_EDGE('',*,*,#11999,.F.); +#12025=ORIENTED_EDGE('',*,*,#12009,.T.); +#12026=ORIENTED_EDGE('',*,*,#11925,.T.); +#12027=ORIENTED_EDGE('',*,*,#11982,.F.); +#12028=EDGE_LOOP('',(#12024,#12025,#12026,#12027)); +#12029=FACE_OUTER_BOUND('',#12028,.F.); +#12031=CARTESIAN_POINT('',(-3.07848E1,2.22631E0,-1.397E1)); +#12032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12033=DIRECTION('',(0.E0,1.E0,0.E0)); +#12034=AXIS2_PLACEMENT_3D('',#12031,#12032,#12033); +#12035=PLANE('',#12034); +#12037=ORIENTED_EDGE('',*,*,#12036,.F.); +#12038=ORIENTED_EDGE('',*,*,#11909,.T.); +#12039=ORIENTED_EDGE('',*,*,#11938,.T.); +#12040=ORIENTED_EDGE('',*,*,#11864,.F.); +#12041=EDGE_LOOP('',(#12037,#12038,#12039,#12040)); +#12042=FACE_OUTER_BOUND('',#12041,.F.); +#12044=CARTESIAN_POINT('',(-3.07848E1,2.62509E0,-1.5494E1)); +#12045=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12046=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#12047=AXIS2_PLACEMENT_3D('',#12044,#12045,#12046); +#12048=PLANE('',#12047); +#12049=ORIENTED_EDGE('',*,*,#11862,.T.); +#12050=ORIENTED_EDGE('',*,*,#9918,.F.); +#12051=ORIENTED_EDGE('',*,*,#11911,.F.); +#12052=ORIENTED_EDGE('',*,*,#12036,.T.); +#12053=EDGE_LOOP('',(#12049,#12050,#12051,#12052)); +#12054=FACE_OUTER_BOUND('',#12053,.F.); +#12056=CARTESIAN_POINT('',(-2.82448E1,2.62509E0,-1.397E1)); +#12057=DIRECTION('',(0.E0,1.E0,0.E0)); +#12058=DIRECTION('',(1.E0,0.E0,0.E0)); +#12059=AXIS2_PLACEMENT_3D('',#12056,#12057,#12058); +#12060=PLANE('',#12059); +#12062=ORIENTED_EDGE('',*,*,#12061,.F.); +#12064=ORIENTED_EDGE('',*,*,#12063,.F.); +#12065=ORIENTED_EDGE('',*,*,#9932,.T.); +#12067=ORIENTED_EDGE('',*,*,#12066,.F.); +#12069=ORIENTED_EDGE('',*,*,#12068,.T.); +#12071=ORIENTED_EDGE('',*,*,#12070,.T.); +#12072=EDGE_LOOP('',(#12062,#12064,#12065,#12067,#12069,#12071)); +#12073=FACE_OUTER_BOUND('',#12072,.F.); +#12075=CARTESIAN_POINT('',(-2.76352E1,2.62509E0,-1.397E1)); +#12076=DIRECTION('',(1.E0,0.E0,0.E0)); +#12077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12078=AXIS2_PLACEMENT_3D('',#12075,#12076,#12077); +#12079=PLANE('',#12078); +#12081=ORIENTED_EDGE('',*,*,#12080,.F.); +#12082=ORIENTED_EDGE('',*,*,#12061,.T.); +#12084=ORIENTED_EDGE('',*,*,#12083,.T.); +#12086=ORIENTED_EDGE('',*,*,#12085,.F.); +#12087=EDGE_LOOP('',(#12081,#12082,#12084,#12086)); +#12088=FACE_OUTER_BOUND('',#12087,.F.); +#12090=CARTESIAN_POINT('',(-2.72303875E1,2.62509E0,-1.397E1)); +#12091=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12092=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#12093=AXIS2_PLACEMENT_3D('',#12090,#12091,#12092); +#12094=PLANE('',#12093); +#12095=ORIENTED_EDGE('',*,*,#12063,.T.); +#12096=ORIENTED_EDGE('',*,*,#12080,.T.); +#12098=ORIENTED_EDGE('',*,*,#12097,.F.); +#12099=ORIENTED_EDGE('',*,*,#9934,.T.); +#12100=EDGE_LOOP('',(#12095,#12096,#12098,#12099)); +#12101=FACE_OUTER_BOUND('',#12100,.F.); +#12103=CARTESIAN_POINT('',(-2.76352E1,2.22631E0,-1.397E1)); +#12104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12106=AXIS2_PLACEMENT_3D('',#12103,#12104,#12105); +#12107=PLANE('',#12106); +#12108=ORIENTED_EDGE('',*,*,#9936,.T.); +#12109=ORIENTED_EDGE('',*,*,#12097,.T.); +#12110=ORIENTED_EDGE('',*,*,#12085,.T.); +#12112=ORIENTED_EDGE('',*,*,#12111,.T.); +#12114=ORIENTED_EDGE('',*,*,#12113,.F.); +#12116=ORIENTED_EDGE('',*,*,#12115,.T.); +#12117=EDGE_LOOP('',(#12108,#12109,#12110,#12112,#12114,#12116)); +#12118=FACE_OUTER_BOUND('',#12117,.F.); +#12120=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12121=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12122=DIRECTION('',(1.E0,0.E0,0.E0)); +#12123=AXIS2_PLACEMENT_3D('',#12120,#12121,#12122); +#12124=PLANE('',#12123); +#12126=ORIENTED_EDGE('',*,*,#12125,.F.); +#12128=ORIENTED_EDGE('',*,*,#12127,.F.); +#12130=ORIENTED_EDGE('',*,*,#12129,.F.); +#12132=ORIENTED_EDGE('',*,*,#12131,.F.); +#12133=EDGE_LOOP('',(#12126,#12128,#12130,#12132)); +#12134=FACE_OUTER_BOUND('',#12133,.F.); +#12136=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12137=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12138=DIRECTION('',(1.E0,0.E0,0.E0)); +#12139=AXIS2_PLACEMENT_3D('',#12136,#12137,#12138); +#12140=PLANE('',#12139); +#12141=ORIENTED_EDGE('',*,*,#12070,.F.); +#12143=ORIENTED_EDGE('',*,*,#12142,.F.); +#12144=ORIENTED_EDGE('',*,*,#12111,.F.); +#12145=ORIENTED_EDGE('',*,*,#12083,.F.); +#12146=EDGE_LOOP('',(#12141,#12143,#12144,#12145)); +#12147=FACE_OUTER_BOUND('',#12146,.F.); +#12149=CARTESIAN_POINT('',(-2.82448E1,-2.62509E0,-1.397E1)); +#12150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12151=DIRECTION('',(0.E0,1.E0,0.E0)); +#12152=AXIS2_PLACEMENT_3D('',#12149,#12150,#12151); +#12153=PLANE('',#12152); +#12155=ORIENTED_EDGE('',*,*,#12154,.F.); +#12157=ORIENTED_EDGE('',*,*,#12156,.T.); +#12158=ORIENTED_EDGE('',*,*,#12125,.T.); +#12160=ORIENTED_EDGE('',*,*,#12159,.F.); +#12161=EDGE_LOOP('',(#12155,#12157,#12158,#12160)); +#12162=FACE_OUTER_BOUND('',#12161,.F.); +#12164=CARTESIAN_POINT('',(-2.86496125E1,-2.62509E0,-1.397E1)); +#12165=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12166=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#12167=AXIS2_PLACEMENT_3D('',#12164,#12165,#12166); +#12168=PLANE('',#12167); +#12170=ORIENTED_EDGE('',*,*,#12169,.T.); +#12171=ORIENTED_EDGE('',*,*,#12154,.T.); +#12173=ORIENTED_EDGE('',*,*,#12172,.F.); +#12174=ORIENTED_EDGE('',*,*,#9944,.T.); +#12175=EDGE_LOOP('',(#12170,#12171,#12173,#12174)); +#12176=FACE_OUTER_BOUND('',#12175,.F.); +#12178=CARTESIAN_POINT('',(-2.76352E1,-2.62509E0,-1.397E1)); +#12179=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12181=AXIS2_PLACEMENT_3D('',#12178,#12179,#12180); +#12182=PLANE('',#12181); +#12183=ORIENTED_EDGE('',*,*,#9942,.T.); +#12185=ORIENTED_EDGE('',*,*,#12184,.F.); +#12187=ORIENTED_EDGE('',*,*,#12186,.T.); +#12188=ORIENTED_EDGE('',*,*,#12127,.T.); +#12189=ORIENTED_EDGE('',*,*,#12156,.F.); +#12190=ORIENTED_EDGE('',*,*,#12169,.F.); +#12191=EDGE_LOOP('',(#12183,#12185,#12187,#12188,#12189,#12190)); +#12192=FACE_OUTER_BOUND('',#12191,.F.); +#12194=CARTESIAN_POINT('',(-2.76352E1,-2.62509E0,-1.5494E1)); +#12195=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12196=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#12197=AXIS2_PLACEMENT_3D('',#12194,#12195,#12196); +#12198=PLANE('',#12197); +#12199=ORIENTED_EDGE('',*,*,#12184,.T.); +#12200=ORIENTED_EDGE('',*,*,#9948,.F.); +#12202=ORIENTED_EDGE('',*,*,#12201,.F.); +#12204=ORIENTED_EDGE('',*,*,#12203,.T.); +#12205=EDGE_LOOP('',(#12199,#12200,#12202,#12204)); +#12206=FACE_OUTER_BOUND('',#12205,.F.); +#12208=CARTESIAN_POINT('',(-2.82448E1,-2.22631E0,-1.397E1)); +#12209=DIRECTION('',(0.E0,1.E0,0.E0)); +#12210=DIRECTION('',(1.E0,0.E0,0.E0)); +#12211=AXIS2_PLACEMENT_3D('',#12208,#12209,#12210); +#12212=PLANE('',#12211); +#12214=ORIENTED_EDGE('',*,*,#12213,.F.); +#12215=ORIENTED_EDGE('',*,*,#12201,.T.); +#12216=ORIENTED_EDGE('',*,*,#9946,.T.); +#12217=ORIENTED_EDGE('',*,*,#12172,.T.); +#12218=ORIENTED_EDGE('',*,*,#12159,.T.); +#12219=ORIENTED_EDGE('',*,*,#12131,.T.); +#12220=EDGE_LOOP('',(#12214,#12215,#12216,#12217,#12218,#12219)); +#12221=FACE_OUTER_BOUND('',#12220,.F.); +#12223=CARTESIAN_POINT('',(-2.76352E1,-2.22631E0,-1.397E1)); +#12224=DIRECTION('',(1.E0,0.E0,0.E0)); +#12225=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12226=AXIS2_PLACEMENT_3D('',#12223,#12224,#12225); +#12227=PLANE('',#12226); +#12228=ORIENTED_EDGE('',*,*,#12203,.F.); +#12229=ORIENTED_EDGE('',*,*,#12213,.T.); +#12230=ORIENTED_EDGE('',*,*,#12129,.T.); +#12231=ORIENTED_EDGE('',*,*,#12186,.F.); +#12232=EDGE_LOOP('',(#12228,#12229,#12230,#12231)); +#12233=FACE_OUTER_BOUND('',#12232,.F.); +#12235=CARTESIAN_POINT('',(-2.82448E1,2.22631E0,-1.397E1)); +#12236=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12237=DIRECTION('',(0.E0,1.E0,0.E0)); +#12238=AXIS2_PLACEMENT_3D('',#12235,#12236,#12237); +#12239=PLANE('',#12238); +#12241=ORIENTED_EDGE('',*,*,#12240,.F.); +#12242=ORIENTED_EDGE('',*,*,#12113,.T.); +#12243=ORIENTED_EDGE('',*,*,#12142,.T.); +#12244=ORIENTED_EDGE('',*,*,#12068,.F.); +#12245=EDGE_LOOP('',(#12241,#12242,#12243,#12244)); +#12246=FACE_OUTER_BOUND('',#12245,.F.); +#12248=CARTESIAN_POINT('',(-2.82448E1,2.62509E0,-1.5494E1)); +#12249=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12250=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#12251=AXIS2_PLACEMENT_3D('',#12248,#12249,#12250); +#12252=PLANE('',#12251); +#12253=ORIENTED_EDGE('',*,*,#12066,.T.); +#12254=ORIENTED_EDGE('',*,*,#9938,.F.); +#12255=ORIENTED_EDGE('',*,*,#12115,.F.); +#12256=ORIENTED_EDGE('',*,*,#12240,.T.); +#12257=EDGE_LOOP('',(#12253,#12254,#12255,#12256)); +#12258=FACE_OUTER_BOUND('',#12257,.F.); +#12260=CARTESIAN_POINT('',(-2.57048E1,2.62509E0,-1.397E1)); +#12261=DIRECTION('',(0.E0,1.E0,0.E0)); +#12262=DIRECTION('',(1.E0,0.E0,0.E0)); +#12263=AXIS2_PLACEMENT_3D('',#12260,#12261,#12262); +#12264=PLANE('',#12263); +#12266=ORIENTED_EDGE('',*,*,#12265,.F.); +#12268=ORIENTED_EDGE('',*,*,#12267,.F.); +#12269=ORIENTED_EDGE('',*,*,#9952,.T.); +#12271=ORIENTED_EDGE('',*,*,#12270,.F.); +#12273=ORIENTED_EDGE('',*,*,#12272,.T.); +#12275=ORIENTED_EDGE('',*,*,#12274,.T.); +#12276=EDGE_LOOP('',(#12266,#12268,#12269,#12271,#12273,#12275)); +#12277=FACE_OUTER_BOUND('',#12276,.F.); +#12279=CARTESIAN_POINT('',(-2.50952E1,2.62509E0,-1.397E1)); +#12280=DIRECTION('',(1.E0,0.E0,0.E0)); +#12281=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12282=AXIS2_PLACEMENT_3D('',#12279,#12280,#12281); +#12283=PLANE('',#12282); +#12285=ORIENTED_EDGE('',*,*,#12284,.F.); +#12286=ORIENTED_EDGE('',*,*,#12265,.T.); +#12288=ORIENTED_EDGE('',*,*,#12287,.T.); +#12290=ORIENTED_EDGE('',*,*,#12289,.F.); +#12291=EDGE_LOOP('',(#12285,#12286,#12288,#12290)); +#12292=FACE_OUTER_BOUND('',#12291,.F.); +#12294=CARTESIAN_POINT('',(-2.46903875E1,2.62509E0,-1.397E1)); +#12295=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12296=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#12297=AXIS2_PLACEMENT_3D('',#12294,#12295,#12296); +#12298=PLANE('',#12297); +#12299=ORIENTED_EDGE('',*,*,#12267,.T.); +#12300=ORIENTED_EDGE('',*,*,#12284,.T.); +#12302=ORIENTED_EDGE('',*,*,#12301,.F.); +#12303=ORIENTED_EDGE('',*,*,#9954,.T.); +#12304=EDGE_LOOP('',(#12299,#12300,#12302,#12303)); +#12305=FACE_OUTER_BOUND('',#12304,.F.); +#12307=CARTESIAN_POINT('',(-2.50952E1,2.22631E0,-1.397E1)); +#12308=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12309=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12310=AXIS2_PLACEMENT_3D('',#12307,#12308,#12309); +#12311=PLANE('',#12310); +#12312=ORIENTED_EDGE('',*,*,#9956,.T.); +#12313=ORIENTED_EDGE('',*,*,#12301,.T.); +#12314=ORIENTED_EDGE('',*,*,#12289,.T.); +#12316=ORIENTED_EDGE('',*,*,#12315,.T.); +#12318=ORIENTED_EDGE('',*,*,#12317,.F.); +#12320=ORIENTED_EDGE('',*,*,#12319,.T.); +#12321=EDGE_LOOP('',(#12312,#12313,#12314,#12316,#12318,#12320)); +#12322=FACE_OUTER_BOUND('',#12321,.F.); +#12324=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12326=DIRECTION('',(1.E0,0.E0,0.E0)); +#12327=AXIS2_PLACEMENT_3D('',#12324,#12325,#12326); +#12328=PLANE('',#12327); +#12330=ORIENTED_EDGE('',*,*,#12329,.F.); +#12332=ORIENTED_EDGE('',*,*,#12331,.F.); +#12334=ORIENTED_EDGE('',*,*,#12333,.F.); +#12336=ORIENTED_EDGE('',*,*,#12335,.F.); +#12337=EDGE_LOOP('',(#12330,#12332,#12334,#12336)); +#12338=FACE_OUTER_BOUND('',#12337,.F.); +#12340=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12342=DIRECTION('',(1.E0,0.E0,0.E0)); +#12343=AXIS2_PLACEMENT_3D('',#12340,#12341,#12342); +#12344=PLANE('',#12343); +#12345=ORIENTED_EDGE('',*,*,#12274,.F.); +#12347=ORIENTED_EDGE('',*,*,#12346,.F.); +#12348=ORIENTED_EDGE('',*,*,#12315,.F.); +#12349=ORIENTED_EDGE('',*,*,#12287,.F.); +#12350=EDGE_LOOP('',(#12345,#12347,#12348,#12349)); +#12351=FACE_OUTER_BOUND('',#12350,.F.); +#12353=CARTESIAN_POINT('',(-2.57048E1,-2.62509E0,-1.397E1)); +#12354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12355=DIRECTION('',(0.E0,1.E0,0.E0)); +#12356=AXIS2_PLACEMENT_3D('',#12353,#12354,#12355); +#12357=PLANE('',#12356); +#12359=ORIENTED_EDGE('',*,*,#12358,.F.); +#12361=ORIENTED_EDGE('',*,*,#12360,.T.); +#12362=ORIENTED_EDGE('',*,*,#12329,.T.); +#12364=ORIENTED_EDGE('',*,*,#12363,.F.); +#12365=EDGE_LOOP('',(#12359,#12361,#12362,#12364)); +#12366=FACE_OUTER_BOUND('',#12365,.F.); +#12368=CARTESIAN_POINT('',(-2.61096125E1,-2.62509E0,-1.397E1)); +#12369=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12370=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#12371=AXIS2_PLACEMENT_3D('',#12368,#12369,#12370); +#12372=PLANE('',#12371); +#12374=ORIENTED_EDGE('',*,*,#12373,.T.); +#12375=ORIENTED_EDGE('',*,*,#12358,.T.); +#12377=ORIENTED_EDGE('',*,*,#12376,.F.); +#12378=ORIENTED_EDGE('',*,*,#9964,.T.); +#12379=EDGE_LOOP('',(#12374,#12375,#12377,#12378)); +#12380=FACE_OUTER_BOUND('',#12379,.F.); +#12382=CARTESIAN_POINT('',(-2.50952E1,-2.62509E0,-1.397E1)); +#12383=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12384=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12385=AXIS2_PLACEMENT_3D('',#12382,#12383,#12384); +#12386=PLANE('',#12385); +#12387=ORIENTED_EDGE('',*,*,#9962,.T.); +#12389=ORIENTED_EDGE('',*,*,#12388,.F.); +#12391=ORIENTED_EDGE('',*,*,#12390,.T.); +#12392=ORIENTED_EDGE('',*,*,#12331,.T.); +#12393=ORIENTED_EDGE('',*,*,#12360,.F.); +#12394=ORIENTED_EDGE('',*,*,#12373,.F.); +#12395=EDGE_LOOP('',(#12387,#12389,#12391,#12392,#12393,#12394)); +#12396=FACE_OUTER_BOUND('',#12395,.F.); +#12398=CARTESIAN_POINT('',(-2.50952E1,-2.62509E0,-1.5494E1)); +#12399=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#12400=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#12401=AXIS2_PLACEMENT_3D('',#12398,#12399,#12400); +#12402=PLANE('',#12401); +#12403=ORIENTED_EDGE('',*,*,#12388,.T.); +#12404=ORIENTED_EDGE('',*,*,#9968,.F.); +#12406=ORIENTED_EDGE('',*,*,#12405,.F.); +#12408=ORIENTED_EDGE('',*,*,#12407,.T.); +#12409=EDGE_LOOP('',(#12403,#12404,#12406,#12408)); +#12410=FACE_OUTER_BOUND('',#12409,.F.); +#12412=CARTESIAN_POINT('',(-2.57048E1,-2.22631E0,-1.397E1)); +#12413=DIRECTION('',(0.E0,1.E0,0.E0)); +#12414=DIRECTION('',(1.E0,0.E0,0.E0)); +#12415=AXIS2_PLACEMENT_3D('',#12412,#12413,#12414); +#12416=PLANE('',#12415); +#12418=ORIENTED_EDGE('',*,*,#12417,.F.); +#12419=ORIENTED_EDGE('',*,*,#12405,.T.); +#12420=ORIENTED_EDGE('',*,*,#9966,.T.); +#12421=ORIENTED_EDGE('',*,*,#12376,.T.); +#12422=ORIENTED_EDGE('',*,*,#12363,.T.); +#12423=ORIENTED_EDGE('',*,*,#12335,.T.); +#12424=EDGE_LOOP('',(#12418,#12419,#12420,#12421,#12422,#12423)); +#12425=FACE_OUTER_BOUND('',#12424,.F.); +#12427=CARTESIAN_POINT('',(-2.50952E1,-2.22631E0,-1.397E1)); +#12428=DIRECTION('',(1.E0,0.E0,0.E0)); +#12429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12430=AXIS2_PLACEMENT_3D('',#12427,#12428,#12429); +#12431=PLANE('',#12430); +#12432=ORIENTED_EDGE('',*,*,#12407,.F.); +#12433=ORIENTED_EDGE('',*,*,#12417,.T.); +#12434=ORIENTED_EDGE('',*,*,#12333,.T.); +#12435=ORIENTED_EDGE('',*,*,#12390,.F.); +#12436=EDGE_LOOP('',(#12432,#12433,#12434,#12435)); +#12437=FACE_OUTER_BOUND('',#12436,.F.); +#12439=CARTESIAN_POINT('',(-2.57048E1,2.22631E0,-1.397E1)); +#12440=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12441=DIRECTION('',(0.E0,1.E0,0.E0)); +#12442=AXIS2_PLACEMENT_3D('',#12439,#12440,#12441); +#12443=PLANE('',#12442); +#12445=ORIENTED_EDGE('',*,*,#12444,.F.); +#12446=ORIENTED_EDGE('',*,*,#12317,.T.); +#12447=ORIENTED_EDGE('',*,*,#12346,.T.); +#12448=ORIENTED_EDGE('',*,*,#12272,.F.); +#12449=EDGE_LOOP('',(#12445,#12446,#12447,#12448)); +#12450=FACE_OUTER_BOUND('',#12449,.F.); +#12452=CARTESIAN_POINT('',(-2.57048E1,2.62509E0,-1.5494E1)); +#12453=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12454=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#12455=AXIS2_PLACEMENT_3D('',#12452,#12453,#12454); +#12456=PLANE('',#12455); +#12457=ORIENTED_EDGE('',*,*,#12270,.T.); +#12458=ORIENTED_EDGE('',*,*,#9958,.F.); +#12459=ORIENTED_EDGE('',*,*,#12319,.F.); +#12460=ORIENTED_EDGE('',*,*,#12444,.T.); +#12461=EDGE_LOOP('',(#12457,#12458,#12459,#12460)); +#12462=FACE_OUTER_BOUND('',#12461,.F.); +#12464=CARTESIAN_POINT('',(-2.31648E1,2.62509E0,-1.397E1)); +#12465=DIRECTION('',(0.E0,1.E0,0.E0)); +#12466=DIRECTION('',(1.E0,0.E0,0.E0)); +#12467=AXIS2_PLACEMENT_3D('',#12464,#12465,#12466); +#12468=PLANE('',#12467); +#12470=ORIENTED_EDGE('',*,*,#12469,.F.); +#12472=ORIENTED_EDGE('',*,*,#12471,.F.); +#12473=ORIENTED_EDGE('',*,*,#9972,.T.); +#12475=ORIENTED_EDGE('',*,*,#12474,.F.); +#12477=ORIENTED_EDGE('',*,*,#12476,.T.); +#12479=ORIENTED_EDGE('',*,*,#12478,.T.); +#12480=EDGE_LOOP('',(#12470,#12472,#12473,#12475,#12477,#12479)); +#12481=FACE_OUTER_BOUND('',#12480,.F.); +#12483=CARTESIAN_POINT('',(-2.25552E1,2.62509E0,-1.397E1)); +#12484=DIRECTION('',(1.E0,0.E0,0.E0)); +#12485=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12486=AXIS2_PLACEMENT_3D('',#12483,#12484,#12485); +#12487=PLANE('',#12486); +#12489=ORIENTED_EDGE('',*,*,#12488,.F.); +#12490=ORIENTED_EDGE('',*,*,#12469,.T.); +#12492=ORIENTED_EDGE('',*,*,#12491,.T.); +#12494=ORIENTED_EDGE('',*,*,#12493,.F.); +#12495=EDGE_LOOP('',(#12489,#12490,#12492,#12494)); +#12496=FACE_OUTER_BOUND('',#12495,.F.); +#12498=CARTESIAN_POINT('',(-2.21503875E1,2.62509E0,-1.397E1)); +#12499=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12500=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#12501=AXIS2_PLACEMENT_3D('',#12498,#12499,#12500); +#12502=PLANE('',#12501); +#12503=ORIENTED_EDGE('',*,*,#12471,.T.); +#12504=ORIENTED_EDGE('',*,*,#12488,.T.); +#12506=ORIENTED_EDGE('',*,*,#12505,.F.); +#12507=ORIENTED_EDGE('',*,*,#9974,.T.); +#12508=EDGE_LOOP('',(#12503,#12504,#12506,#12507)); +#12509=FACE_OUTER_BOUND('',#12508,.F.); +#12511=CARTESIAN_POINT('',(-2.25552E1,2.22631E0,-1.397E1)); +#12512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12513=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12514=AXIS2_PLACEMENT_3D('',#12511,#12512,#12513); +#12515=PLANE('',#12514); +#12516=ORIENTED_EDGE('',*,*,#9976,.T.); +#12517=ORIENTED_EDGE('',*,*,#12505,.T.); +#12518=ORIENTED_EDGE('',*,*,#12493,.T.); +#12520=ORIENTED_EDGE('',*,*,#12519,.T.); +#12522=ORIENTED_EDGE('',*,*,#12521,.F.); +#12524=ORIENTED_EDGE('',*,*,#12523,.T.); +#12525=EDGE_LOOP('',(#12516,#12517,#12518,#12520,#12522,#12524)); +#12526=FACE_OUTER_BOUND('',#12525,.F.); +#12528=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12529=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12530=DIRECTION('',(1.E0,0.E0,0.E0)); +#12531=AXIS2_PLACEMENT_3D('',#12528,#12529,#12530); +#12532=PLANE('',#12531); +#12534=ORIENTED_EDGE('',*,*,#12533,.F.); +#12536=ORIENTED_EDGE('',*,*,#12535,.F.); +#12538=ORIENTED_EDGE('',*,*,#12537,.F.); +#12540=ORIENTED_EDGE('',*,*,#12539,.F.); +#12541=EDGE_LOOP('',(#12534,#12536,#12538,#12540)); +#12542=FACE_OUTER_BOUND('',#12541,.F.); +#12544=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12546=DIRECTION('',(1.E0,0.E0,0.E0)); +#12547=AXIS2_PLACEMENT_3D('',#12544,#12545,#12546); +#12548=PLANE('',#12547); +#12549=ORIENTED_EDGE('',*,*,#12478,.F.); +#12551=ORIENTED_EDGE('',*,*,#12550,.F.); +#12552=ORIENTED_EDGE('',*,*,#12519,.F.); +#12553=ORIENTED_EDGE('',*,*,#12491,.F.); +#12554=EDGE_LOOP('',(#12549,#12551,#12552,#12553)); +#12555=FACE_OUTER_BOUND('',#12554,.F.); +#12557=CARTESIAN_POINT('',(-2.31648E1,-2.62509E0,-1.397E1)); +#12558=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12559=DIRECTION('',(0.E0,1.E0,0.E0)); +#12560=AXIS2_PLACEMENT_3D('',#12557,#12558,#12559); +#12561=PLANE('',#12560); +#12563=ORIENTED_EDGE('',*,*,#12562,.F.); +#12565=ORIENTED_EDGE('',*,*,#12564,.T.); +#12566=ORIENTED_EDGE('',*,*,#12533,.T.); +#12568=ORIENTED_EDGE('',*,*,#12567,.F.); +#12569=EDGE_LOOP('',(#12563,#12565,#12566,#12568)); +#12570=FACE_OUTER_BOUND('',#12569,.F.); +#12572=CARTESIAN_POINT('',(-2.35696125E1,-2.62509E0,-1.397E1)); +#12573=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#12574=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#12575=AXIS2_PLACEMENT_3D('',#12572,#12573,#12574); +#12576=PLANE('',#12575); +#12578=ORIENTED_EDGE('',*,*,#12577,.T.); +#12579=ORIENTED_EDGE('',*,*,#12562,.T.); +#12581=ORIENTED_EDGE('',*,*,#12580,.F.); +#12582=ORIENTED_EDGE('',*,*,#9984,.T.); +#12583=EDGE_LOOP('',(#12578,#12579,#12581,#12582)); +#12584=FACE_OUTER_BOUND('',#12583,.F.); +#12586=CARTESIAN_POINT('',(-2.25552E1,-2.62509E0,-1.397E1)); +#12587=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12589=AXIS2_PLACEMENT_3D('',#12586,#12587,#12588); +#12590=PLANE('',#12589); +#12591=ORIENTED_EDGE('',*,*,#9982,.T.); +#12593=ORIENTED_EDGE('',*,*,#12592,.F.); +#12595=ORIENTED_EDGE('',*,*,#12594,.T.); +#12596=ORIENTED_EDGE('',*,*,#12535,.T.); +#12597=ORIENTED_EDGE('',*,*,#12564,.F.); +#12598=ORIENTED_EDGE('',*,*,#12577,.F.); +#12599=EDGE_LOOP('',(#12591,#12593,#12595,#12596,#12597,#12598)); +#12600=FACE_OUTER_BOUND('',#12599,.F.); +#12602=CARTESIAN_POINT('',(-2.25552E1,-2.62509E0,-1.5494E1)); +#12603=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12604=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#12605=AXIS2_PLACEMENT_3D('',#12602,#12603,#12604); +#12606=PLANE('',#12605); +#12607=ORIENTED_EDGE('',*,*,#12592,.T.); +#12608=ORIENTED_EDGE('',*,*,#9988,.F.); +#12610=ORIENTED_EDGE('',*,*,#12609,.F.); +#12612=ORIENTED_EDGE('',*,*,#12611,.T.); +#12613=EDGE_LOOP('',(#12607,#12608,#12610,#12612)); +#12614=FACE_OUTER_BOUND('',#12613,.F.); +#12616=CARTESIAN_POINT('',(-2.31648E1,-2.22631E0,-1.397E1)); +#12617=DIRECTION('',(0.E0,1.E0,0.E0)); +#12618=DIRECTION('',(1.E0,0.E0,0.E0)); +#12619=AXIS2_PLACEMENT_3D('',#12616,#12617,#12618); +#12620=PLANE('',#12619); +#12622=ORIENTED_EDGE('',*,*,#12621,.F.); +#12623=ORIENTED_EDGE('',*,*,#12609,.T.); +#12624=ORIENTED_EDGE('',*,*,#9986,.T.); +#12625=ORIENTED_EDGE('',*,*,#12580,.T.); +#12626=ORIENTED_EDGE('',*,*,#12567,.T.); +#12627=ORIENTED_EDGE('',*,*,#12539,.T.); +#12628=EDGE_LOOP('',(#12622,#12623,#12624,#12625,#12626,#12627)); +#12629=FACE_OUTER_BOUND('',#12628,.F.); +#12631=CARTESIAN_POINT('',(-2.25552E1,-2.22631E0,-1.397E1)); +#12632=DIRECTION('',(1.E0,0.E0,0.E0)); +#12633=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12634=AXIS2_PLACEMENT_3D('',#12631,#12632,#12633); +#12635=PLANE('',#12634); +#12636=ORIENTED_EDGE('',*,*,#12611,.F.); +#12637=ORIENTED_EDGE('',*,*,#12621,.T.); +#12638=ORIENTED_EDGE('',*,*,#12537,.T.); +#12639=ORIENTED_EDGE('',*,*,#12594,.F.); +#12640=EDGE_LOOP('',(#12636,#12637,#12638,#12639)); +#12641=FACE_OUTER_BOUND('',#12640,.F.); +#12643=CARTESIAN_POINT('',(-2.31648E1,2.22631E0,-1.397E1)); +#12644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12645=DIRECTION('',(0.E0,1.E0,0.E0)); +#12646=AXIS2_PLACEMENT_3D('',#12643,#12644,#12645); +#12647=PLANE('',#12646); +#12649=ORIENTED_EDGE('',*,*,#12648,.F.); +#12650=ORIENTED_EDGE('',*,*,#12521,.T.); +#12651=ORIENTED_EDGE('',*,*,#12550,.T.); +#12652=ORIENTED_EDGE('',*,*,#12476,.F.); +#12653=EDGE_LOOP('',(#12649,#12650,#12651,#12652)); +#12654=FACE_OUTER_BOUND('',#12653,.F.); +#12656=CARTESIAN_POINT('',(-2.31648E1,2.62509E0,-1.5494E1)); +#12657=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12658=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#12659=AXIS2_PLACEMENT_3D('',#12656,#12657,#12658); +#12660=PLANE('',#12659); +#12661=ORIENTED_EDGE('',*,*,#12474,.T.); +#12662=ORIENTED_EDGE('',*,*,#9978,.F.); +#12663=ORIENTED_EDGE('',*,*,#12523,.F.); +#12664=ORIENTED_EDGE('',*,*,#12648,.T.); +#12665=EDGE_LOOP('',(#12661,#12662,#12663,#12664)); +#12666=FACE_OUTER_BOUND('',#12665,.F.); +#12668=CARTESIAN_POINT('',(-2.06248E1,2.62509E0,-1.397E1)); +#12669=DIRECTION('',(0.E0,1.E0,0.E0)); +#12670=DIRECTION('',(1.E0,0.E0,0.E0)); +#12671=AXIS2_PLACEMENT_3D('',#12668,#12669,#12670); +#12672=PLANE('',#12671); +#12674=ORIENTED_EDGE('',*,*,#12673,.F.); +#12676=ORIENTED_EDGE('',*,*,#12675,.F.); +#12677=ORIENTED_EDGE('',*,*,#9992,.T.); +#12679=ORIENTED_EDGE('',*,*,#12678,.F.); +#12681=ORIENTED_EDGE('',*,*,#12680,.T.); +#12683=ORIENTED_EDGE('',*,*,#12682,.T.); +#12684=EDGE_LOOP('',(#12674,#12676,#12677,#12679,#12681,#12683)); +#12685=FACE_OUTER_BOUND('',#12684,.F.); +#12687=CARTESIAN_POINT('',(-2.00152E1,2.62509E0,-1.397E1)); +#12688=DIRECTION('',(1.E0,0.E0,0.E0)); +#12689=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12690=AXIS2_PLACEMENT_3D('',#12687,#12688,#12689); +#12691=PLANE('',#12690); +#12693=ORIENTED_EDGE('',*,*,#12692,.F.); +#12694=ORIENTED_EDGE('',*,*,#12673,.T.); +#12696=ORIENTED_EDGE('',*,*,#12695,.T.); +#12698=ORIENTED_EDGE('',*,*,#12697,.F.); +#12699=EDGE_LOOP('',(#12693,#12694,#12696,#12698)); +#12700=FACE_OUTER_BOUND('',#12699,.F.); +#12702=CARTESIAN_POINT('',(-1.96103875E1,2.62509E0,-1.397E1)); +#12703=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12704=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#12705=AXIS2_PLACEMENT_3D('',#12702,#12703,#12704); +#12706=PLANE('',#12705); +#12707=ORIENTED_EDGE('',*,*,#12675,.T.); +#12708=ORIENTED_EDGE('',*,*,#12692,.T.); +#12710=ORIENTED_EDGE('',*,*,#12709,.F.); +#12711=ORIENTED_EDGE('',*,*,#9994,.T.); +#12712=EDGE_LOOP('',(#12707,#12708,#12710,#12711)); +#12713=FACE_OUTER_BOUND('',#12712,.F.); +#12715=CARTESIAN_POINT('',(-2.00152E1,2.22631E0,-1.397E1)); +#12716=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12717=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12718=AXIS2_PLACEMENT_3D('',#12715,#12716,#12717); +#12719=PLANE('',#12718); +#12720=ORIENTED_EDGE('',*,*,#9996,.T.); +#12721=ORIENTED_EDGE('',*,*,#12709,.T.); +#12722=ORIENTED_EDGE('',*,*,#12697,.T.); +#12724=ORIENTED_EDGE('',*,*,#12723,.T.); +#12726=ORIENTED_EDGE('',*,*,#12725,.F.); +#12728=ORIENTED_EDGE('',*,*,#12727,.T.); +#12729=EDGE_LOOP('',(#12720,#12721,#12722,#12724,#12726,#12728)); +#12730=FACE_OUTER_BOUND('',#12729,.F.); +#12732=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12733=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12734=DIRECTION('',(1.E0,0.E0,0.E0)); +#12735=AXIS2_PLACEMENT_3D('',#12732,#12733,#12734); +#12736=PLANE('',#12735); +#12738=ORIENTED_EDGE('',*,*,#12737,.F.); +#12740=ORIENTED_EDGE('',*,*,#12739,.F.); +#12742=ORIENTED_EDGE('',*,*,#12741,.F.); +#12744=ORIENTED_EDGE('',*,*,#12743,.F.); +#12745=EDGE_LOOP('',(#12738,#12740,#12742,#12744)); +#12746=FACE_OUTER_BOUND('',#12745,.F.); +#12748=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12750=DIRECTION('',(1.E0,0.E0,0.E0)); +#12751=AXIS2_PLACEMENT_3D('',#12748,#12749,#12750); +#12752=PLANE('',#12751); +#12753=ORIENTED_EDGE('',*,*,#12682,.F.); +#12755=ORIENTED_EDGE('',*,*,#12754,.F.); +#12756=ORIENTED_EDGE('',*,*,#12723,.F.); +#12757=ORIENTED_EDGE('',*,*,#12695,.F.); +#12758=EDGE_LOOP('',(#12753,#12755,#12756,#12757)); +#12759=FACE_OUTER_BOUND('',#12758,.F.); +#12761=CARTESIAN_POINT('',(-2.06248E1,-2.62509E0,-1.397E1)); +#12762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12763=DIRECTION('',(0.E0,1.E0,0.E0)); +#12764=AXIS2_PLACEMENT_3D('',#12761,#12762,#12763); +#12765=PLANE('',#12764); +#12767=ORIENTED_EDGE('',*,*,#12766,.F.); +#12769=ORIENTED_EDGE('',*,*,#12768,.T.); +#12770=ORIENTED_EDGE('',*,*,#12737,.T.); +#12772=ORIENTED_EDGE('',*,*,#12771,.F.); +#12773=EDGE_LOOP('',(#12767,#12769,#12770,#12772)); +#12774=FACE_OUTER_BOUND('',#12773,.F.); +#12776=CARTESIAN_POINT('',(-2.10296125E1,-2.62509E0,-1.397E1)); +#12777=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#12778=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#12779=AXIS2_PLACEMENT_3D('',#12776,#12777,#12778); +#12780=PLANE('',#12779); +#12782=ORIENTED_EDGE('',*,*,#12781,.T.); +#12783=ORIENTED_EDGE('',*,*,#12766,.T.); +#12785=ORIENTED_EDGE('',*,*,#12784,.F.); +#12786=ORIENTED_EDGE('',*,*,#10004,.T.); +#12787=EDGE_LOOP('',(#12782,#12783,#12785,#12786)); +#12788=FACE_OUTER_BOUND('',#12787,.F.); +#12790=CARTESIAN_POINT('',(-2.00152E1,-2.62509E0,-1.397E1)); +#12791=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12792=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12793=AXIS2_PLACEMENT_3D('',#12790,#12791,#12792); +#12794=PLANE('',#12793); +#12795=ORIENTED_EDGE('',*,*,#10002,.T.); +#12797=ORIENTED_EDGE('',*,*,#12796,.F.); +#12799=ORIENTED_EDGE('',*,*,#12798,.T.); +#12800=ORIENTED_EDGE('',*,*,#12739,.T.); +#12801=ORIENTED_EDGE('',*,*,#12768,.F.); +#12802=ORIENTED_EDGE('',*,*,#12781,.F.); +#12803=EDGE_LOOP('',(#12795,#12797,#12799,#12800,#12801,#12802)); +#12804=FACE_OUTER_BOUND('',#12803,.F.); +#12806=CARTESIAN_POINT('',(-2.00152E1,-2.62509E0,-1.5494E1)); +#12807=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#12808=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#12809=AXIS2_PLACEMENT_3D('',#12806,#12807,#12808); +#12810=PLANE('',#12809); +#12811=ORIENTED_EDGE('',*,*,#12796,.T.); +#12812=ORIENTED_EDGE('',*,*,#10008,.F.); +#12814=ORIENTED_EDGE('',*,*,#12813,.F.); +#12816=ORIENTED_EDGE('',*,*,#12815,.T.); +#12817=EDGE_LOOP('',(#12811,#12812,#12814,#12816)); +#12818=FACE_OUTER_BOUND('',#12817,.F.); +#12820=CARTESIAN_POINT('',(-2.06248E1,-2.22631E0,-1.397E1)); +#12821=DIRECTION('',(0.E0,1.E0,0.E0)); +#12822=DIRECTION('',(1.E0,0.E0,0.E0)); +#12823=AXIS2_PLACEMENT_3D('',#12820,#12821,#12822); +#12824=PLANE('',#12823); +#12826=ORIENTED_EDGE('',*,*,#12825,.F.); +#12827=ORIENTED_EDGE('',*,*,#12813,.T.); +#12828=ORIENTED_EDGE('',*,*,#10006,.T.); +#12829=ORIENTED_EDGE('',*,*,#12784,.T.); +#12830=ORIENTED_EDGE('',*,*,#12771,.T.); +#12831=ORIENTED_EDGE('',*,*,#12743,.T.); +#12832=EDGE_LOOP('',(#12826,#12827,#12828,#12829,#12830,#12831)); +#12833=FACE_OUTER_BOUND('',#12832,.F.); +#12835=CARTESIAN_POINT('',(-2.00152E1,-2.22631E0,-1.397E1)); +#12836=DIRECTION('',(1.E0,0.E0,0.E0)); +#12837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12838=AXIS2_PLACEMENT_3D('',#12835,#12836,#12837); +#12839=PLANE('',#12838); +#12840=ORIENTED_EDGE('',*,*,#12815,.F.); +#12841=ORIENTED_EDGE('',*,*,#12825,.T.); +#12842=ORIENTED_EDGE('',*,*,#12741,.T.); +#12843=ORIENTED_EDGE('',*,*,#12798,.F.); +#12844=EDGE_LOOP('',(#12840,#12841,#12842,#12843)); +#12845=FACE_OUTER_BOUND('',#12844,.F.); +#12847=CARTESIAN_POINT('',(-2.06248E1,2.22631E0,-1.397E1)); +#12848=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12849=DIRECTION('',(0.E0,1.E0,0.E0)); +#12850=AXIS2_PLACEMENT_3D('',#12847,#12848,#12849); +#12851=PLANE('',#12850); +#12853=ORIENTED_EDGE('',*,*,#12852,.F.); +#12854=ORIENTED_EDGE('',*,*,#12725,.T.); +#12855=ORIENTED_EDGE('',*,*,#12754,.T.); +#12856=ORIENTED_EDGE('',*,*,#12680,.F.); +#12857=EDGE_LOOP('',(#12853,#12854,#12855,#12856)); +#12858=FACE_OUTER_BOUND('',#12857,.F.); +#12860=CARTESIAN_POINT('',(-2.06248E1,2.62509E0,-1.5494E1)); +#12861=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#12862=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#12863=AXIS2_PLACEMENT_3D('',#12860,#12861,#12862); +#12864=PLANE('',#12863); +#12865=ORIENTED_EDGE('',*,*,#12678,.T.); +#12866=ORIENTED_EDGE('',*,*,#9998,.F.); +#12867=ORIENTED_EDGE('',*,*,#12727,.F.); +#12868=ORIENTED_EDGE('',*,*,#12852,.T.); +#12869=EDGE_LOOP('',(#12865,#12866,#12867,#12868)); +#12870=FACE_OUTER_BOUND('',#12869,.F.); +#12872=CARTESIAN_POINT('',(-1.80848E1,2.62509E0,-1.397E1)); +#12873=DIRECTION('',(0.E0,1.E0,0.E0)); +#12874=DIRECTION('',(1.E0,0.E0,0.E0)); +#12875=AXIS2_PLACEMENT_3D('',#12872,#12873,#12874); +#12876=PLANE('',#12875); +#12878=ORIENTED_EDGE('',*,*,#12877,.F.); +#12880=ORIENTED_EDGE('',*,*,#12879,.F.); +#12881=ORIENTED_EDGE('',*,*,#10012,.T.); +#12883=ORIENTED_EDGE('',*,*,#12882,.F.); +#12885=ORIENTED_EDGE('',*,*,#12884,.T.); +#12887=ORIENTED_EDGE('',*,*,#12886,.T.); +#12888=EDGE_LOOP('',(#12878,#12880,#12881,#12883,#12885,#12887)); +#12889=FACE_OUTER_BOUND('',#12888,.F.); +#12891=CARTESIAN_POINT('',(-1.74752E1,2.62509E0,-1.397E1)); +#12892=DIRECTION('',(1.E0,0.E0,0.E0)); +#12893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12894=AXIS2_PLACEMENT_3D('',#12891,#12892,#12893); +#12895=PLANE('',#12894); +#12897=ORIENTED_EDGE('',*,*,#12896,.F.); +#12898=ORIENTED_EDGE('',*,*,#12877,.T.); +#12900=ORIENTED_EDGE('',*,*,#12899,.T.); +#12902=ORIENTED_EDGE('',*,*,#12901,.F.); +#12903=EDGE_LOOP('',(#12897,#12898,#12900,#12902)); +#12904=FACE_OUTER_BOUND('',#12903,.F.); +#12906=CARTESIAN_POINT('',(-1.70703875E1,2.62509E0,-1.397E1)); +#12907=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12908=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#12909=AXIS2_PLACEMENT_3D('',#12906,#12907,#12908); +#12910=PLANE('',#12909); +#12911=ORIENTED_EDGE('',*,*,#12879,.T.); +#12912=ORIENTED_EDGE('',*,*,#12896,.T.); +#12914=ORIENTED_EDGE('',*,*,#12913,.F.); +#12915=ORIENTED_EDGE('',*,*,#10014,.T.); +#12916=EDGE_LOOP('',(#12911,#12912,#12914,#12915)); +#12917=FACE_OUTER_BOUND('',#12916,.F.); +#12919=CARTESIAN_POINT('',(-1.74752E1,2.22631E0,-1.397E1)); +#12920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12922=AXIS2_PLACEMENT_3D('',#12919,#12920,#12921); +#12923=PLANE('',#12922); +#12924=ORIENTED_EDGE('',*,*,#10016,.T.); +#12925=ORIENTED_EDGE('',*,*,#12913,.T.); +#12926=ORIENTED_EDGE('',*,*,#12901,.T.); +#12928=ORIENTED_EDGE('',*,*,#12927,.T.); +#12930=ORIENTED_EDGE('',*,*,#12929,.F.); +#12932=ORIENTED_EDGE('',*,*,#12931,.T.); +#12933=EDGE_LOOP('',(#12924,#12925,#12926,#12928,#12930,#12932)); +#12934=FACE_OUTER_BOUND('',#12933,.F.); +#12936=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12938=DIRECTION('',(1.E0,0.E0,0.E0)); +#12939=AXIS2_PLACEMENT_3D('',#12936,#12937,#12938); +#12940=PLANE('',#12939); +#12942=ORIENTED_EDGE('',*,*,#12941,.F.); +#12944=ORIENTED_EDGE('',*,*,#12943,.F.); +#12946=ORIENTED_EDGE('',*,*,#12945,.F.); +#12948=ORIENTED_EDGE('',*,*,#12947,.F.); +#12949=EDGE_LOOP('',(#12942,#12944,#12946,#12948)); +#12950=FACE_OUTER_BOUND('',#12949,.F.); +#12952=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#12953=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12954=DIRECTION('',(1.E0,0.E0,0.E0)); +#12955=AXIS2_PLACEMENT_3D('',#12952,#12953,#12954); +#12956=PLANE('',#12955); +#12957=ORIENTED_EDGE('',*,*,#12886,.F.); +#12959=ORIENTED_EDGE('',*,*,#12958,.F.); +#12960=ORIENTED_EDGE('',*,*,#12927,.F.); +#12961=ORIENTED_EDGE('',*,*,#12899,.F.); +#12962=EDGE_LOOP('',(#12957,#12959,#12960,#12961)); +#12963=FACE_OUTER_BOUND('',#12962,.F.); +#12965=CARTESIAN_POINT('',(-1.80848E1,-2.62509E0,-1.397E1)); +#12966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12967=DIRECTION('',(0.E0,1.E0,0.E0)); +#12968=AXIS2_PLACEMENT_3D('',#12965,#12966,#12967); +#12969=PLANE('',#12968); +#12971=ORIENTED_EDGE('',*,*,#12970,.F.); +#12973=ORIENTED_EDGE('',*,*,#12972,.T.); +#12974=ORIENTED_EDGE('',*,*,#12941,.T.); +#12976=ORIENTED_EDGE('',*,*,#12975,.F.); +#12977=EDGE_LOOP('',(#12971,#12973,#12974,#12976)); +#12978=FACE_OUTER_BOUND('',#12977,.F.); +#12980=CARTESIAN_POINT('',(-1.84896125E1,-2.62509E0,-1.397E1)); +#12981=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#12982=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#12983=AXIS2_PLACEMENT_3D('',#12980,#12981,#12982); +#12984=PLANE('',#12983); +#12986=ORIENTED_EDGE('',*,*,#12985,.T.); +#12987=ORIENTED_EDGE('',*,*,#12970,.T.); +#12989=ORIENTED_EDGE('',*,*,#12988,.F.); +#12990=ORIENTED_EDGE('',*,*,#10024,.T.); +#12991=EDGE_LOOP('',(#12986,#12987,#12989,#12990)); +#12992=FACE_OUTER_BOUND('',#12991,.F.); +#12994=CARTESIAN_POINT('',(-1.74752E1,-2.62509E0,-1.397E1)); +#12995=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12996=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12997=AXIS2_PLACEMENT_3D('',#12994,#12995,#12996); +#12998=PLANE('',#12997); +#12999=ORIENTED_EDGE('',*,*,#10022,.T.); +#13001=ORIENTED_EDGE('',*,*,#13000,.F.); +#13003=ORIENTED_EDGE('',*,*,#13002,.T.); +#13004=ORIENTED_EDGE('',*,*,#12943,.T.); +#13005=ORIENTED_EDGE('',*,*,#12972,.F.); +#13006=ORIENTED_EDGE('',*,*,#12985,.F.); +#13007=EDGE_LOOP('',(#12999,#13001,#13003,#13004,#13005,#13006)); +#13008=FACE_OUTER_BOUND('',#13007,.F.); +#13010=CARTESIAN_POINT('',(-1.74752E1,-2.62509E0,-1.5494E1)); +#13011=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#13012=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#13013=AXIS2_PLACEMENT_3D('',#13010,#13011,#13012); +#13014=PLANE('',#13013); +#13015=ORIENTED_EDGE('',*,*,#13000,.T.); +#13016=ORIENTED_EDGE('',*,*,#10028,.F.); +#13018=ORIENTED_EDGE('',*,*,#13017,.F.); +#13020=ORIENTED_EDGE('',*,*,#13019,.T.); +#13021=EDGE_LOOP('',(#13015,#13016,#13018,#13020)); +#13022=FACE_OUTER_BOUND('',#13021,.F.); +#13024=CARTESIAN_POINT('',(-1.80848E1,-2.22631E0,-1.397E1)); +#13025=DIRECTION('',(0.E0,1.E0,0.E0)); +#13026=DIRECTION('',(1.E0,0.E0,0.E0)); +#13027=AXIS2_PLACEMENT_3D('',#13024,#13025,#13026); +#13028=PLANE('',#13027); +#13030=ORIENTED_EDGE('',*,*,#13029,.F.); +#13031=ORIENTED_EDGE('',*,*,#13017,.T.); +#13032=ORIENTED_EDGE('',*,*,#10026,.T.); +#13033=ORIENTED_EDGE('',*,*,#12988,.T.); +#13034=ORIENTED_EDGE('',*,*,#12975,.T.); +#13035=ORIENTED_EDGE('',*,*,#12947,.T.); +#13036=EDGE_LOOP('',(#13030,#13031,#13032,#13033,#13034,#13035)); +#13037=FACE_OUTER_BOUND('',#13036,.F.); +#13039=CARTESIAN_POINT('',(-1.74752E1,-2.22631E0,-1.397E1)); +#13040=DIRECTION('',(1.E0,0.E0,0.E0)); +#13041=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13042=AXIS2_PLACEMENT_3D('',#13039,#13040,#13041); +#13043=PLANE('',#13042); +#13044=ORIENTED_EDGE('',*,*,#13019,.F.); +#13045=ORIENTED_EDGE('',*,*,#13029,.T.); +#13046=ORIENTED_EDGE('',*,*,#12945,.T.); +#13047=ORIENTED_EDGE('',*,*,#13002,.F.); +#13048=EDGE_LOOP('',(#13044,#13045,#13046,#13047)); +#13049=FACE_OUTER_BOUND('',#13048,.F.); +#13051=CARTESIAN_POINT('',(-1.80848E1,2.22631E0,-1.397E1)); +#13052=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13053=DIRECTION('',(0.E0,1.E0,0.E0)); +#13054=AXIS2_PLACEMENT_3D('',#13051,#13052,#13053); +#13055=PLANE('',#13054); +#13057=ORIENTED_EDGE('',*,*,#13056,.F.); +#13058=ORIENTED_EDGE('',*,*,#12929,.T.); +#13059=ORIENTED_EDGE('',*,*,#12958,.T.); +#13060=ORIENTED_EDGE('',*,*,#12884,.F.); +#13061=EDGE_LOOP('',(#13057,#13058,#13059,#13060)); +#13062=FACE_OUTER_BOUND('',#13061,.F.); +#13064=CARTESIAN_POINT('',(-1.80848E1,2.62509E0,-1.5494E1)); +#13065=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13066=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#13067=AXIS2_PLACEMENT_3D('',#13064,#13065,#13066); +#13068=PLANE('',#13067); +#13069=ORIENTED_EDGE('',*,*,#12882,.T.); +#13070=ORIENTED_EDGE('',*,*,#10018,.F.); +#13071=ORIENTED_EDGE('',*,*,#12931,.F.); +#13072=ORIENTED_EDGE('',*,*,#13056,.T.); +#13073=EDGE_LOOP('',(#13069,#13070,#13071,#13072)); +#13074=FACE_OUTER_BOUND('',#13073,.F.); +#13076=CARTESIAN_POINT('',(-1.55448E1,2.62509E0,-1.397E1)); +#13077=DIRECTION('',(0.E0,1.E0,0.E0)); +#13078=DIRECTION('',(1.E0,0.E0,0.E0)); +#13079=AXIS2_PLACEMENT_3D('',#13076,#13077,#13078); +#13080=PLANE('',#13079); +#13082=ORIENTED_EDGE('',*,*,#13081,.F.); +#13084=ORIENTED_EDGE('',*,*,#13083,.F.); +#13085=ORIENTED_EDGE('',*,*,#10032,.T.); +#13087=ORIENTED_EDGE('',*,*,#13086,.F.); +#13089=ORIENTED_EDGE('',*,*,#13088,.T.); +#13091=ORIENTED_EDGE('',*,*,#13090,.T.); +#13092=EDGE_LOOP('',(#13082,#13084,#13085,#13087,#13089,#13091)); +#13093=FACE_OUTER_BOUND('',#13092,.F.); +#13095=CARTESIAN_POINT('',(-1.49352E1,2.62509E0,-1.397E1)); +#13096=DIRECTION('',(1.E0,0.E0,0.E0)); +#13097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13098=AXIS2_PLACEMENT_3D('',#13095,#13096,#13097); +#13099=PLANE('',#13098); +#13101=ORIENTED_EDGE('',*,*,#13100,.F.); +#13102=ORIENTED_EDGE('',*,*,#13081,.T.); +#13104=ORIENTED_EDGE('',*,*,#13103,.T.); +#13106=ORIENTED_EDGE('',*,*,#13105,.F.); +#13107=EDGE_LOOP('',(#13101,#13102,#13104,#13106)); +#13108=FACE_OUTER_BOUND('',#13107,.F.); +#13110=CARTESIAN_POINT('',(-1.45303875E1,2.62509E0,-1.397E1)); +#13111=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13112=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#13113=AXIS2_PLACEMENT_3D('',#13110,#13111,#13112); +#13114=PLANE('',#13113); +#13115=ORIENTED_EDGE('',*,*,#13083,.T.); +#13116=ORIENTED_EDGE('',*,*,#13100,.T.); +#13118=ORIENTED_EDGE('',*,*,#13117,.F.); +#13119=ORIENTED_EDGE('',*,*,#10034,.T.); +#13120=EDGE_LOOP('',(#13115,#13116,#13118,#13119)); +#13121=FACE_OUTER_BOUND('',#13120,.F.); +#13123=CARTESIAN_POINT('',(-1.49352E1,2.22631E0,-1.397E1)); +#13124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13125=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13126=AXIS2_PLACEMENT_3D('',#13123,#13124,#13125); +#13127=PLANE('',#13126); +#13128=ORIENTED_EDGE('',*,*,#10036,.T.); +#13129=ORIENTED_EDGE('',*,*,#13117,.T.); +#13130=ORIENTED_EDGE('',*,*,#13105,.T.); +#13132=ORIENTED_EDGE('',*,*,#13131,.T.); +#13134=ORIENTED_EDGE('',*,*,#13133,.F.); +#13136=ORIENTED_EDGE('',*,*,#13135,.T.); +#13137=EDGE_LOOP('',(#13128,#13129,#13130,#13132,#13134,#13136)); +#13138=FACE_OUTER_BOUND('',#13137,.F.); +#13140=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13142=DIRECTION('',(1.E0,0.E0,0.E0)); +#13143=AXIS2_PLACEMENT_3D('',#13140,#13141,#13142); +#13144=PLANE('',#13143); +#13146=ORIENTED_EDGE('',*,*,#13145,.F.); +#13148=ORIENTED_EDGE('',*,*,#13147,.F.); +#13150=ORIENTED_EDGE('',*,*,#13149,.F.); +#13152=ORIENTED_EDGE('',*,*,#13151,.F.); +#13153=EDGE_LOOP('',(#13146,#13148,#13150,#13152)); +#13154=FACE_OUTER_BOUND('',#13153,.F.); +#13156=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13158=DIRECTION('',(1.E0,0.E0,0.E0)); +#13159=AXIS2_PLACEMENT_3D('',#13156,#13157,#13158); +#13160=PLANE('',#13159); +#13161=ORIENTED_EDGE('',*,*,#13090,.F.); +#13163=ORIENTED_EDGE('',*,*,#13162,.F.); +#13164=ORIENTED_EDGE('',*,*,#13131,.F.); +#13165=ORIENTED_EDGE('',*,*,#13103,.F.); +#13166=EDGE_LOOP('',(#13161,#13163,#13164,#13165)); +#13167=FACE_OUTER_BOUND('',#13166,.F.); +#13169=CARTESIAN_POINT('',(-1.55448E1,-2.62509E0,-1.397E1)); +#13170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13171=DIRECTION('',(0.E0,1.E0,0.E0)); +#13172=AXIS2_PLACEMENT_3D('',#13169,#13170,#13171); +#13173=PLANE('',#13172); +#13175=ORIENTED_EDGE('',*,*,#13174,.F.); +#13177=ORIENTED_EDGE('',*,*,#13176,.T.); +#13178=ORIENTED_EDGE('',*,*,#13145,.T.); +#13180=ORIENTED_EDGE('',*,*,#13179,.F.); +#13181=EDGE_LOOP('',(#13175,#13177,#13178,#13180)); +#13182=FACE_OUTER_BOUND('',#13181,.F.); +#13184=CARTESIAN_POINT('',(-1.59496125E1,-2.62509E0,-1.397E1)); +#13185=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13186=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#13187=AXIS2_PLACEMENT_3D('',#13184,#13185,#13186); +#13188=PLANE('',#13187); +#13190=ORIENTED_EDGE('',*,*,#13189,.T.); +#13191=ORIENTED_EDGE('',*,*,#13174,.T.); +#13193=ORIENTED_EDGE('',*,*,#13192,.F.); +#13194=ORIENTED_EDGE('',*,*,#10044,.T.); +#13195=EDGE_LOOP('',(#13190,#13191,#13193,#13194)); +#13196=FACE_OUTER_BOUND('',#13195,.F.); +#13198=CARTESIAN_POINT('',(-1.49352E1,-2.62509E0,-1.397E1)); +#13199=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13200=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13201=AXIS2_PLACEMENT_3D('',#13198,#13199,#13200); +#13202=PLANE('',#13201); +#13203=ORIENTED_EDGE('',*,*,#10042,.T.); +#13205=ORIENTED_EDGE('',*,*,#13204,.F.); +#13207=ORIENTED_EDGE('',*,*,#13206,.T.); +#13208=ORIENTED_EDGE('',*,*,#13147,.T.); +#13209=ORIENTED_EDGE('',*,*,#13176,.F.); +#13210=ORIENTED_EDGE('',*,*,#13189,.F.); +#13211=EDGE_LOOP('',(#13203,#13205,#13207,#13208,#13209,#13210)); +#13212=FACE_OUTER_BOUND('',#13211,.F.); +#13214=CARTESIAN_POINT('',(-1.49352E1,-2.62509E0,-1.5494E1)); +#13215=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13216=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#13217=AXIS2_PLACEMENT_3D('',#13214,#13215,#13216); +#13218=PLANE('',#13217); +#13219=ORIENTED_EDGE('',*,*,#13204,.T.); +#13220=ORIENTED_EDGE('',*,*,#10048,.F.); +#13222=ORIENTED_EDGE('',*,*,#13221,.F.); +#13224=ORIENTED_EDGE('',*,*,#13223,.T.); +#13225=EDGE_LOOP('',(#13219,#13220,#13222,#13224)); +#13226=FACE_OUTER_BOUND('',#13225,.F.); +#13228=CARTESIAN_POINT('',(-1.55448E1,-2.22631E0,-1.397E1)); +#13229=DIRECTION('',(0.E0,1.E0,0.E0)); +#13230=DIRECTION('',(1.E0,0.E0,0.E0)); +#13231=AXIS2_PLACEMENT_3D('',#13228,#13229,#13230); +#13232=PLANE('',#13231); +#13234=ORIENTED_EDGE('',*,*,#13233,.F.); +#13235=ORIENTED_EDGE('',*,*,#13221,.T.); +#13236=ORIENTED_EDGE('',*,*,#10046,.T.); +#13237=ORIENTED_EDGE('',*,*,#13192,.T.); +#13238=ORIENTED_EDGE('',*,*,#13179,.T.); +#13239=ORIENTED_EDGE('',*,*,#13151,.T.); +#13240=EDGE_LOOP('',(#13234,#13235,#13236,#13237,#13238,#13239)); +#13241=FACE_OUTER_BOUND('',#13240,.F.); +#13243=CARTESIAN_POINT('',(-1.49352E1,-2.22631E0,-1.397E1)); +#13244=DIRECTION('',(1.E0,0.E0,0.E0)); +#13245=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13246=AXIS2_PLACEMENT_3D('',#13243,#13244,#13245); +#13247=PLANE('',#13246); +#13248=ORIENTED_EDGE('',*,*,#13223,.F.); +#13249=ORIENTED_EDGE('',*,*,#13233,.T.); +#13250=ORIENTED_EDGE('',*,*,#13149,.T.); +#13251=ORIENTED_EDGE('',*,*,#13206,.F.); +#13252=EDGE_LOOP('',(#13248,#13249,#13250,#13251)); +#13253=FACE_OUTER_BOUND('',#13252,.F.); +#13255=CARTESIAN_POINT('',(-1.55448E1,2.22631E0,-1.397E1)); +#13256=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13257=DIRECTION('',(0.E0,1.E0,0.E0)); +#13258=AXIS2_PLACEMENT_3D('',#13255,#13256,#13257); +#13259=PLANE('',#13258); +#13261=ORIENTED_EDGE('',*,*,#13260,.F.); +#13262=ORIENTED_EDGE('',*,*,#13133,.T.); +#13263=ORIENTED_EDGE('',*,*,#13162,.T.); +#13264=ORIENTED_EDGE('',*,*,#13088,.F.); +#13265=EDGE_LOOP('',(#13261,#13262,#13263,#13264)); +#13266=FACE_OUTER_BOUND('',#13265,.F.); +#13268=CARTESIAN_POINT('',(-1.55448E1,2.62509E0,-1.5494E1)); +#13269=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13270=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#13271=AXIS2_PLACEMENT_3D('',#13268,#13269,#13270); +#13272=PLANE('',#13271); +#13273=ORIENTED_EDGE('',*,*,#13086,.T.); +#13274=ORIENTED_EDGE('',*,*,#10038,.F.); +#13275=ORIENTED_EDGE('',*,*,#13135,.F.); +#13276=ORIENTED_EDGE('',*,*,#13260,.T.); +#13277=EDGE_LOOP('',(#13273,#13274,#13275,#13276)); +#13278=FACE_OUTER_BOUND('',#13277,.F.); +#13280=CARTESIAN_POINT('',(-1.30048E1,2.62509E0,-1.397E1)); +#13281=DIRECTION('',(0.E0,1.E0,0.E0)); +#13282=DIRECTION('',(1.E0,0.E0,0.E0)); +#13283=AXIS2_PLACEMENT_3D('',#13280,#13281,#13282); +#13284=PLANE('',#13283); +#13286=ORIENTED_EDGE('',*,*,#13285,.F.); +#13288=ORIENTED_EDGE('',*,*,#13287,.F.); +#13289=ORIENTED_EDGE('',*,*,#10052,.T.); +#13291=ORIENTED_EDGE('',*,*,#13290,.F.); +#13293=ORIENTED_EDGE('',*,*,#13292,.T.); +#13295=ORIENTED_EDGE('',*,*,#13294,.T.); +#13296=EDGE_LOOP('',(#13286,#13288,#13289,#13291,#13293,#13295)); +#13297=FACE_OUTER_BOUND('',#13296,.F.); +#13299=CARTESIAN_POINT('',(-1.23952E1,2.62509E0,-1.397E1)); +#13300=DIRECTION('',(1.E0,0.E0,0.E0)); +#13301=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13302=AXIS2_PLACEMENT_3D('',#13299,#13300,#13301); +#13303=PLANE('',#13302); +#13305=ORIENTED_EDGE('',*,*,#13304,.F.); +#13306=ORIENTED_EDGE('',*,*,#13285,.T.); +#13308=ORIENTED_EDGE('',*,*,#13307,.T.); +#13310=ORIENTED_EDGE('',*,*,#13309,.F.); +#13311=EDGE_LOOP('',(#13305,#13306,#13308,#13310)); +#13312=FACE_OUTER_BOUND('',#13311,.F.); +#13314=CARTESIAN_POINT('',(-1.19903875E1,2.62509E0,-1.397E1)); +#13315=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13316=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#13317=AXIS2_PLACEMENT_3D('',#13314,#13315,#13316); +#13318=PLANE('',#13317); +#13319=ORIENTED_EDGE('',*,*,#13287,.T.); +#13320=ORIENTED_EDGE('',*,*,#13304,.T.); +#13322=ORIENTED_EDGE('',*,*,#13321,.F.); +#13323=ORIENTED_EDGE('',*,*,#10054,.T.); +#13324=EDGE_LOOP('',(#13319,#13320,#13322,#13323)); +#13325=FACE_OUTER_BOUND('',#13324,.F.); +#13327=CARTESIAN_POINT('',(-1.23952E1,2.22631E0,-1.397E1)); +#13328=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13330=AXIS2_PLACEMENT_3D('',#13327,#13328,#13329); +#13331=PLANE('',#13330); +#13332=ORIENTED_EDGE('',*,*,#10056,.T.); +#13333=ORIENTED_EDGE('',*,*,#13321,.T.); +#13334=ORIENTED_EDGE('',*,*,#13309,.T.); +#13336=ORIENTED_EDGE('',*,*,#13335,.T.); +#13338=ORIENTED_EDGE('',*,*,#13337,.F.); +#13340=ORIENTED_EDGE('',*,*,#13339,.T.); +#13341=EDGE_LOOP('',(#13332,#13333,#13334,#13336,#13338,#13340)); +#13342=FACE_OUTER_BOUND('',#13341,.F.); +#13344=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13346=DIRECTION('',(1.E0,0.E0,0.E0)); +#13347=AXIS2_PLACEMENT_3D('',#13344,#13345,#13346); +#13348=PLANE('',#13347); +#13350=ORIENTED_EDGE('',*,*,#13349,.F.); +#13352=ORIENTED_EDGE('',*,*,#13351,.F.); +#13354=ORIENTED_EDGE('',*,*,#13353,.F.); +#13356=ORIENTED_EDGE('',*,*,#13355,.F.); +#13357=EDGE_LOOP('',(#13350,#13352,#13354,#13356)); +#13358=FACE_OUTER_BOUND('',#13357,.F.); +#13360=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13362=DIRECTION('',(1.E0,0.E0,0.E0)); +#13363=AXIS2_PLACEMENT_3D('',#13360,#13361,#13362); +#13364=PLANE('',#13363); +#13365=ORIENTED_EDGE('',*,*,#13294,.F.); +#13367=ORIENTED_EDGE('',*,*,#13366,.F.); +#13368=ORIENTED_EDGE('',*,*,#13335,.F.); +#13369=ORIENTED_EDGE('',*,*,#13307,.F.); +#13370=EDGE_LOOP('',(#13365,#13367,#13368,#13369)); +#13371=FACE_OUTER_BOUND('',#13370,.F.); +#13373=CARTESIAN_POINT('',(-1.30048E1,-2.62509E0,-1.397E1)); +#13374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13375=DIRECTION('',(0.E0,1.E0,0.E0)); +#13376=AXIS2_PLACEMENT_3D('',#13373,#13374,#13375); +#13377=PLANE('',#13376); +#13379=ORIENTED_EDGE('',*,*,#13378,.F.); +#13381=ORIENTED_EDGE('',*,*,#13380,.T.); +#13382=ORIENTED_EDGE('',*,*,#13349,.T.); +#13384=ORIENTED_EDGE('',*,*,#13383,.F.); +#13385=EDGE_LOOP('',(#13379,#13381,#13382,#13384)); +#13386=FACE_OUTER_BOUND('',#13385,.F.); +#13388=CARTESIAN_POINT('',(-1.34096125E1,-2.62509E0,-1.397E1)); +#13389=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13390=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#13391=AXIS2_PLACEMENT_3D('',#13388,#13389,#13390); +#13392=PLANE('',#13391); +#13394=ORIENTED_EDGE('',*,*,#13393,.T.); +#13395=ORIENTED_EDGE('',*,*,#13378,.T.); +#13397=ORIENTED_EDGE('',*,*,#13396,.F.); +#13398=ORIENTED_EDGE('',*,*,#10064,.T.); +#13399=EDGE_LOOP('',(#13394,#13395,#13397,#13398)); +#13400=FACE_OUTER_BOUND('',#13399,.F.); +#13402=CARTESIAN_POINT('',(-1.23952E1,-2.62509E0,-1.397E1)); +#13403=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13404=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13405=AXIS2_PLACEMENT_3D('',#13402,#13403,#13404); +#13406=PLANE('',#13405); +#13407=ORIENTED_EDGE('',*,*,#10062,.T.); +#13409=ORIENTED_EDGE('',*,*,#13408,.F.); +#13411=ORIENTED_EDGE('',*,*,#13410,.T.); +#13412=ORIENTED_EDGE('',*,*,#13351,.T.); +#13413=ORIENTED_EDGE('',*,*,#13380,.F.); +#13414=ORIENTED_EDGE('',*,*,#13393,.F.); +#13415=EDGE_LOOP('',(#13407,#13409,#13411,#13412,#13413,#13414)); +#13416=FACE_OUTER_BOUND('',#13415,.F.); +#13418=CARTESIAN_POINT('',(-1.23952E1,-2.62509E0,-1.5494E1)); +#13419=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#13420=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#13421=AXIS2_PLACEMENT_3D('',#13418,#13419,#13420); +#13422=PLANE('',#13421); +#13423=ORIENTED_EDGE('',*,*,#13408,.T.); +#13424=ORIENTED_EDGE('',*,*,#10068,.F.); +#13426=ORIENTED_EDGE('',*,*,#13425,.F.); +#13428=ORIENTED_EDGE('',*,*,#13427,.T.); +#13429=EDGE_LOOP('',(#13423,#13424,#13426,#13428)); +#13430=FACE_OUTER_BOUND('',#13429,.F.); +#13432=CARTESIAN_POINT('',(-1.30048E1,-2.22631E0,-1.397E1)); +#13433=DIRECTION('',(0.E0,1.E0,0.E0)); +#13434=DIRECTION('',(1.E0,0.E0,0.E0)); +#13435=AXIS2_PLACEMENT_3D('',#13432,#13433,#13434); +#13436=PLANE('',#13435); +#13438=ORIENTED_EDGE('',*,*,#13437,.F.); +#13439=ORIENTED_EDGE('',*,*,#13425,.T.); +#13440=ORIENTED_EDGE('',*,*,#10066,.T.); +#13441=ORIENTED_EDGE('',*,*,#13396,.T.); +#13442=ORIENTED_EDGE('',*,*,#13383,.T.); +#13443=ORIENTED_EDGE('',*,*,#13355,.T.); +#13444=EDGE_LOOP('',(#13438,#13439,#13440,#13441,#13442,#13443)); +#13445=FACE_OUTER_BOUND('',#13444,.F.); +#13447=CARTESIAN_POINT('',(-1.23952E1,-2.22631E0,-1.397E1)); +#13448=DIRECTION('',(1.E0,0.E0,0.E0)); +#13449=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13450=AXIS2_PLACEMENT_3D('',#13447,#13448,#13449); +#13451=PLANE('',#13450); +#13452=ORIENTED_EDGE('',*,*,#13427,.F.); +#13453=ORIENTED_EDGE('',*,*,#13437,.T.); +#13454=ORIENTED_EDGE('',*,*,#13353,.T.); +#13455=ORIENTED_EDGE('',*,*,#13410,.F.); +#13456=EDGE_LOOP('',(#13452,#13453,#13454,#13455)); +#13457=FACE_OUTER_BOUND('',#13456,.F.); +#13459=CARTESIAN_POINT('',(-1.30048E1,2.22631E0,-1.397E1)); +#13460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13461=DIRECTION('',(0.E0,1.E0,0.E0)); +#13462=AXIS2_PLACEMENT_3D('',#13459,#13460,#13461); +#13463=PLANE('',#13462); +#13465=ORIENTED_EDGE('',*,*,#13464,.F.); +#13466=ORIENTED_EDGE('',*,*,#13337,.T.); +#13467=ORIENTED_EDGE('',*,*,#13366,.T.); +#13468=ORIENTED_EDGE('',*,*,#13292,.F.); +#13469=EDGE_LOOP('',(#13465,#13466,#13467,#13468)); +#13470=FACE_OUTER_BOUND('',#13469,.F.); +#13472=CARTESIAN_POINT('',(-1.30048E1,2.62509E0,-1.5494E1)); +#13473=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13474=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#13475=AXIS2_PLACEMENT_3D('',#13472,#13473,#13474); +#13476=PLANE('',#13475); +#13477=ORIENTED_EDGE('',*,*,#13290,.T.); +#13478=ORIENTED_EDGE('',*,*,#10058,.F.); +#13479=ORIENTED_EDGE('',*,*,#13339,.F.); +#13480=ORIENTED_EDGE('',*,*,#13464,.T.); +#13481=EDGE_LOOP('',(#13477,#13478,#13479,#13480)); +#13482=FACE_OUTER_BOUND('',#13481,.F.); +#13484=CARTESIAN_POINT('',(-1.04648E1,2.62509E0,-1.397E1)); +#13485=DIRECTION('',(0.E0,1.E0,0.E0)); +#13486=DIRECTION('',(1.E0,0.E0,0.E0)); +#13487=AXIS2_PLACEMENT_3D('',#13484,#13485,#13486); +#13488=PLANE('',#13487); +#13490=ORIENTED_EDGE('',*,*,#13489,.F.); +#13492=ORIENTED_EDGE('',*,*,#13491,.F.); +#13493=ORIENTED_EDGE('',*,*,#10072,.T.); +#13495=ORIENTED_EDGE('',*,*,#13494,.F.); +#13497=ORIENTED_EDGE('',*,*,#13496,.T.); +#13499=ORIENTED_EDGE('',*,*,#13498,.T.); +#13500=EDGE_LOOP('',(#13490,#13492,#13493,#13495,#13497,#13499)); +#13501=FACE_OUTER_BOUND('',#13500,.F.); +#13503=CARTESIAN_POINT('',(-9.8552E0,2.62509E0,-1.397E1)); +#13504=DIRECTION('',(1.E0,0.E0,0.E0)); +#13505=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13506=AXIS2_PLACEMENT_3D('',#13503,#13504,#13505); +#13507=PLANE('',#13506); +#13509=ORIENTED_EDGE('',*,*,#13508,.F.); +#13510=ORIENTED_EDGE('',*,*,#13489,.T.); +#13512=ORIENTED_EDGE('',*,*,#13511,.T.); +#13514=ORIENTED_EDGE('',*,*,#13513,.F.); +#13515=EDGE_LOOP('',(#13509,#13510,#13512,#13514)); +#13516=FACE_OUTER_BOUND('',#13515,.F.); +#13518=CARTESIAN_POINT('',(-9.4503875E0,2.62509E0,-1.397E1)); +#13519=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13520=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#13521=AXIS2_PLACEMENT_3D('',#13518,#13519,#13520); +#13522=PLANE('',#13521); +#13523=ORIENTED_EDGE('',*,*,#13491,.T.); +#13524=ORIENTED_EDGE('',*,*,#13508,.T.); +#13526=ORIENTED_EDGE('',*,*,#13525,.F.); +#13527=ORIENTED_EDGE('',*,*,#10074,.T.); +#13528=EDGE_LOOP('',(#13523,#13524,#13526,#13527)); +#13529=FACE_OUTER_BOUND('',#13528,.F.); +#13531=CARTESIAN_POINT('',(-9.8552E0,2.22631E0,-1.397E1)); +#13532=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13533=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13534=AXIS2_PLACEMENT_3D('',#13531,#13532,#13533); +#13535=PLANE('',#13534); +#13536=ORIENTED_EDGE('',*,*,#10076,.T.); +#13537=ORIENTED_EDGE('',*,*,#13525,.T.); +#13538=ORIENTED_EDGE('',*,*,#13513,.T.); +#13540=ORIENTED_EDGE('',*,*,#13539,.T.); +#13542=ORIENTED_EDGE('',*,*,#13541,.F.); +#13544=ORIENTED_EDGE('',*,*,#13543,.T.); +#13545=EDGE_LOOP('',(#13536,#13537,#13538,#13540,#13542,#13544)); +#13546=FACE_OUTER_BOUND('',#13545,.F.); +#13548=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13549=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13550=DIRECTION('',(1.E0,0.E0,0.E0)); +#13551=AXIS2_PLACEMENT_3D('',#13548,#13549,#13550); +#13552=PLANE('',#13551); +#13554=ORIENTED_EDGE('',*,*,#13553,.F.); +#13556=ORIENTED_EDGE('',*,*,#13555,.F.); +#13558=ORIENTED_EDGE('',*,*,#13557,.F.); +#13560=ORIENTED_EDGE('',*,*,#13559,.F.); +#13561=EDGE_LOOP('',(#13554,#13556,#13558,#13560)); +#13562=FACE_OUTER_BOUND('',#13561,.F.); +#13564=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13566=DIRECTION('',(1.E0,0.E0,0.E0)); +#13567=AXIS2_PLACEMENT_3D('',#13564,#13565,#13566); +#13568=PLANE('',#13567); +#13569=ORIENTED_EDGE('',*,*,#13498,.F.); +#13571=ORIENTED_EDGE('',*,*,#13570,.F.); +#13572=ORIENTED_EDGE('',*,*,#13539,.F.); +#13573=ORIENTED_EDGE('',*,*,#13511,.F.); +#13574=EDGE_LOOP('',(#13569,#13571,#13572,#13573)); +#13575=FACE_OUTER_BOUND('',#13574,.F.); +#13577=CARTESIAN_POINT('',(-1.04648E1,-2.62509E0,-1.397E1)); +#13578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13579=DIRECTION('',(0.E0,1.E0,0.E0)); +#13580=AXIS2_PLACEMENT_3D('',#13577,#13578,#13579); +#13581=PLANE('',#13580); +#13583=ORIENTED_EDGE('',*,*,#13582,.F.); +#13585=ORIENTED_EDGE('',*,*,#13584,.T.); +#13586=ORIENTED_EDGE('',*,*,#13553,.T.); +#13588=ORIENTED_EDGE('',*,*,#13587,.F.); +#13589=EDGE_LOOP('',(#13583,#13585,#13586,#13588)); +#13590=FACE_OUTER_BOUND('',#13589,.F.); +#13592=CARTESIAN_POINT('',(-1.08696125E1,-2.62509E0,-1.397E1)); +#13593=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#13594=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#13595=AXIS2_PLACEMENT_3D('',#13592,#13593,#13594); +#13596=PLANE('',#13595); +#13598=ORIENTED_EDGE('',*,*,#13597,.T.); +#13599=ORIENTED_EDGE('',*,*,#13582,.T.); +#13601=ORIENTED_EDGE('',*,*,#13600,.F.); +#13602=ORIENTED_EDGE('',*,*,#10084,.T.); +#13603=EDGE_LOOP('',(#13598,#13599,#13601,#13602)); +#13604=FACE_OUTER_BOUND('',#13603,.F.); +#13606=CARTESIAN_POINT('',(-9.8552E0,-2.62509E0,-1.397E1)); +#13607=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13608=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13609=AXIS2_PLACEMENT_3D('',#13606,#13607,#13608); +#13610=PLANE('',#13609); +#13611=ORIENTED_EDGE('',*,*,#10082,.T.); +#13613=ORIENTED_EDGE('',*,*,#13612,.F.); +#13615=ORIENTED_EDGE('',*,*,#13614,.T.); +#13616=ORIENTED_EDGE('',*,*,#13555,.T.); +#13617=ORIENTED_EDGE('',*,*,#13584,.F.); +#13618=ORIENTED_EDGE('',*,*,#13597,.F.); +#13619=EDGE_LOOP('',(#13611,#13613,#13615,#13616,#13617,#13618)); +#13620=FACE_OUTER_BOUND('',#13619,.F.); +#13622=CARTESIAN_POINT('',(-9.8552E0,-2.62509E0,-1.5494E1)); +#13623=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13624=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#13625=AXIS2_PLACEMENT_3D('',#13622,#13623,#13624); +#13626=PLANE('',#13625); +#13627=ORIENTED_EDGE('',*,*,#13612,.T.); +#13628=ORIENTED_EDGE('',*,*,#10088,.F.); +#13630=ORIENTED_EDGE('',*,*,#13629,.F.); +#13632=ORIENTED_EDGE('',*,*,#13631,.T.); +#13633=EDGE_LOOP('',(#13627,#13628,#13630,#13632)); +#13634=FACE_OUTER_BOUND('',#13633,.F.); +#13636=CARTESIAN_POINT('',(-1.04648E1,-2.22631E0,-1.397E1)); +#13637=DIRECTION('',(0.E0,1.E0,0.E0)); +#13638=DIRECTION('',(1.E0,0.E0,0.E0)); +#13639=AXIS2_PLACEMENT_3D('',#13636,#13637,#13638); +#13640=PLANE('',#13639); +#13642=ORIENTED_EDGE('',*,*,#13641,.F.); +#13643=ORIENTED_EDGE('',*,*,#13629,.T.); +#13644=ORIENTED_EDGE('',*,*,#10086,.T.); +#13645=ORIENTED_EDGE('',*,*,#13600,.T.); +#13646=ORIENTED_EDGE('',*,*,#13587,.T.); +#13647=ORIENTED_EDGE('',*,*,#13559,.T.); +#13648=EDGE_LOOP('',(#13642,#13643,#13644,#13645,#13646,#13647)); +#13649=FACE_OUTER_BOUND('',#13648,.F.); +#13651=CARTESIAN_POINT('',(-9.8552E0,-2.22631E0,-1.397E1)); +#13652=DIRECTION('',(1.E0,0.E0,0.E0)); +#13653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13654=AXIS2_PLACEMENT_3D('',#13651,#13652,#13653); +#13655=PLANE('',#13654); +#13656=ORIENTED_EDGE('',*,*,#13631,.F.); +#13657=ORIENTED_EDGE('',*,*,#13641,.T.); +#13658=ORIENTED_EDGE('',*,*,#13557,.T.); +#13659=ORIENTED_EDGE('',*,*,#13614,.F.); +#13660=EDGE_LOOP('',(#13656,#13657,#13658,#13659)); +#13661=FACE_OUTER_BOUND('',#13660,.F.); +#13663=CARTESIAN_POINT('',(-1.04648E1,2.22631E0,-1.397E1)); +#13664=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13665=DIRECTION('',(0.E0,1.E0,0.E0)); +#13666=AXIS2_PLACEMENT_3D('',#13663,#13664,#13665); +#13667=PLANE('',#13666); +#13669=ORIENTED_EDGE('',*,*,#13668,.F.); +#13670=ORIENTED_EDGE('',*,*,#13541,.T.); +#13671=ORIENTED_EDGE('',*,*,#13570,.T.); +#13672=ORIENTED_EDGE('',*,*,#13496,.F.); +#13673=EDGE_LOOP('',(#13669,#13670,#13671,#13672)); +#13674=FACE_OUTER_BOUND('',#13673,.F.); +#13676=CARTESIAN_POINT('',(-1.04648E1,2.62509E0,-1.5494E1)); +#13677=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#13678=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#13679=AXIS2_PLACEMENT_3D('',#13676,#13677,#13678); +#13680=PLANE('',#13679); +#13681=ORIENTED_EDGE('',*,*,#13494,.T.); +#13682=ORIENTED_EDGE('',*,*,#10078,.F.); +#13683=ORIENTED_EDGE('',*,*,#13543,.F.); +#13684=ORIENTED_EDGE('',*,*,#13668,.T.); +#13685=EDGE_LOOP('',(#13681,#13682,#13683,#13684)); +#13686=FACE_OUTER_BOUND('',#13685,.F.); +#13688=CARTESIAN_POINT('',(-7.9248E0,2.62509E0,-1.397E1)); +#13689=DIRECTION('',(0.E0,1.E0,0.E0)); +#13690=DIRECTION('',(1.E0,0.E0,0.E0)); +#13691=AXIS2_PLACEMENT_3D('',#13688,#13689,#13690); +#13692=PLANE('',#13691); +#13694=ORIENTED_EDGE('',*,*,#13693,.F.); +#13696=ORIENTED_EDGE('',*,*,#13695,.F.); +#13697=ORIENTED_EDGE('',*,*,#10092,.T.); +#13699=ORIENTED_EDGE('',*,*,#13698,.F.); +#13701=ORIENTED_EDGE('',*,*,#13700,.T.); +#13703=ORIENTED_EDGE('',*,*,#13702,.T.); +#13704=EDGE_LOOP('',(#13694,#13696,#13697,#13699,#13701,#13703)); +#13705=FACE_OUTER_BOUND('',#13704,.F.); +#13707=CARTESIAN_POINT('',(-7.3152E0,2.62509E0,-1.397E1)); +#13708=DIRECTION('',(1.E0,-1.781791302874E-14,0.E0)); +#13709=DIRECTION('',(-1.781791302874E-14,-1.E0,0.E0)); +#13710=AXIS2_PLACEMENT_3D('',#13707,#13708,#13709); +#13711=PLANE('',#13710); +#13713=ORIENTED_EDGE('',*,*,#13712,.F.); +#13714=ORIENTED_EDGE('',*,*,#13693,.T.); +#13716=ORIENTED_EDGE('',*,*,#13715,.T.); +#13718=ORIENTED_EDGE('',*,*,#13717,.F.); +#13719=EDGE_LOOP('',(#13713,#13714,#13716,#13718)); +#13720=FACE_OUTER_BOUND('',#13719,.F.); +#13722=CARTESIAN_POINT('',(-6.9103875E0,2.62509E0,-1.397E1)); +#13723=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13724=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#13725=AXIS2_PLACEMENT_3D('',#13722,#13723,#13724); +#13726=PLANE('',#13725); +#13727=ORIENTED_EDGE('',*,*,#13695,.T.); +#13728=ORIENTED_EDGE('',*,*,#13712,.T.); +#13730=ORIENTED_EDGE('',*,*,#13729,.F.); +#13731=ORIENTED_EDGE('',*,*,#10094,.T.); +#13732=EDGE_LOOP('',(#13727,#13728,#13730,#13731)); +#13733=FACE_OUTER_BOUND('',#13732,.F.); +#13735=CARTESIAN_POINT('',(-7.3152E0,2.22631E0,-1.397E1)); +#13736=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13737=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13738=AXIS2_PLACEMENT_3D('',#13735,#13736,#13737); +#13739=PLANE('',#13738); +#13740=ORIENTED_EDGE('',*,*,#10096,.T.); +#13741=ORIENTED_EDGE('',*,*,#13729,.T.); +#13742=ORIENTED_EDGE('',*,*,#13717,.T.); +#13744=ORIENTED_EDGE('',*,*,#13743,.T.); +#13746=ORIENTED_EDGE('',*,*,#13745,.F.); +#13748=ORIENTED_EDGE('',*,*,#13747,.T.); +#13749=EDGE_LOOP('',(#13740,#13741,#13742,#13744,#13746,#13748)); +#13750=FACE_OUTER_BOUND('',#13749,.F.); +#13752=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13754=DIRECTION('',(1.E0,0.E0,0.E0)); +#13755=AXIS2_PLACEMENT_3D('',#13752,#13753,#13754); +#13756=PLANE('',#13755); +#13758=ORIENTED_EDGE('',*,*,#13757,.F.); +#13760=ORIENTED_EDGE('',*,*,#13759,.F.); +#13762=ORIENTED_EDGE('',*,*,#13761,.F.); +#13764=ORIENTED_EDGE('',*,*,#13763,.F.); +#13765=EDGE_LOOP('',(#13758,#13760,#13762,#13764)); +#13766=FACE_OUTER_BOUND('',#13765,.F.); +#13768=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13770=DIRECTION('',(1.E0,0.E0,0.E0)); +#13771=AXIS2_PLACEMENT_3D('',#13768,#13769,#13770); +#13772=PLANE('',#13771); +#13773=ORIENTED_EDGE('',*,*,#13702,.F.); +#13775=ORIENTED_EDGE('',*,*,#13774,.F.); +#13776=ORIENTED_EDGE('',*,*,#13743,.F.); +#13777=ORIENTED_EDGE('',*,*,#13715,.F.); +#13778=EDGE_LOOP('',(#13773,#13775,#13776,#13777)); +#13779=FACE_OUTER_BOUND('',#13778,.F.); +#13781=CARTESIAN_POINT('',(-7.9248E0,-2.62509E0,-1.397E1)); +#13782=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13783=DIRECTION('',(0.E0,1.E0,0.E0)); +#13784=AXIS2_PLACEMENT_3D('',#13781,#13782,#13783); +#13785=PLANE('',#13784); +#13787=ORIENTED_EDGE('',*,*,#13786,.F.); +#13789=ORIENTED_EDGE('',*,*,#13788,.T.); +#13790=ORIENTED_EDGE('',*,*,#13757,.T.); +#13792=ORIENTED_EDGE('',*,*,#13791,.F.); +#13793=EDGE_LOOP('',(#13787,#13789,#13790,#13792)); +#13794=FACE_OUTER_BOUND('',#13793,.F.); +#13796=CARTESIAN_POINT('',(-8.3296125E0,-2.62509E0,-1.397E1)); +#13797=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13798=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#13799=AXIS2_PLACEMENT_3D('',#13796,#13797,#13798); +#13800=PLANE('',#13799); +#13802=ORIENTED_EDGE('',*,*,#13801,.T.); +#13803=ORIENTED_EDGE('',*,*,#13786,.T.); +#13805=ORIENTED_EDGE('',*,*,#13804,.F.); +#13806=ORIENTED_EDGE('',*,*,#10104,.T.); +#13807=EDGE_LOOP('',(#13802,#13803,#13805,#13806)); +#13808=FACE_OUTER_BOUND('',#13807,.F.); +#13810=CARTESIAN_POINT('',(-7.3152E0,-2.62509E0,-1.397E1)); +#13811=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13813=AXIS2_PLACEMENT_3D('',#13810,#13811,#13812); +#13814=PLANE('',#13813); +#13815=ORIENTED_EDGE('',*,*,#10102,.T.); +#13817=ORIENTED_EDGE('',*,*,#13816,.F.); +#13819=ORIENTED_EDGE('',*,*,#13818,.T.); +#13820=ORIENTED_EDGE('',*,*,#13759,.T.); +#13821=ORIENTED_EDGE('',*,*,#13788,.F.); +#13822=ORIENTED_EDGE('',*,*,#13801,.F.); +#13823=EDGE_LOOP('',(#13815,#13817,#13819,#13820,#13821,#13822)); +#13824=FACE_OUTER_BOUND('',#13823,.F.); +#13826=CARTESIAN_POINT('',(-7.3152E0,-2.62509E0,-1.5494E1)); +#13827=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13828=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#13829=AXIS2_PLACEMENT_3D('',#13826,#13827,#13828); +#13830=PLANE('',#13829); +#13831=ORIENTED_EDGE('',*,*,#13816,.T.); +#13832=ORIENTED_EDGE('',*,*,#10108,.F.); +#13834=ORIENTED_EDGE('',*,*,#13833,.F.); +#13836=ORIENTED_EDGE('',*,*,#13835,.T.); +#13837=EDGE_LOOP('',(#13831,#13832,#13834,#13836)); +#13838=FACE_OUTER_BOUND('',#13837,.F.); +#13840=CARTESIAN_POINT('',(-7.9248E0,-2.22631E0,-1.397E1)); +#13841=DIRECTION('',(0.E0,1.E0,0.E0)); +#13842=DIRECTION('',(1.E0,0.E0,0.E0)); +#13843=AXIS2_PLACEMENT_3D('',#13840,#13841,#13842); +#13844=PLANE('',#13843); +#13846=ORIENTED_EDGE('',*,*,#13845,.F.); +#13847=ORIENTED_EDGE('',*,*,#13833,.T.); +#13848=ORIENTED_EDGE('',*,*,#10106,.T.); +#13849=ORIENTED_EDGE('',*,*,#13804,.T.); +#13850=ORIENTED_EDGE('',*,*,#13791,.T.); +#13851=ORIENTED_EDGE('',*,*,#13763,.T.); +#13852=EDGE_LOOP('',(#13846,#13847,#13848,#13849,#13850,#13851)); +#13853=FACE_OUTER_BOUND('',#13852,.F.); +#13855=CARTESIAN_POINT('',(-7.3152E0,-2.22631E0,-1.397E1)); +#13856=DIRECTION('',(1.E0,0.E0,0.E0)); +#13857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13858=AXIS2_PLACEMENT_3D('',#13855,#13856,#13857); +#13859=PLANE('',#13858); +#13860=ORIENTED_EDGE('',*,*,#13835,.F.); +#13861=ORIENTED_EDGE('',*,*,#13845,.T.); +#13862=ORIENTED_EDGE('',*,*,#13761,.T.); +#13863=ORIENTED_EDGE('',*,*,#13818,.F.); +#13864=EDGE_LOOP('',(#13860,#13861,#13862,#13863)); +#13865=FACE_OUTER_BOUND('',#13864,.F.); +#13867=CARTESIAN_POINT('',(-7.9248E0,2.22631E0,-1.397E1)); +#13868=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13869=DIRECTION('',(0.E0,1.E0,0.E0)); +#13870=AXIS2_PLACEMENT_3D('',#13867,#13868,#13869); +#13871=PLANE('',#13870); +#13873=ORIENTED_EDGE('',*,*,#13872,.F.); +#13874=ORIENTED_EDGE('',*,*,#13745,.T.); +#13875=ORIENTED_EDGE('',*,*,#13774,.T.); +#13876=ORIENTED_EDGE('',*,*,#13700,.F.); +#13877=EDGE_LOOP('',(#13873,#13874,#13875,#13876)); +#13878=FACE_OUTER_BOUND('',#13877,.F.); +#13880=CARTESIAN_POINT('',(-7.9248E0,2.62509E0,-1.5494E1)); +#13881=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13882=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#13883=AXIS2_PLACEMENT_3D('',#13880,#13881,#13882); +#13884=PLANE('',#13883); +#13885=ORIENTED_EDGE('',*,*,#13698,.T.); +#13886=ORIENTED_EDGE('',*,*,#10098,.F.); +#13887=ORIENTED_EDGE('',*,*,#13747,.F.); +#13888=ORIENTED_EDGE('',*,*,#13872,.T.); +#13889=EDGE_LOOP('',(#13885,#13886,#13887,#13888)); +#13890=FACE_OUTER_BOUND('',#13889,.F.); +#13892=CARTESIAN_POINT('',(-5.3848E0,2.62509E0,-1.397E1)); +#13893=DIRECTION('',(0.E0,1.E0,0.E0)); +#13894=DIRECTION('',(1.E0,0.E0,0.E0)); +#13895=AXIS2_PLACEMENT_3D('',#13892,#13893,#13894); +#13896=PLANE('',#13895); +#13898=ORIENTED_EDGE('',*,*,#13897,.F.); +#13900=ORIENTED_EDGE('',*,*,#13899,.F.); +#13901=ORIENTED_EDGE('',*,*,#10112,.T.); +#13903=ORIENTED_EDGE('',*,*,#13902,.F.); +#13905=ORIENTED_EDGE('',*,*,#13904,.T.); +#13907=ORIENTED_EDGE('',*,*,#13906,.T.); +#13908=EDGE_LOOP('',(#13898,#13900,#13901,#13903,#13905,#13907)); +#13909=FACE_OUTER_BOUND('',#13908,.F.); +#13911=CARTESIAN_POINT('',(-4.7752E0,2.62509E0,-1.397E1)); +#13912=DIRECTION('',(1.E0,0.E0,0.E0)); +#13913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13914=AXIS2_PLACEMENT_3D('',#13911,#13912,#13913); +#13915=PLANE('',#13914); +#13917=ORIENTED_EDGE('',*,*,#13916,.F.); +#13918=ORIENTED_EDGE('',*,*,#13897,.T.); +#13920=ORIENTED_EDGE('',*,*,#13919,.T.); +#13922=ORIENTED_EDGE('',*,*,#13921,.F.); +#13923=EDGE_LOOP('',(#13917,#13918,#13920,#13922)); +#13924=FACE_OUTER_BOUND('',#13923,.F.); +#13926=CARTESIAN_POINT('',(-4.3703875E0,2.62509E0,-1.397E1)); +#13927=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#13928=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#13929=AXIS2_PLACEMENT_3D('',#13926,#13927,#13928); +#13930=PLANE('',#13929); +#13931=ORIENTED_EDGE('',*,*,#13899,.T.); +#13932=ORIENTED_EDGE('',*,*,#13916,.T.); +#13934=ORIENTED_EDGE('',*,*,#13933,.F.); +#13935=ORIENTED_EDGE('',*,*,#10114,.T.); +#13936=EDGE_LOOP('',(#13931,#13932,#13934,#13935)); +#13937=FACE_OUTER_BOUND('',#13936,.F.); +#13939=CARTESIAN_POINT('',(-4.7752E0,2.22631E0,-1.397E1)); +#13940=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13941=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13942=AXIS2_PLACEMENT_3D('',#13939,#13940,#13941); +#13943=PLANE('',#13942); +#13944=ORIENTED_EDGE('',*,*,#10116,.T.); +#13945=ORIENTED_EDGE('',*,*,#13933,.T.); +#13946=ORIENTED_EDGE('',*,*,#13921,.T.); +#13948=ORIENTED_EDGE('',*,*,#13947,.T.); +#13950=ORIENTED_EDGE('',*,*,#13949,.F.); +#13952=ORIENTED_EDGE('',*,*,#13951,.T.); +#13953=EDGE_LOOP('',(#13944,#13945,#13946,#13948,#13950,#13952)); +#13954=FACE_OUTER_BOUND('',#13953,.F.); +#13956=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13957=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13958=DIRECTION('',(1.E0,0.E0,0.E0)); +#13959=AXIS2_PLACEMENT_3D('',#13956,#13957,#13958); +#13960=PLANE('',#13959); +#13962=ORIENTED_EDGE('',*,*,#13961,.F.); +#13964=ORIENTED_EDGE('',*,*,#13963,.F.); +#13966=ORIENTED_EDGE('',*,*,#13965,.F.); +#13968=ORIENTED_EDGE('',*,*,#13967,.F.); +#13969=EDGE_LOOP('',(#13962,#13964,#13966,#13968)); +#13970=FACE_OUTER_BOUND('',#13969,.F.); +#13972=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#13973=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13974=DIRECTION('',(1.E0,0.E0,0.E0)); +#13975=AXIS2_PLACEMENT_3D('',#13972,#13973,#13974); +#13976=PLANE('',#13975); +#13977=ORIENTED_EDGE('',*,*,#13906,.F.); +#13979=ORIENTED_EDGE('',*,*,#13978,.F.); +#13980=ORIENTED_EDGE('',*,*,#13947,.F.); +#13981=ORIENTED_EDGE('',*,*,#13919,.F.); +#13982=EDGE_LOOP('',(#13977,#13979,#13980,#13981)); +#13983=FACE_OUTER_BOUND('',#13982,.F.); +#13985=CARTESIAN_POINT('',(-5.3848E0,-2.62509E0,-1.397E1)); +#13986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13987=DIRECTION('',(0.E0,1.E0,0.E0)); +#13988=AXIS2_PLACEMENT_3D('',#13985,#13986,#13987); +#13989=PLANE('',#13988); +#13991=ORIENTED_EDGE('',*,*,#13990,.F.); +#13993=ORIENTED_EDGE('',*,*,#13992,.T.); +#13994=ORIENTED_EDGE('',*,*,#13961,.T.); +#13996=ORIENTED_EDGE('',*,*,#13995,.F.); +#13997=EDGE_LOOP('',(#13991,#13993,#13994,#13996)); +#13998=FACE_OUTER_BOUND('',#13997,.F.); +#14000=CARTESIAN_POINT('',(-5.7896125E0,-2.62509E0,-1.397E1)); +#14001=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14002=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#14003=AXIS2_PLACEMENT_3D('',#14000,#14001,#14002); +#14004=PLANE('',#14003); +#14006=ORIENTED_EDGE('',*,*,#14005,.T.); +#14007=ORIENTED_EDGE('',*,*,#13990,.T.); +#14009=ORIENTED_EDGE('',*,*,#14008,.F.); +#14010=ORIENTED_EDGE('',*,*,#10124,.T.); +#14011=EDGE_LOOP('',(#14006,#14007,#14009,#14010)); +#14012=FACE_OUTER_BOUND('',#14011,.F.); +#14014=CARTESIAN_POINT('',(-4.7752E0,-2.62509E0,-1.397E1)); +#14015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14016=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14017=AXIS2_PLACEMENT_3D('',#14014,#14015,#14016); +#14018=PLANE('',#14017); +#14019=ORIENTED_EDGE('',*,*,#10122,.T.); +#14021=ORIENTED_EDGE('',*,*,#14020,.F.); +#14023=ORIENTED_EDGE('',*,*,#14022,.T.); +#14024=ORIENTED_EDGE('',*,*,#13963,.T.); +#14025=ORIENTED_EDGE('',*,*,#13992,.F.); +#14026=ORIENTED_EDGE('',*,*,#14005,.F.); +#14027=EDGE_LOOP('',(#14019,#14021,#14023,#14024,#14025,#14026)); +#14028=FACE_OUTER_BOUND('',#14027,.F.); +#14030=CARTESIAN_POINT('',(-4.7752E0,-2.62509E0,-1.5494E1)); +#14031=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14032=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#14033=AXIS2_PLACEMENT_3D('',#14030,#14031,#14032); +#14034=PLANE('',#14033); +#14035=ORIENTED_EDGE('',*,*,#14020,.T.); +#14036=ORIENTED_EDGE('',*,*,#10128,.F.); +#14038=ORIENTED_EDGE('',*,*,#14037,.F.); +#14040=ORIENTED_EDGE('',*,*,#14039,.T.); +#14041=EDGE_LOOP('',(#14035,#14036,#14038,#14040)); +#14042=FACE_OUTER_BOUND('',#14041,.F.); +#14044=CARTESIAN_POINT('',(-5.3848E0,-2.22631E0,-1.397E1)); +#14045=DIRECTION('',(0.E0,1.E0,0.E0)); +#14046=DIRECTION('',(1.E0,0.E0,0.E0)); +#14047=AXIS2_PLACEMENT_3D('',#14044,#14045,#14046); +#14048=PLANE('',#14047); +#14050=ORIENTED_EDGE('',*,*,#14049,.F.); +#14051=ORIENTED_EDGE('',*,*,#14037,.T.); +#14052=ORIENTED_EDGE('',*,*,#10126,.T.); +#14053=ORIENTED_EDGE('',*,*,#14008,.T.); +#14054=ORIENTED_EDGE('',*,*,#13995,.T.); +#14055=ORIENTED_EDGE('',*,*,#13967,.T.); +#14056=EDGE_LOOP('',(#14050,#14051,#14052,#14053,#14054,#14055)); +#14057=FACE_OUTER_BOUND('',#14056,.F.); +#14059=CARTESIAN_POINT('',(-4.7752E0,-2.22631E0,-1.397E1)); +#14060=DIRECTION('',(1.E0,0.E0,0.E0)); +#14061=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14062=AXIS2_PLACEMENT_3D('',#14059,#14060,#14061); +#14063=PLANE('',#14062); +#14064=ORIENTED_EDGE('',*,*,#14039,.F.); +#14065=ORIENTED_EDGE('',*,*,#14049,.T.); +#14066=ORIENTED_EDGE('',*,*,#13965,.T.); +#14067=ORIENTED_EDGE('',*,*,#14022,.F.); +#14068=EDGE_LOOP('',(#14064,#14065,#14066,#14067)); +#14069=FACE_OUTER_BOUND('',#14068,.F.); +#14071=CARTESIAN_POINT('',(-5.3848E0,2.22631E0,-1.397E1)); +#14072=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14073=DIRECTION('',(0.E0,1.E0,0.E0)); +#14074=AXIS2_PLACEMENT_3D('',#14071,#14072,#14073); +#14075=PLANE('',#14074); +#14077=ORIENTED_EDGE('',*,*,#14076,.F.); +#14078=ORIENTED_EDGE('',*,*,#13949,.T.); +#14079=ORIENTED_EDGE('',*,*,#13978,.T.); +#14080=ORIENTED_EDGE('',*,*,#13904,.F.); +#14081=EDGE_LOOP('',(#14077,#14078,#14079,#14080)); +#14082=FACE_OUTER_BOUND('',#14081,.F.); +#14084=CARTESIAN_POINT('',(-5.3848E0,2.62509E0,-1.5494E1)); +#14085=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14086=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#14087=AXIS2_PLACEMENT_3D('',#14084,#14085,#14086); +#14088=PLANE('',#14087); +#14089=ORIENTED_EDGE('',*,*,#13902,.T.); +#14090=ORIENTED_EDGE('',*,*,#10118,.F.); +#14091=ORIENTED_EDGE('',*,*,#13951,.F.); +#14092=ORIENTED_EDGE('',*,*,#14076,.T.); +#14093=EDGE_LOOP('',(#14089,#14090,#14091,#14092)); +#14094=FACE_OUTER_BOUND('',#14093,.F.); +#14096=CARTESIAN_POINT('',(-2.8448E0,2.62509E0,-1.397E1)); +#14097=DIRECTION('',(0.E0,1.E0,0.E0)); +#14098=DIRECTION('',(1.E0,0.E0,0.E0)); +#14099=AXIS2_PLACEMENT_3D('',#14096,#14097,#14098); +#14100=PLANE('',#14099); +#14102=ORIENTED_EDGE('',*,*,#14101,.F.); +#14104=ORIENTED_EDGE('',*,*,#14103,.F.); +#14105=ORIENTED_EDGE('',*,*,#10132,.T.); +#14107=ORIENTED_EDGE('',*,*,#14106,.F.); +#14109=ORIENTED_EDGE('',*,*,#14108,.T.); +#14111=ORIENTED_EDGE('',*,*,#14110,.T.); +#14112=EDGE_LOOP('',(#14102,#14104,#14105,#14107,#14109,#14111)); +#14113=FACE_OUTER_BOUND('',#14112,.F.); +#14115=CARTESIAN_POINT('',(-2.2352E0,2.62509E0,-1.397E1)); +#14116=DIRECTION('',(1.E0,0.E0,0.E0)); +#14117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14118=AXIS2_PLACEMENT_3D('',#14115,#14116,#14117); +#14119=PLANE('',#14118); +#14121=ORIENTED_EDGE('',*,*,#14120,.F.); +#14122=ORIENTED_EDGE('',*,*,#14101,.T.); +#14124=ORIENTED_EDGE('',*,*,#14123,.T.); +#14126=ORIENTED_EDGE('',*,*,#14125,.F.); +#14127=EDGE_LOOP('',(#14121,#14122,#14124,#14126)); +#14128=FACE_OUTER_BOUND('',#14127,.F.); +#14130=CARTESIAN_POINT('',(-1.8303875E0,2.62509E0,-1.397E1)); +#14131=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#14132=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#14133=AXIS2_PLACEMENT_3D('',#14130,#14131,#14132); +#14134=PLANE('',#14133); +#14135=ORIENTED_EDGE('',*,*,#14103,.T.); +#14136=ORIENTED_EDGE('',*,*,#14120,.T.); +#14138=ORIENTED_EDGE('',*,*,#14137,.F.); +#14139=ORIENTED_EDGE('',*,*,#10134,.T.); +#14140=EDGE_LOOP('',(#14135,#14136,#14138,#14139)); +#14141=FACE_OUTER_BOUND('',#14140,.F.); +#14143=CARTESIAN_POINT('',(-2.2352E0,2.22631E0,-1.397E1)); +#14144=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14146=AXIS2_PLACEMENT_3D('',#14143,#14144,#14145); +#14147=PLANE('',#14146); +#14148=ORIENTED_EDGE('',*,*,#10136,.T.); +#14149=ORIENTED_EDGE('',*,*,#14137,.T.); +#14150=ORIENTED_EDGE('',*,*,#14125,.T.); +#14152=ORIENTED_EDGE('',*,*,#14151,.T.); +#14154=ORIENTED_EDGE('',*,*,#14153,.F.); +#14156=ORIENTED_EDGE('',*,*,#14155,.T.); +#14157=EDGE_LOOP('',(#14148,#14149,#14150,#14152,#14154,#14156)); +#14158=FACE_OUTER_BOUND('',#14157,.F.); +#14160=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14162=DIRECTION('',(1.E0,0.E0,0.E0)); +#14163=AXIS2_PLACEMENT_3D('',#14160,#14161,#14162); +#14164=PLANE('',#14163); +#14166=ORIENTED_EDGE('',*,*,#14165,.F.); +#14168=ORIENTED_EDGE('',*,*,#14167,.F.); +#14170=ORIENTED_EDGE('',*,*,#14169,.F.); +#14172=ORIENTED_EDGE('',*,*,#14171,.F.); +#14173=EDGE_LOOP('',(#14166,#14168,#14170,#14172)); +#14174=FACE_OUTER_BOUND('',#14173,.F.); +#14176=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14178=DIRECTION('',(1.E0,0.E0,0.E0)); +#14179=AXIS2_PLACEMENT_3D('',#14176,#14177,#14178); +#14180=PLANE('',#14179); +#14181=ORIENTED_EDGE('',*,*,#14110,.F.); +#14183=ORIENTED_EDGE('',*,*,#14182,.F.); +#14184=ORIENTED_EDGE('',*,*,#14151,.F.); +#14185=ORIENTED_EDGE('',*,*,#14123,.F.); +#14186=EDGE_LOOP('',(#14181,#14183,#14184,#14185)); +#14187=FACE_OUTER_BOUND('',#14186,.F.); +#14189=CARTESIAN_POINT('',(-2.8448E0,-2.62509E0,-1.397E1)); +#14190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14191=DIRECTION('',(0.E0,1.E0,0.E0)); +#14192=AXIS2_PLACEMENT_3D('',#14189,#14190,#14191); +#14193=PLANE('',#14192); +#14195=ORIENTED_EDGE('',*,*,#14194,.F.); +#14197=ORIENTED_EDGE('',*,*,#14196,.T.); +#14198=ORIENTED_EDGE('',*,*,#14165,.T.); +#14200=ORIENTED_EDGE('',*,*,#14199,.F.); +#14201=EDGE_LOOP('',(#14195,#14197,#14198,#14200)); +#14202=FACE_OUTER_BOUND('',#14201,.F.); +#14204=CARTESIAN_POINT('',(-3.2496125E0,-2.62509E0,-1.397E1)); +#14205=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14206=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#14207=AXIS2_PLACEMENT_3D('',#14204,#14205,#14206); +#14208=PLANE('',#14207); +#14210=ORIENTED_EDGE('',*,*,#14209,.T.); +#14211=ORIENTED_EDGE('',*,*,#14194,.T.); +#14213=ORIENTED_EDGE('',*,*,#14212,.F.); +#14214=ORIENTED_EDGE('',*,*,#10144,.T.); +#14215=EDGE_LOOP('',(#14210,#14211,#14213,#14214)); +#14216=FACE_OUTER_BOUND('',#14215,.F.); +#14218=CARTESIAN_POINT('',(-2.2352E0,-2.62509E0,-1.397E1)); +#14219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14220=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14221=AXIS2_PLACEMENT_3D('',#14218,#14219,#14220); +#14222=PLANE('',#14221); +#14223=ORIENTED_EDGE('',*,*,#10142,.T.); +#14225=ORIENTED_EDGE('',*,*,#14224,.F.); +#14227=ORIENTED_EDGE('',*,*,#14226,.T.); +#14228=ORIENTED_EDGE('',*,*,#14167,.T.); +#14229=ORIENTED_EDGE('',*,*,#14196,.F.); +#14230=ORIENTED_EDGE('',*,*,#14209,.F.); +#14231=EDGE_LOOP('',(#14223,#14225,#14227,#14228,#14229,#14230)); +#14232=FACE_OUTER_BOUND('',#14231,.F.); +#14234=CARTESIAN_POINT('',(-2.2352E0,-2.62509E0,-1.5494E1)); +#14235=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#14236=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#14237=AXIS2_PLACEMENT_3D('',#14234,#14235,#14236); +#14238=PLANE('',#14237); +#14239=ORIENTED_EDGE('',*,*,#14224,.T.); +#14240=ORIENTED_EDGE('',*,*,#10148,.F.); +#14242=ORIENTED_EDGE('',*,*,#14241,.F.); +#14244=ORIENTED_EDGE('',*,*,#14243,.T.); +#14245=EDGE_LOOP('',(#14239,#14240,#14242,#14244)); +#14246=FACE_OUTER_BOUND('',#14245,.F.); +#14248=CARTESIAN_POINT('',(-2.8448E0,-2.22631E0,-1.397E1)); +#14249=DIRECTION('',(0.E0,1.E0,0.E0)); +#14250=DIRECTION('',(1.E0,0.E0,0.E0)); +#14251=AXIS2_PLACEMENT_3D('',#14248,#14249,#14250); +#14252=PLANE('',#14251); +#14254=ORIENTED_EDGE('',*,*,#14253,.F.); +#14255=ORIENTED_EDGE('',*,*,#14241,.T.); +#14256=ORIENTED_EDGE('',*,*,#10146,.T.); +#14257=ORIENTED_EDGE('',*,*,#14212,.T.); +#14258=ORIENTED_EDGE('',*,*,#14199,.T.); +#14259=ORIENTED_EDGE('',*,*,#14171,.T.); +#14260=EDGE_LOOP('',(#14254,#14255,#14256,#14257,#14258,#14259)); +#14261=FACE_OUTER_BOUND('',#14260,.F.); +#14263=CARTESIAN_POINT('',(-2.2352E0,-2.22631E0,-1.397E1)); +#14264=DIRECTION('',(1.E0,0.E0,0.E0)); +#14265=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14266=AXIS2_PLACEMENT_3D('',#14263,#14264,#14265); +#14267=PLANE('',#14266); +#14268=ORIENTED_EDGE('',*,*,#14243,.F.); +#14269=ORIENTED_EDGE('',*,*,#14253,.T.); +#14270=ORIENTED_EDGE('',*,*,#14169,.T.); +#14271=ORIENTED_EDGE('',*,*,#14226,.F.); +#14272=EDGE_LOOP('',(#14268,#14269,#14270,#14271)); +#14273=FACE_OUTER_BOUND('',#14272,.F.); +#14275=CARTESIAN_POINT('',(-2.8448E0,2.22631E0,-1.397E1)); +#14276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14277=DIRECTION('',(0.E0,1.E0,0.E0)); +#14278=AXIS2_PLACEMENT_3D('',#14275,#14276,#14277); +#14279=PLANE('',#14278); +#14281=ORIENTED_EDGE('',*,*,#14280,.F.); +#14282=ORIENTED_EDGE('',*,*,#14153,.T.); +#14283=ORIENTED_EDGE('',*,*,#14182,.T.); +#14284=ORIENTED_EDGE('',*,*,#14108,.F.); +#14285=EDGE_LOOP('',(#14281,#14282,#14283,#14284)); +#14286=FACE_OUTER_BOUND('',#14285,.F.); +#14288=CARTESIAN_POINT('',(-2.8448E0,2.62509E0,-1.5494E1)); +#14289=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14290=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#14291=AXIS2_PLACEMENT_3D('',#14288,#14289,#14290); +#14292=PLANE('',#14291); +#14293=ORIENTED_EDGE('',*,*,#14106,.T.); +#14294=ORIENTED_EDGE('',*,*,#10138,.F.); +#14295=ORIENTED_EDGE('',*,*,#14155,.F.); +#14296=ORIENTED_EDGE('',*,*,#14280,.T.); +#14297=EDGE_LOOP('',(#14293,#14294,#14295,#14296)); +#14298=FACE_OUTER_BOUND('',#14297,.F.); +#14300=CARTESIAN_POINT('',(-3.048E-1,2.62509E0,-1.397E1)); +#14301=DIRECTION('',(0.E0,1.E0,0.E0)); +#14302=DIRECTION('',(1.E0,0.E0,0.E0)); +#14303=AXIS2_PLACEMENT_3D('',#14300,#14301,#14302); +#14304=PLANE('',#14303); +#14306=ORIENTED_EDGE('',*,*,#14305,.F.); +#14308=ORIENTED_EDGE('',*,*,#14307,.F.); +#14309=ORIENTED_EDGE('',*,*,#10152,.T.); +#14311=ORIENTED_EDGE('',*,*,#14310,.F.); +#14313=ORIENTED_EDGE('',*,*,#14312,.T.); +#14315=ORIENTED_EDGE('',*,*,#14314,.T.); +#14316=EDGE_LOOP('',(#14306,#14308,#14309,#14311,#14313,#14315)); +#14317=FACE_OUTER_BOUND('',#14316,.F.); +#14319=CARTESIAN_POINT('',(3.048E-1,2.62509E0,-1.397E1)); +#14320=DIRECTION('',(1.E0,0.E0,0.E0)); +#14321=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14322=AXIS2_PLACEMENT_3D('',#14319,#14320,#14321); +#14323=PLANE('',#14322); +#14325=ORIENTED_EDGE('',*,*,#14324,.F.); +#14326=ORIENTED_EDGE('',*,*,#14305,.T.); +#14328=ORIENTED_EDGE('',*,*,#14327,.T.); +#14330=ORIENTED_EDGE('',*,*,#14329,.F.); +#14331=EDGE_LOOP('',(#14325,#14326,#14328,#14330)); +#14332=FACE_OUTER_BOUND('',#14331,.F.); +#14334=CARTESIAN_POINT('',(7.096125E-1,2.62509E0,-1.397E1)); +#14335=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14336=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#14337=AXIS2_PLACEMENT_3D('',#14334,#14335,#14336); +#14338=PLANE('',#14337); +#14339=ORIENTED_EDGE('',*,*,#14307,.T.); +#14340=ORIENTED_EDGE('',*,*,#14324,.T.); +#14342=ORIENTED_EDGE('',*,*,#14341,.F.); +#14343=ORIENTED_EDGE('',*,*,#10154,.T.); +#14344=EDGE_LOOP('',(#14339,#14340,#14342,#14343)); +#14345=FACE_OUTER_BOUND('',#14344,.F.); +#14347=CARTESIAN_POINT('',(3.048E-1,2.22631E0,-1.397E1)); +#14348=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14349=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14350=AXIS2_PLACEMENT_3D('',#14347,#14348,#14349); +#14351=PLANE('',#14350); +#14352=ORIENTED_EDGE('',*,*,#10156,.T.); +#14353=ORIENTED_EDGE('',*,*,#14341,.T.); +#14354=ORIENTED_EDGE('',*,*,#14329,.T.); +#14356=ORIENTED_EDGE('',*,*,#14355,.T.); +#14358=ORIENTED_EDGE('',*,*,#14357,.F.); +#14360=ORIENTED_EDGE('',*,*,#14359,.T.); +#14361=EDGE_LOOP('',(#14352,#14353,#14354,#14356,#14358,#14360)); +#14362=FACE_OUTER_BOUND('',#14361,.F.); +#14364=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14366=DIRECTION('',(1.E0,0.E0,0.E0)); +#14367=AXIS2_PLACEMENT_3D('',#14364,#14365,#14366); +#14368=PLANE('',#14367); +#14370=ORIENTED_EDGE('',*,*,#14369,.F.); +#14372=ORIENTED_EDGE('',*,*,#14371,.F.); +#14374=ORIENTED_EDGE('',*,*,#14373,.F.); +#14376=ORIENTED_EDGE('',*,*,#14375,.F.); +#14377=EDGE_LOOP('',(#14370,#14372,#14374,#14376)); +#14378=FACE_OUTER_BOUND('',#14377,.F.); +#14380=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14382=DIRECTION('',(1.E0,0.E0,0.E0)); +#14383=AXIS2_PLACEMENT_3D('',#14380,#14381,#14382); +#14384=PLANE('',#14383); +#14385=ORIENTED_EDGE('',*,*,#14314,.F.); +#14387=ORIENTED_EDGE('',*,*,#14386,.F.); +#14388=ORIENTED_EDGE('',*,*,#14355,.F.); +#14389=ORIENTED_EDGE('',*,*,#14327,.F.); +#14390=EDGE_LOOP('',(#14385,#14387,#14388,#14389)); +#14391=FACE_OUTER_BOUND('',#14390,.F.); +#14393=CARTESIAN_POINT('',(-3.048E-1,-2.62509E0,-1.397E1)); +#14394=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14395=DIRECTION('',(0.E0,1.E0,0.E0)); +#14396=AXIS2_PLACEMENT_3D('',#14393,#14394,#14395); +#14397=PLANE('',#14396); +#14399=ORIENTED_EDGE('',*,*,#14398,.F.); +#14401=ORIENTED_EDGE('',*,*,#14400,.T.); +#14402=ORIENTED_EDGE('',*,*,#14369,.T.); +#14404=ORIENTED_EDGE('',*,*,#14403,.F.); +#14405=EDGE_LOOP('',(#14399,#14401,#14402,#14404)); +#14406=FACE_OUTER_BOUND('',#14405,.F.); +#14408=CARTESIAN_POINT('',(-7.096125E-1,-2.62509E0,-1.397E1)); +#14409=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#14410=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#14411=AXIS2_PLACEMENT_3D('',#14408,#14409,#14410); +#14412=PLANE('',#14411); +#14414=ORIENTED_EDGE('',*,*,#14413,.T.); +#14415=ORIENTED_EDGE('',*,*,#14398,.T.); +#14417=ORIENTED_EDGE('',*,*,#14416,.F.); +#14418=ORIENTED_EDGE('',*,*,#10164,.T.); +#14419=EDGE_LOOP('',(#14414,#14415,#14417,#14418)); +#14420=FACE_OUTER_BOUND('',#14419,.F.); +#14422=CARTESIAN_POINT('',(3.048E-1,-2.62509E0,-1.397E1)); +#14423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14424=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14425=AXIS2_PLACEMENT_3D('',#14422,#14423,#14424); +#14426=PLANE('',#14425); +#14427=ORIENTED_EDGE('',*,*,#10162,.T.); +#14429=ORIENTED_EDGE('',*,*,#14428,.F.); +#14431=ORIENTED_EDGE('',*,*,#14430,.T.); +#14432=ORIENTED_EDGE('',*,*,#14371,.T.); +#14433=ORIENTED_EDGE('',*,*,#14400,.F.); +#14434=ORIENTED_EDGE('',*,*,#14413,.F.); +#14435=EDGE_LOOP('',(#14427,#14429,#14431,#14432,#14433,#14434)); +#14436=FACE_OUTER_BOUND('',#14435,.F.); +#14438=CARTESIAN_POINT('',(3.048E-1,-2.62509E0,-1.5494E1)); +#14439=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14440=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#14441=AXIS2_PLACEMENT_3D('',#14438,#14439,#14440); +#14442=PLANE('',#14441); +#14443=ORIENTED_EDGE('',*,*,#14428,.T.); +#14444=ORIENTED_EDGE('',*,*,#10168,.F.); +#14446=ORIENTED_EDGE('',*,*,#14445,.F.); +#14448=ORIENTED_EDGE('',*,*,#14447,.T.); +#14449=EDGE_LOOP('',(#14443,#14444,#14446,#14448)); +#14450=FACE_OUTER_BOUND('',#14449,.F.); +#14452=CARTESIAN_POINT('',(-3.048E-1,-2.22631E0,-1.397E1)); +#14453=DIRECTION('',(0.E0,1.E0,0.E0)); +#14454=DIRECTION('',(1.E0,0.E0,0.E0)); +#14455=AXIS2_PLACEMENT_3D('',#14452,#14453,#14454); +#14456=PLANE('',#14455); +#14458=ORIENTED_EDGE('',*,*,#14457,.F.); +#14459=ORIENTED_EDGE('',*,*,#14445,.T.); +#14460=ORIENTED_EDGE('',*,*,#10166,.T.); +#14461=ORIENTED_EDGE('',*,*,#14416,.T.); +#14462=ORIENTED_EDGE('',*,*,#14403,.T.); +#14463=ORIENTED_EDGE('',*,*,#14375,.T.); +#14464=EDGE_LOOP('',(#14458,#14459,#14460,#14461,#14462,#14463)); +#14465=FACE_OUTER_BOUND('',#14464,.F.); +#14467=CARTESIAN_POINT('',(3.048E-1,-2.22631E0,-1.397E1)); +#14468=DIRECTION('',(1.E0,0.E0,0.E0)); +#14469=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14470=AXIS2_PLACEMENT_3D('',#14467,#14468,#14469); +#14471=PLANE('',#14470); +#14472=ORIENTED_EDGE('',*,*,#14447,.F.); +#14473=ORIENTED_EDGE('',*,*,#14457,.T.); +#14474=ORIENTED_EDGE('',*,*,#14373,.T.); +#14475=ORIENTED_EDGE('',*,*,#14430,.F.); +#14476=EDGE_LOOP('',(#14472,#14473,#14474,#14475)); +#14477=FACE_OUTER_BOUND('',#14476,.F.); +#14479=CARTESIAN_POINT('',(-3.048E-1,2.22631E0,-1.397E1)); +#14480=DIRECTION('',(-1.E0,-1.781791302874E-14,0.E0)); +#14481=DIRECTION('',(-1.781791302874E-14,1.E0,0.E0)); +#14482=AXIS2_PLACEMENT_3D('',#14479,#14480,#14481); +#14483=PLANE('',#14482); +#14485=ORIENTED_EDGE('',*,*,#14484,.F.); +#14486=ORIENTED_EDGE('',*,*,#14357,.T.); +#14487=ORIENTED_EDGE('',*,*,#14386,.T.); +#14488=ORIENTED_EDGE('',*,*,#14312,.F.); +#14489=EDGE_LOOP('',(#14485,#14486,#14487,#14488)); +#14490=FACE_OUTER_BOUND('',#14489,.F.); +#14492=CARTESIAN_POINT('',(-3.048E-1,2.62509E0,-1.5494E1)); +#14493=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#14494=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#14495=AXIS2_PLACEMENT_3D('',#14492,#14493,#14494); +#14496=PLANE('',#14495); +#14497=ORIENTED_EDGE('',*,*,#14310,.T.); +#14498=ORIENTED_EDGE('',*,*,#10158,.F.); +#14499=ORIENTED_EDGE('',*,*,#14359,.F.); +#14500=ORIENTED_EDGE('',*,*,#14484,.T.); +#14501=EDGE_LOOP('',(#14497,#14498,#14499,#14500)); +#14502=FACE_OUTER_BOUND('',#14501,.F.); +#14504=CARTESIAN_POINT('',(2.2352E0,2.62509E0,-1.397E1)); +#14505=DIRECTION('',(0.E0,1.E0,0.E0)); +#14506=DIRECTION('',(1.E0,0.E0,0.E0)); +#14507=AXIS2_PLACEMENT_3D('',#14504,#14505,#14506); +#14508=PLANE('',#14507); +#14510=ORIENTED_EDGE('',*,*,#14509,.F.); +#14512=ORIENTED_EDGE('',*,*,#14511,.F.); +#14513=ORIENTED_EDGE('',*,*,#10172,.T.); +#14515=ORIENTED_EDGE('',*,*,#14514,.F.); +#14517=ORIENTED_EDGE('',*,*,#14516,.T.); +#14519=ORIENTED_EDGE('',*,*,#14518,.T.); +#14520=EDGE_LOOP('',(#14510,#14512,#14513,#14515,#14517,#14519)); +#14521=FACE_OUTER_BOUND('',#14520,.F.); +#14523=CARTESIAN_POINT('',(2.8448E0,2.62509E0,-1.397E1)); +#14524=DIRECTION('',(1.E0,0.E0,0.E0)); +#14525=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14526=AXIS2_PLACEMENT_3D('',#14523,#14524,#14525); +#14527=PLANE('',#14526); +#14529=ORIENTED_EDGE('',*,*,#14528,.F.); +#14530=ORIENTED_EDGE('',*,*,#14509,.T.); +#14532=ORIENTED_EDGE('',*,*,#14531,.T.); +#14534=ORIENTED_EDGE('',*,*,#14533,.F.); +#14535=EDGE_LOOP('',(#14529,#14530,#14532,#14534)); +#14536=FACE_OUTER_BOUND('',#14535,.F.); +#14538=CARTESIAN_POINT('',(3.2496125E0,2.62509E0,-1.397E1)); +#14539=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#14540=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#14541=AXIS2_PLACEMENT_3D('',#14538,#14539,#14540); +#14542=PLANE('',#14541); +#14543=ORIENTED_EDGE('',*,*,#14511,.T.); +#14544=ORIENTED_EDGE('',*,*,#14528,.T.); +#14546=ORIENTED_EDGE('',*,*,#14545,.F.); +#14547=ORIENTED_EDGE('',*,*,#10174,.T.); +#14548=EDGE_LOOP('',(#14543,#14544,#14546,#14547)); +#14549=FACE_OUTER_BOUND('',#14548,.F.); +#14551=CARTESIAN_POINT('',(2.8448E0,2.22631E0,-1.397E1)); +#14552=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14554=AXIS2_PLACEMENT_3D('',#14551,#14552,#14553); +#14555=PLANE('',#14554); +#14556=ORIENTED_EDGE('',*,*,#10176,.T.); +#14557=ORIENTED_EDGE('',*,*,#14545,.T.); +#14558=ORIENTED_EDGE('',*,*,#14533,.T.); +#14560=ORIENTED_EDGE('',*,*,#14559,.T.); +#14562=ORIENTED_EDGE('',*,*,#14561,.F.); +#14564=ORIENTED_EDGE('',*,*,#14563,.T.); +#14565=EDGE_LOOP('',(#14556,#14557,#14558,#14560,#14562,#14564)); +#14566=FACE_OUTER_BOUND('',#14565,.F.); +#14568=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14570=DIRECTION('',(1.E0,0.E0,0.E0)); +#14571=AXIS2_PLACEMENT_3D('',#14568,#14569,#14570); +#14572=PLANE('',#14571); +#14574=ORIENTED_EDGE('',*,*,#14573,.F.); +#14576=ORIENTED_EDGE('',*,*,#14575,.F.); +#14578=ORIENTED_EDGE('',*,*,#14577,.F.); +#14580=ORIENTED_EDGE('',*,*,#14579,.F.); +#14581=EDGE_LOOP('',(#14574,#14576,#14578,#14580)); +#14582=FACE_OUTER_BOUND('',#14581,.F.); +#14584=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14585=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14586=DIRECTION('',(1.E0,0.E0,0.E0)); +#14587=AXIS2_PLACEMENT_3D('',#14584,#14585,#14586); +#14588=PLANE('',#14587); +#14589=ORIENTED_EDGE('',*,*,#14518,.F.); +#14591=ORIENTED_EDGE('',*,*,#14590,.F.); +#14592=ORIENTED_EDGE('',*,*,#14559,.F.); +#14593=ORIENTED_EDGE('',*,*,#14531,.F.); +#14594=EDGE_LOOP('',(#14589,#14591,#14592,#14593)); +#14595=FACE_OUTER_BOUND('',#14594,.F.); +#14597=CARTESIAN_POINT('',(2.2352E0,-2.62509E0,-1.397E1)); +#14598=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14599=DIRECTION('',(0.E0,1.E0,0.E0)); +#14600=AXIS2_PLACEMENT_3D('',#14597,#14598,#14599); +#14601=PLANE('',#14600); +#14603=ORIENTED_EDGE('',*,*,#14602,.F.); +#14605=ORIENTED_EDGE('',*,*,#14604,.T.); +#14606=ORIENTED_EDGE('',*,*,#14573,.T.); +#14608=ORIENTED_EDGE('',*,*,#14607,.F.); +#14609=EDGE_LOOP('',(#14603,#14605,#14606,#14608)); +#14610=FACE_OUTER_BOUND('',#14609,.F.); +#14612=CARTESIAN_POINT('',(1.8303875E0,-2.62509E0,-1.397E1)); +#14613=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14614=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#14615=AXIS2_PLACEMENT_3D('',#14612,#14613,#14614); +#14616=PLANE('',#14615); +#14618=ORIENTED_EDGE('',*,*,#14617,.T.); +#14619=ORIENTED_EDGE('',*,*,#14602,.T.); +#14621=ORIENTED_EDGE('',*,*,#14620,.F.); +#14622=ORIENTED_EDGE('',*,*,#10184,.T.); +#14623=EDGE_LOOP('',(#14618,#14619,#14621,#14622)); +#14624=FACE_OUTER_BOUND('',#14623,.F.); +#14626=CARTESIAN_POINT('',(2.8448E0,-2.62509E0,-1.397E1)); +#14627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14628=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14629=AXIS2_PLACEMENT_3D('',#14626,#14627,#14628); +#14630=PLANE('',#14629); +#14631=ORIENTED_EDGE('',*,*,#10182,.T.); +#14633=ORIENTED_EDGE('',*,*,#14632,.F.); +#14635=ORIENTED_EDGE('',*,*,#14634,.T.); +#14636=ORIENTED_EDGE('',*,*,#14575,.T.); +#14637=ORIENTED_EDGE('',*,*,#14604,.F.); +#14638=ORIENTED_EDGE('',*,*,#14617,.F.); +#14639=EDGE_LOOP('',(#14631,#14633,#14635,#14636,#14637,#14638)); +#14640=FACE_OUTER_BOUND('',#14639,.F.); +#14642=CARTESIAN_POINT('',(2.8448E0,-2.62509E0,-1.5494E1)); +#14643=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#14644=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#14645=AXIS2_PLACEMENT_3D('',#14642,#14643,#14644); +#14646=PLANE('',#14645); +#14647=ORIENTED_EDGE('',*,*,#14632,.T.); +#14648=ORIENTED_EDGE('',*,*,#10188,.F.); +#14650=ORIENTED_EDGE('',*,*,#14649,.F.); +#14652=ORIENTED_EDGE('',*,*,#14651,.T.); +#14653=EDGE_LOOP('',(#14647,#14648,#14650,#14652)); +#14654=FACE_OUTER_BOUND('',#14653,.F.); +#14656=CARTESIAN_POINT('',(2.2352E0,-2.22631E0,-1.397E1)); +#14657=DIRECTION('',(0.E0,1.E0,0.E0)); +#14658=DIRECTION('',(1.E0,0.E0,0.E0)); +#14659=AXIS2_PLACEMENT_3D('',#14656,#14657,#14658); +#14660=PLANE('',#14659); +#14662=ORIENTED_EDGE('',*,*,#14661,.F.); +#14663=ORIENTED_EDGE('',*,*,#14649,.T.); +#14664=ORIENTED_EDGE('',*,*,#10186,.T.); +#14665=ORIENTED_EDGE('',*,*,#14620,.T.); +#14666=ORIENTED_EDGE('',*,*,#14607,.T.); +#14667=ORIENTED_EDGE('',*,*,#14579,.T.); +#14668=EDGE_LOOP('',(#14662,#14663,#14664,#14665,#14666,#14667)); +#14669=FACE_OUTER_BOUND('',#14668,.F.); +#14671=CARTESIAN_POINT('',(2.8448E0,-2.22631E0,-1.397E1)); +#14672=DIRECTION('',(1.E0,0.E0,0.E0)); +#14673=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14674=AXIS2_PLACEMENT_3D('',#14671,#14672,#14673); +#14675=PLANE('',#14674); +#14676=ORIENTED_EDGE('',*,*,#14651,.F.); +#14677=ORIENTED_EDGE('',*,*,#14661,.T.); +#14678=ORIENTED_EDGE('',*,*,#14577,.T.); +#14679=ORIENTED_EDGE('',*,*,#14634,.F.); +#14680=EDGE_LOOP('',(#14676,#14677,#14678,#14679)); +#14681=FACE_OUTER_BOUND('',#14680,.F.); +#14683=CARTESIAN_POINT('',(2.2352E0,2.22631E0,-1.397E1)); +#14684=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14685=DIRECTION('',(0.E0,1.E0,0.E0)); +#14686=AXIS2_PLACEMENT_3D('',#14683,#14684,#14685); +#14687=PLANE('',#14686); +#14689=ORIENTED_EDGE('',*,*,#14688,.F.); +#14690=ORIENTED_EDGE('',*,*,#14561,.T.); +#14691=ORIENTED_EDGE('',*,*,#14590,.T.); +#14692=ORIENTED_EDGE('',*,*,#14516,.F.); +#14693=EDGE_LOOP('',(#14689,#14690,#14691,#14692)); +#14694=FACE_OUTER_BOUND('',#14693,.F.); +#14696=CARTESIAN_POINT('',(2.2352E0,2.62509E0,-1.5494E1)); +#14697=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14698=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#14699=AXIS2_PLACEMENT_3D('',#14696,#14697,#14698); +#14700=PLANE('',#14699); +#14701=ORIENTED_EDGE('',*,*,#14514,.T.); +#14702=ORIENTED_EDGE('',*,*,#10178,.F.); +#14703=ORIENTED_EDGE('',*,*,#14563,.F.); +#14704=ORIENTED_EDGE('',*,*,#14688,.T.); +#14705=EDGE_LOOP('',(#14701,#14702,#14703,#14704)); +#14706=FACE_OUTER_BOUND('',#14705,.F.); +#14708=CARTESIAN_POINT('',(4.7752E0,2.62509E0,-1.397E1)); +#14709=DIRECTION('',(0.E0,1.E0,0.E0)); +#14710=DIRECTION('',(1.E0,0.E0,0.E0)); +#14711=AXIS2_PLACEMENT_3D('',#14708,#14709,#14710); +#14712=PLANE('',#14711); +#14714=ORIENTED_EDGE('',*,*,#14713,.F.); +#14716=ORIENTED_EDGE('',*,*,#14715,.F.); +#14717=ORIENTED_EDGE('',*,*,#10192,.T.); +#14719=ORIENTED_EDGE('',*,*,#14718,.F.); +#14721=ORIENTED_EDGE('',*,*,#14720,.T.); +#14723=ORIENTED_EDGE('',*,*,#14722,.T.); +#14724=EDGE_LOOP('',(#14714,#14716,#14717,#14719,#14721,#14723)); +#14725=FACE_OUTER_BOUND('',#14724,.F.); +#14727=CARTESIAN_POINT('',(5.3848E0,2.62509E0,-1.397E1)); +#14728=DIRECTION('',(1.E0,1.781791302874E-14,0.E0)); +#14729=DIRECTION('',(1.781791302874E-14,-1.E0,0.E0)); +#14730=AXIS2_PLACEMENT_3D('',#14727,#14728,#14729); +#14731=PLANE('',#14730); +#14733=ORIENTED_EDGE('',*,*,#14732,.F.); +#14734=ORIENTED_EDGE('',*,*,#14713,.T.); +#14736=ORIENTED_EDGE('',*,*,#14735,.T.); +#14738=ORIENTED_EDGE('',*,*,#14737,.F.); +#14739=EDGE_LOOP('',(#14733,#14734,#14736,#14738)); +#14740=FACE_OUTER_BOUND('',#14739,.F.); +#14742=CARTESIAN_POINT('',(5.7896125E0,2.62509E0,-1.397E1)); +#14743=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#14744=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#14745=AXIS2_PLACEMENT_3D('',#14742,#14743,#14744); +#14746=PLANE('',#14745); +#14747=ORIENTED_EDGE('',*,*,#14715,.T.); +#14748=ORIENTED_EDGE('',*,*,#14732,.T.); +#14750=ORIENTED_EDGE('',*,*,#14749,.F.); +#14751=ORIENTED_EDGE('',*,*,#10194,.T.); +#14752=EDGE_LOOP('',(#14747,#14748,#14750,#14751)); +#14753=FACE_OUTER_BOUND('',#14752,.F.); +#14755=CARTESIAN_POINT('',(5.3848E0,2.22631E0,-1.397E1)); +#14756=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14758=AXIS2_PLACEMENT_3D('',#14755,#14756,#14757); +#14759=PLANE('',#14758); +#14760=ORIENTED_EDGE('',*,*,#10196,.T.); +#14761=ORIENTED_EDGE('',*,*,#14749,.T.); +#14762=ORIENTED_EDGE('',*,*,#14737,.T.); +#14764=ORIENTED_EDGE('',*,*,#14763,.T.); +#14766=ORIENTED_EDGE('',*,*,#14765,.F.); +#14768=ORIENTED_EDGE('',*,*,#14767,.T.); +#14769=EDGE_LOOP('',(#14760,#14761,#14762,#14764,#14766,#14768)); +#14770=FACE_OUTER_BOUND('',#14769,.F.); +#14772=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14773=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14774=DIRECTION('',(1.E0,0.E0,0.E0)); +#14775=AXIS2_PLACEMENT_3D('',#14772,#14773,#14774); +#14776=PLANE('',#14775); +#14778=ORIENTED_EDGE('',*,*,#14777,.F.); +#14780=ORIENTED_EDGE('',*,*,#14779,.F.); +#14782=ORIENTED_EDGE('',*,*,#14781,.F.); +#14784=ORIENTED_EDGE('',*,*,#14783,.F.); +#14785=EDGE_LOOP('',(#14778,#14780,#14782,#14784)); +#14786=FACE_OUTER_BOUND('',#14785,.F.); +#14788=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14789=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14790=DIRECTION('',(1.E0,0.E0,0.E0)); +#14791=AXIS2_PLACEMENT_3D('',#14788,#14789,#14790); +#14792=PLANE('',#14791); +#14793=ORIENTED_EDGE('',*,*,#14722,.F.); +#14795=ORIENTED_EDGE('',*,*,#14794,.F.); +#14796=ORIENTED_EDGE('',*,*,#14763,.F.); +#14797=ORIENTED_EDGE('',*,*,#14735,.F.); +#14798=EDGE_LOOP('',(#14793,#14795,#14796,#14797)); +#14799=FACE_OUTER_BOUND('',#14798,.F.); +#14801=CARTESIAN_POINT('',(4.7752E0,-2.62509E0,-1.397E1)); +#14802=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14803=DIRECTION('',(0.E0,1.E0,0.E0)); +#14804=AXIS2_PLACEMENT_3D('',#14801,#14802,#14803); +#14805=PLANE('',#14804); +#14807=ORIENTED_EDGE('',*,*,#14806,.F.); +#14809=ORIENTED_EDGE('',*,*,#14808,.T.); +#14810=ORIENTED_EDGE('',*,*,#14777,.T.); +#14812=ORIENTED_EDGE('',*,*,#14811,.F.); +#14813=EDGE_LOOP('',(#14807,#14809,#14810,#14812)); +#14814=FACE_OUTER_BOUND('',#14813,.F.); +#14816=CARTESIAN_POINT('',(4.3703875E0,-2.62509E0,-1.397E1)); +#14817=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14818=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#14819=AXIS2_PLACEMENT_3D('',#14816,#14817,#14818); +#14820=PLANE('',#14819); +#14822=ORIENTED_EDGE('',*,*,#14821,.T.); +#14823=ORIENTED_EDGE('',*,*,#14806,.T.); +#14825=ORIENTED_EDGE('',*,*,#14824,.F.); +#14826=ORIENTED_EDGE('',*,*,#10204,.T.); +#14827=EDGE_LOOP('',(#14822,#14823,#14825,#14826)); +#14828=FACE_OUTER_BOUND('',#14827,.F.); +#14830=CARTESIAN_POINT('',(5.3848E0,-2.62509E0,-1.397E1)); +#14831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14833=AXIS2_PLACEMENT_3D('',#14830,#14831,#14832); +#14834=PLANE('',#14833); +#14835=ORIENTED_EDGE('',*,*,#10202,.T.); +#14837=ORIENTED_EDGE('',*,*,#14836,.F.); +#14839=ORIENTED_EDGE('',*,*,#14838,.T.); +#14840=ORIENTED_EDGE('',*,*,#14779,.T.); +#14841=ORIENTED_EDGE('',*,*,#14808,.F.); +#14842=ORIENTED_EDGE('',*,*,#14821,.F.); +#14843=EDGE_LOOP('',(#14835,#14837,#14839,#14840,#14841,#14842)); +#14844=FACE_OUTER_BOUND('',#14843,.F.); +#14846=CARTESIAN_POINT('',(5.3848E0,-2.62509E0,-1.5494E1)); +#14847=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#14848=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#14849=AXIS2_PLACEMENT_3D('',#14846,#14847,#14848); +#14850=PLANE('',#14849); +#14851=ORIENTED_EDGE('',*,*,#14836,.T.); +#14852=ORIENTED_EDGE('',*,*,#10208,.F.); +#14854=ORIENTED_EDGE('',*,*,#14853,.F.); +#14856=ORIENTED_EDGE('',*,*,#14855,.T.); +#14857=EDGE_LOOP('',(#14851,#14852,#14854,#14856)); +#14858=FACE_OUTER_BOUND('',#14857,.F.); +#14860=CARTESIAN_POINT('',(4.7752E0,-2.22631E0,-1.397E1)); +#14861=DIRECTION('',(0.E0,1.E0,0.E0)); +#14862=DIRECTION('',(1.E0,0.E0,0.E0)); +#14863=AXIS2_PLACEMENT_3D('',#14860,#14861,#14862); +#14864=PLANE('',#14863); +#14866=ORIENTED_EDGE('',*,*,#14865,.F.); +#14867=ORIENTED_EDGE('',*,*,#14853,.T.); +#14868=ORIENTED_EDGE('',*,*,#10206,.T.); +#14869=ORIENTED_EDGE('',*,*,#14824,.T.); +#14870=ORIENTED_EDGE('',*,*,#14811,.T.); +#14871=ORIENTED_EDGE('',*,*,#14783,.T.); +#14872=EDGE_LOOP('',(#14866,#14867,#14868,#14869,#14870,#14871)); +#14873=FACE_OUTER_BOUND('',#14872,.F.); +#14875=CARTESIAN_POINT('',(5.3848E0,-2.22631E0,-1.397E1)); +#14876=DIRECTION('',(1.E0,0.E0,0.E0)); +#14877=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14878=AXIS2_PLACEMENT_3D('',#14875,#14876,#14877); +#14879=PLANE('',#14878); +#14880=ORIENTED_EDGE('',*,*,#14855,.F.); +#14881=ORIENTED_EDGE('',*,*,#14865,.T.); +#14882=ORIENTED_EDGE('',*,*,#14781,.T.); +#14883=ORIENTED_EDGE('',*,*,#14838,.F.); +#14884=EDGE_LOOP('',(#14880,#14881,#14882,#14883)); +#14885=FACE_OUTER_BOUND('',#14884,.F.); +#14887=CARTESIAN_POINT('',(4.7752E0,2.22631E0,-1.397E1)); +#14888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14889=DIRECTION('',(0.E0,1.E0,0.E0)); +#14890=AXIS2_PLACEMENT_3D('',#14887,#14888,#14889); +#14891=PLANE('',#14890); +#14893=ORIENTED_EDGE('',*,*,#14892,.F.); +#14894=ORIENTED_EDGE('',*,*,#14765,.T.); +#14895=ORIENTED_EDGE('',*,*,#14794,.T.); +#14896=ORIENTED_EDGE('',*,*,#14720,.F.); +#14897=EDGE_LOOP('',(#14893,#14894,#14895,#14896)); +#14898=FACE_OUTER_BOUND('',#14897,.F.); +#14900=CARTESIAN_POINT('',(4.7752E0,2.62509E0,-1.5494E1)); +#14901=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14902=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#14903=AXIS2_PLACEMENT_3D('',#14900,#14901,#14902); +#14904=PLANE('',#14903); +#14905=ORIENTED_EDGE('',*,*,#14718,.T.); +#14906=ORIENTED_EDGE('',*,*,#10198,.F.); +#14907=ORIENTED_EDGE('',*,*,#14767,.F.); +#14908=ORIENTED_EDGE('',*,*,#14892,.T.); +#14909=EDGE_LOOP('',(#14905,#14906,#14907,#14908)); +#14910=FACE_OUTER_BOUND('',#14909,.F.); +#14912=CARTESIAN_POINT('',(7.3152E0,2.62509E0,-1.397E1)); +#14913=DIRECTION('',(0.E0,1.E0,0.E0)); +#14914=DIRECTION('',(1.E0,0.E0,0.E0)); +#14915=AXIS2_PLACEMENT_3D('',#14912,#14913,#14914); +#14916=PLANE('',#14915); +#14918=ORIENTED_EDGE('',*,*,#14917,.F.); +#14920=ORIENTED_EDGE('',*,*,#14919,.F.); +#14921=ORIENTED_EDGE('',*,*,#10212,.T.); +#14923=ORIENTED_EDGE('',*,*,#14922,.F.); +#14925=ORIENTED_EDGE('',*,*,#14924,.T.); +#14927=ORIENTED_EDGE('',*,*,#14926,.T.); +#14928=EDGE_LOOP('',(#14918,#14920,#14921,#14923,#14925,#14927)); +#14929=FACE_OUTER_BOUND('',#14928,.F.); +#14931=CARTESIAN_POINT('',(7.9248E0,2.62509E0,-1.397E1)); +#14932=DIRECTION('',(1.E0,-1.781791302874E-14,0.E0)); +#14933=DIRECTION('',(-1.781791302874E-14,-1.E0,0.E0)); +#14934=AXIS2_PLACEMENT_3D('',#14931,#14932,#14933); +#14935=PLANE('',#14934); +#14937=ORIENTED_EDGE('',*,*,#14936,.F.); +#14938=ORIENTED_EDGE('',*,*,#14917,.T.); +#14940=ORIENTED_EDGE('',*,*,#14939,.T.); +#14942=ORIENTED_EDGE('',*,*,#14941,.F.); +#14943=EDGE_LOOP('',(#14937,#14938,#14940,#14942)); +#14944=FACE_OUTER_BOUND('',#14943,.F.); +#14946=CARTESIAN_POINT('',(8.3296125E0,2.62509E0,-1.397E1)); +#14947=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#14948=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#14949=AXIS2_PLACEMENT_3D('',#14946,#14947,#14948); +#14950=PLANE('',#14949); +#14951=ORIENTED_EDGE('',*,*,#14919,.T.); +#14952=ORIENTED_EDGE('',*,*,#14936,.T.); +#14954=ORIENTED_EDGE('',*,*,#14953,.F.); +#14955=ORIENTED_EDGE('',*,*,#10214,.T.); +#14956=EDGE_LOOP('',(#14951,#14952,#14954,#14955)); +#14957=FACE_OUTER_BOUND('',#14956,.F.); +#14959=CARTESIAN_POINT('',(7.9248E0,2.22631E0,-1.397E1)); +#14960=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14961=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14962=AXIS2_PLACEMENT_3D('',#14959,#14960,#14961); +#14963=PLANE('',#14962); +#14964=ORIENTED_EDGE('',*,*,#10216,.T.); +#14965=ORIENTED_EDGE('',*,*,#14953,.T.); +#14966=ORIENTED_EDGE('',*,*,#14941,.T.); +#14968=ORIENTED_EDGE('',*,*,#14967,.T.); +#14970=ORIENTED_EDGE('',*,*,#14969,.F.); +#14972=ORIENTED_EDGE('',*,*,#14971,.T.); +#14973=EDGE_LOOP('',(#14964,#14965,#14966,#14968,#14970,#14972)); +#14974=FACE_OUTER_BOUND('',#14973,.F.); +#14976=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14978=DIRECTION('',(1.E0,0.E0,0.E0)); +#14979=AXIS2_PLACEMENT_3D('',#14976,#14977,#14978); +#14980=PLANE('',#14979); +#14982=ORIENTED_EDGE('',*,*,#14981,.F.); +#14984=ORIENTED_EDGE('',*,*,#14983,.F.); +#14986=ORIENTED_EDGE('',*,*,#14985,.F.); +#14988=ORIENTED_EDGE('',*,*,#14987,.F.); +#14989=EDGE_LOOP('',(#14982,#14984,#14986,#14988)); +#14990=FACE_OUTER_BOUND('',#14989,.F.); +#14992=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#14993=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14994=DIRECTION('',(1.E0,0.E0,0.E0)); +#14995=AXIS2_PLACEMENT_3D('',#14992,#14993,#14994); +#14996=PLANE('',#14995); +#14997=ORIENTED_EDGE('',*,*,#14926,.F.); +#14999=ORIENTED_EDGE('',*,*,#14998,.F.); +#15000=ORIENTED_EDGE('',*,*,#14967,.F.); +#15001=ORIENTED_EDGE('',*,*,#14939,.F.); +#15002=EDGE_LOOP('',(#14997,#14999,#15000,#15001)); +#15003=FACE_OUTER_BOUND('',#15002,.F.); +#15005=CARTESIAN_POINT('',(7.3152E0,-2.62509E0,-1.397E1)); +#15006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15007=DIRECTION('',(0.E0,1.E0,0.E0)); +#15008=AXIS2_PLACEMENT_3D('',#15005,#15006,#15007); +#15009=PLANE('',#15008); +#15011=ORIENTED_EDGE('',*,*,#15010,.F.); +#15013=ORIENTED_EDGE('',*,*,#15012,.T.); +#15014=ORIENTED_EDGE('',*,*,#14981,.T.); +#15016=ORIENTED_EDGE('',*,*,#15015,.F.); +#15017=EDGE_LOOP('',(#15011,#15013,#15014,#15016)); +#15018=FACE_OUTER_BOUND('',#15017,.F.); +#15020=CARTESIAN_POINT('',(6.9103875E0,-2.62509E0,-1.397E1)); +#15021=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15022=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#15023=AXIS2_PLACEMENT_3D('',#15020,#15021,#15022); +#15024=PLANE('',#15023); +#15026=ORIENTED_EDGE('',*,*,#15025,.T.); +#15027=ORIENTED_EDGE('',*,*,#15010,.T.); +#15029=ORIENTED_EDGE('',*,*,#15028,.F.); +#15030=ORIENTED_EDGE('',*,*,#10224,.T.); +#15031=EDGE_LOOP('',(#15026,#15027,#15029,#15030)); +#15032=FACE_OUTER_BOUND('',#15031,.F.); +#15034=CARTESIAN_POINT('',(7.9248E0,-2.62509E0,-1.397E1)); +#15035=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15036=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15037=AXIS2_PLACEMENT_3D('',#15034,#15035,#15036); +#15038=PLANE('',#15037); +#15039=ORIENTED_EDGE('',*,*,#10222,.T.); +#15041=ORIENTED_EDGE('',*,*,#15040,.F.); +#15043=ORIENTED_EDGE('',*,*,#15042,.T.); +#15044=ORIENTED_EDGE('',*,*,#14983,.T.); +#15045=ORIENTED_EDGE('',*,*,#15012,.F.); +#15046=ORIENTED_EDGE('',*,*,#15025,.F.); +#15047=EDGE_LOOP('',(#15039,#15041,#15043,#15044,#15045,#15046)); +#15048=FACE_OUTER_BOUND('',#15047,.F.); +#15050=CARTESIAN_POINT('',(7.9248E0,-2.62509E0,-1.5494E1)); +#15051=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15052=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#15053=AXIS2_PLACEMENT_3D('',#15050,#15051,#15052); +#15054=PLANE('',#15053); +#15055=ORIENTED_EDGE('',*,*,#15040,.T.); +#15056=ORIENTED_EDGE('',*,*,#10228,.F.); +#15058=ORIENTED_EDGE('',*,*,#15057,.F.); +#15060=ORIENTED_EDGE('',*,*,#15059,.T.); +#15061=EDGE_LOOP('',(#15055,#15056,#15058,#15060)); +#15062=FACE_OUTER_BOUND('',#15061,.F.); +#15064=CARTESIAN_POINT('',(7.3152E0,-2.22631E0,-1.397E1)); +#15065=DIRECTION('',(0.E0,1.E0,0.E0)); +#15066=DIRECTION('',(1.E0,0.E0,0.E0)); +#15067=AXIS2_PLACEMENT_3D('',#15064,#15065,#15066); +#15068=PLANE('',#15067); +#15070=ORIENTED_EDGE('',*,*,#15069,.F.); +#15071=ORIENTED_EDGE('',*,*,#15057,.T.); +#15072=ORIENTED_EDGE('',*,*,#10226,.T.); +#15073=ORIENTED_EDGE('',*,*,#15028,.T.); +#15074=ORIENTED_EDGE('',*,*,#15015,.T.); +#15075=ORIENTED_EDGE('',*,*,#14987,.T.); +#15076=EDGE_LOOP('',(#15070,#15071,#15072,#15073,#15074,#15075)); +#15077=FACE_OUTER_BOUND('',#15076,.F.); +#15079=CARTESIAN_POINT('',(7.9248E0,-2.22631E0,-1.397E1)); +#15080=DIRECTION('',(1.E0,0.E0,0.E0)); +#15081=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15082=AXIS2_PLACEMENT_3D('',#15079,#15080,#15081); +#15083=PLANE('',#15082); +#15084=ORIENTED_EDGE('',*,*,#15059,.F.); +#15085=ORIENTED_EDGE('',*,*,#15069,.T.); +#15086=ORIENTED_EDGE('',*,*,#14985,.T.); +#15087=ORIENTED_EDGE('',*,*,#15042,.F.); +#15088=EDGE_LOOP('',(#15084,#15085,#15086,#15087)); +#15089=FACE_OUTER_BOUND('',#15088,.F.); +#15091=CARTESIAN_POINT('',(7.3152E0,2.22631E0,-1.397E1)); +#15092=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15093=DIRECTION('',(0.E0,1.E0,0.E0)); +#15094=AXIS2_PLACEMENT_3D('',#15091,#15092,#15093); +#15095=PLANE('',#15094); +#15097=ORIENTED_EDGE('',*,*,#15096,.F.); +#15098=ORIENTED_EDGE('',*,*,#14969,.T.); +#15099=ORIENTED_EDGE('',*,*,#14998,.T.); +#15100=ORIENTED_EDGE('',*,*,#14924,.F.); +#15101=EDGE_LOOP('',(#15097,#15098,#15099,#15100)); +#15102=FACE_OUTER_BOUND('',#15101,.F.); +#15104=CARTESIAN_POINT('',(7.3152E0,2.62509E0,-1.5494E1)); +#15105=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15106=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#15107=AXIS2_PLACEMENT_3D('',#15104,#15105,#15106); +#15108=PLANE('',#15107); +#15109=ORIENTED_EDGE('',*,*,#14922,.T.); +#15110=ORIENTED_EDGE('',*,*,#10218,.F.); +#15111=ORIENTED_EDGE('',*,*,#14971,.F.); +#15112=ORIENTED_EDGE('',*,*,#15096,.T.); +#15113=EDGE_LOOP('',(#15109,#15110,#15111,#15112)); +#15114=FACE_OUTER_BOUND('',#15113,.F.); +#15116=CARTESIAN_POINT('',(9.8552E0,2.62509E0,-1.397E1)); +#15117=DIRECTION('',(0.E0,1.E0,0.E0)); +#15118=DIRECTION('',(1.E0,0.E0,0.E0)); +#15119=AXIS2_PLACEMENT_3D('',#15116,#15117,#15118); +#15120=PLANE('',#15119); +#15122=ORIENTED_EDGE('',*,*,#15121,.F.); +#15124=ORIENTED_EDGE('',*,*,#15123,.F.); +#15125=ORIENTED_EDGE('',*,*,#10232,.T.); +#15127=ORIENTED_EDGE('',*,*,#15126,.F.); +#15129=ORIENTED_EDGE('',*,*,#15128,.T.); +#15131=ORIENTED_EDGE('',*,*,#15130,.T.); +#15132=EDGE_LOOP('',(#15122,#15124,#15125,#15127,#15129,#15131)); +#15133=FACE_OUTER_BOUND('',#15132,.F.); +#15135=CARTESIAN_POINT('',(1.04648E1,2.62509E0,-1.397E1)); +#15136=DIRECTION('',(1.E0,0.E0,0.E0)); +#15137=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15138=AXIS2_PLACEMENT_3D('',#15135,#15136,#15137); +#15139=PLANE('',#15138); +#15141=ORIENTED_EDGE('',*,*,#15140,.F.); +#15142=ORIENTED_EDGE('',*,*,#15121,.T.); +#15144=ORIENTED_EDGE('',*,*,#15143,.T.); +#15146=ORIENTED_EDGE('',*,*,#15145,.F.); +#15147=EDGE_LOOP('',(#15141,#15142,#15144,#15146)); +#15148=FACE_OUTER_BOUND('',#15147,.F.); +#15150=CARTESIAN_POINT('',(1.08696125E1,2.62509E0,-1.397E1)); +#15151=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15152=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#15153=AXIS2_PLACEMENT_3D('',#15150,#15151,#15152); +#15154=PLANE('',#15153); +#15155=ORIENTED_EDGE('',*,*,#15123,.T.); +#15156=ORIENTED_EDGE('',*,*,#15140,.T.); +#15158=ORIENTED_EDGE('',*,*,#15157,.F.); +#15159=ORIENTED_EDGE('',*,*,#10234,.T.); +#15160=EDGE_LOOP('',(#15155,#15156,#15158,#15159)); +#15161=FACE_OUTER_BOUND('',#15160,.F.); +#15163=CARTESIAN_POINT('',(1.04648E1,2.22631E0,-1.397E1)); +#15164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15165=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15166=AXIS2_PLACEMENT_3D('',#15163,#15164,#15165); +#15167=PLANE('',#15166); +#15168=ORIENTED_EDGE('',*,*,#10236,.T.); +#15169=ORIENTED_EDGE('',*,*,#15157,.T.); +#15170=ORIENTED_EDGE('',*,*,#15145,.T.); +#15172=ORIENTED_EDGE('',*,*,#15171,.T.); +#15174=ORIENTED_EDGE('',*,*,#15173,.F.); +#15176=ORIENTED_EDGE('',*,*,#15175,.T.); +#15177=EDGE_LOOP('',(#15168,#15169,#15170,#15172,#15174,#15176)); +#15178=FACE_OUTER_BOUND('',#15177,.F.); +#15180=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#15181=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15182=DIRECTION('',(1.E0,0.E0,0.E0)); +#15183=AXIS2_PLACEMENT_3D('',#15180,#15181,#15182); +#15184=PLANE('',#15183); +#15186=ORIENTED_EDGE('',*,*,#15185,.F.); +#15188=ORIENTED_EDGE('',*,*,#15187,.F.); +#15190=ORIENTED_EDGE('',*,*,#15189,.F.); +#15192=ORIENTED_EDGE('',*,*,#15191,.F.); +#15193=EDGE_LOOP('',(#15186,#15188,#15190,#15192)); +#15194=FACE_OUTER_BOUND('',#15193,.F.); +#15196=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#15197=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15198=DIRECTION('',(1.E0,0.E0,0.E0)); +#15199=AXIS2_PLACEMENT_3D('',#15196,#15197,#15198); +#15200=PLANE('',#15199); +#15201=ORIENTED_EDGE('',*,*,#15130,.F.); +#15203=ORIENTED_EDGE('',*,*,#15202,.F.); +#15204=ORIENTED_EDGE('',*,*,#15171,.F.); +#15205=ORIENTED_EDGE('',*,*,#15143,.F.); +#15206=EDGE_LOOP('',(#15201,#15203,#15204,#15205)); +#15207=FACE_OUTER_BOUND('',#15206,.F.); +#15209=CARTESIAN_POINT('',(9.8552E0,-2.62509E0,-1.397E1)); +#15210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15211=DIRECTION('',(0.E0,1.E0,0.E0)); +#15212=AXIS2_PLACEMENT_3D('',#15209,#15210,#15211); +#15213=PLANE('',#15212); +#15215=ORIENTED_EDGE('',*,*,#15214,.F.); +#15217=ORIENTED_EDGE('',*,*,#15216,.T.); +#15218=ORIENTED_EDGE('',*,*,#15185,.T.); +#15220=ORIENTED_EDGE('',*,*,#15219,.F.); +#15221=EDGE_LOOP('',(#15215,#15217,#15218,#15220)); +#15222=FACE_OUTER_BOUND('',#15221,.F.); +#15224=CARTESIAN_POINT('',(9.4503875E0,-2.62509E0,-1.397E1)); +#15225=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15226=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#15227=AXIS2_PLACEMENT_3D('',#15224,#15225,#15226); +#15228=PLANE('',#15227); +#15230=ORIENTED_EDGE('',*,*,#15229,.T.); +#15231=ORIENTED_EDGE('',*,*,#15214,.T.); +#15233=ORIENTED_EDGE('',*,*,#15232,.F.); +#15234=ORIENTED_EDGE('',*,*,#10244,.T.); +#15235=EDGE_LOOP('',(#15230,#15231,#15233,#15234)); +#15236=FACE_OUTER_BOUND('',#15235,.F.); +#15238=CARTESIAN_POINT('',(1.04648E1,-2.62509E0,-1.397E1)); +#15239=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15240=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15241=AXIS2_PLACEMENT_3D('',#15238,#15239,#15240); +#15242=PLANE('',#15241); +#15243=ORIENTED_EDGE('',*,*,#10242,.T.); +#15245=ORIENTED_EDGE('',*,*,#15244,.F.); +#15247=ORIENTED_EDGE('',*,*,#15246,.T.); +#15248=ORIENTED_EDGE('',*,*,#15187,.T.); +#15249=ORIENTED_EDGE('',*,*,#15216,.F.); +#15250=ORIENTED_EDGE('',*,*,#15229,.F.); +#15251=EDGE_LOOP('',(#15243,#15245,#15247,#15248,#15249,#15250)); +#15252=FACE_OUTER_BOUND('',#15251,.F.); +#15254=CARTESIAN_POINT('',(1.04648E1,-2.62509E0,-1.5494E1)); +#15255=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15256=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#15257=AXIS2_PLACEMENT_3D('',#15254,#15255,#15256); +#15258=PLANE('',#15257); +#15259=ORIENTED_EDGE('',*,*,#15244,.T.); +#15260=ORIENTED_EDGE('',*,*,#10248,.F.); +#15262=ORIENTED_EDGE('',*,*,#15261,.F.); +#15264=ORIENTED_EDGE('',*,*,#15263,.T.); +#15265=EDGE_LOOP('',(#15259,#15260,#15262,#15264)); +#15266=FACE_OUTER_BOUND('',#15265,.F.); +#15268=CARTESIAN_POINT('',(9.8552E0,-2.22631E0,-1.397E1)); +#15269=DIRECTION('',(0.E0,1.E0,0.E0)); +#15270=DIRECTION('',(1.E0,0.E0,0.E0)); +#15271=AXIS2_PLACEMENT_3D('',#15268,#15269,#15270); +#15272=PLANE('',#15271); +#15274=ORIENTED_EDGE('',*,*,#15273,.F.); +#15275=ORIENTED_EDGE('',*,*,#15261,.T.); +#15276=ORIENTED_EDGE('',*,*,#10246,.T.); +#15277=ORIENTED_EDGE('',*,*,#15232,.T.); +#15278=ORIENTED_EDGE('',*,*,#15219,.T.); +#15279=ORIENTED_EDGE('',*,*,#15191,.T.); +#15280=EDGE_LOOP('',(#15274,#15275,#15276,#15277,#15278,#15279)); +#15281=FACE_OUTER_BOUND('',#15280,.F.); +#15283=CARTESIAN_POINT('',(1.04648E1,-2.22631E0,-1.397E1)); +#15284=DIRECTION('',(1.E0,0.E0,0.E0)); +#15285=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15286=AXIS2_PLACEMENT_3D('',#15283,#15284,#15285); +#15287=PLANE('',#15286); +#15288=ORIENTED_EDGE('',*,*,#15263,.F.); +#15289=ORIENTED_EDGE('',*,*,#15273,.T.); +#15290=ORIENTED_EDGE('',*,*,#15189,.T.); +#15291=ORIENTED_EDGE('',*,*,#15246,.F.); +#15292=EDGE_LOOP('',(#15288,#15289,#15290,#15291)); +#15293=FACE_OUTER_BOUND('',#15292,.F.); +#15295=CARTESIAN_POINT('',(9.8552E0,2.22631E0,-1.397E1)); +#15296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15297=DIRECTION('',(0.E0,1.E0,0.E0)); +#15298=AXIS2_PLACEMENT_3D('',#15295,#15296,#15297); +#15299=PLANE('',#15298); +#15301=ORIENTED_EDGE('',*,*,#15300,.F.); +#15302=ORIENTED_EDGE('',*,*,#15173,.T.); +#15303=ORIENTED_EDGE('',*,*,#15202,.T.); +#15304=ORIENTED_EDGE('',*,*,#15128,.F.); +#15305=EDGE_LOOP('',(#15301,#15302,#15303,#15304)); +#15306=FACE_OUTER_BOUND('',#15305,.F.); +#15308=CARTESIAN_POINT('',(9.8552E0,2.62509E0,-1.5494E1)); +#15309=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15310=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#15311=AXIS2_PLACEMENT_3D('',#15308,#15309,#15310); +#15312=PLANE('',#15311); +#15313=ORIENTED_EDGE('',*,*,#15126,.T.); +#15314=ORIENTED_EDGE('',*,*,#10238,.F.); +#15315=ORIENTED_EDGE('',*,*,#15175,.F.); +#15316=ORIENTED_EDGE('',*,*,#15300,.T.); +#15317=EDGE_LOOP('',(#15313,#15314,#15315,#15316)); +#15318=FACE_OUTER_BOUND('',#15317,.F.); +#15320=CARTESIAN_POINT('',(1.23952E1,2.62509E0,-1.397E1)); +#15321=DIRECTION('',(0.E0,1.E0,0.E0)); +#15322=DIRECTION('',(1.E0,0.E0,0.E0)); +#15323=AXIS2_PLACEMENT_3D('',#15320,#15321,#15322); +#15324=PLANE('',#15323); +#15326=ORIENTED_EDGE('',*,*,#15325,.F.); +#15328=ORIENTED_EDGE('',*,*,#15327,.F.); +#15329=ORIENTED_EDGE('',*,*,#10252,.T.); +#15331=ORIENTED_EDGE('',*,*,#15330,.F.); +#15333=ORIENTED_EDGE('',*,*,#15332,.T.); +#15335=ORIENTED_EDGE('',*,*,#15334,.T.); +#15336=EDGE_LOOP('',(#15326,#15328,#15329,#15331,#15333,#15335)); +#15337=FACE_OUTER_BOUND('',#15336,.F.); +#15339=CARTESIAN_POINT('',(1.30048E1,2.62509E0,-1.397E1)); +#15340=DIRECTION('',(1.E0,0.E0,0.E0)); +#15341=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15342=AXIS2_PLACEMENT_3D('',#15339,#15340,#15341); +#15343=PLANE('',#15342); +#15345=ORIENTED_EDGE('',*,*,#15344,.F.); +#15346=ORIENTED_EDGE('',*,*,#15325,.T.); +#15348=ORIENTED_EDGE('',*,*,#15347,.T.); +#15350=ORIENTED_EDGE('',*,*,#15349,.F.); +#15351=EDGE_LOOP('',(#15345,#15346,#15348,#15350)); +#15352=FACE_OUTER_BOUND('',#15351,.F.); +#15354=CARTESIAN_POINT('',(1.34096125E1,2.62509E0,-1.397E1)); +#15355=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15356=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#15357=AXIS2_PLACEMENT_3D('',#15354,#15355,#15356); +#15358=PLANE('',#15357); +#15359=ORIENTED_EDGE('',*,*,#15327,.T.); +#15360=ORIENTED_EDGE('',*,*,#15344,.T.); +#15362=ORIENTED_EDGE('',*,*,#15361,.F.); +#15363=ORIENTED_EDGE('',*,*,#10254,.T.); +#15364=EDGE_LOOP('',(#15359,#15360,#15362,#15363)); +#15365=FACE_OUTER_BOUND('',#15364,.F.); +#15367=CARTESIAN_POINT('',(1.30048E1,2.22631E0,-1.397E1)); +#15368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15369=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15370=AXIS2_PLACEMENT_3D('',#15367,#15368,#15369); +#15371=PLANE('',#15370); +#15372=ORIENTED_EDGE('',*,*,#10256,.T.); +#15373=ORIENTED_EDGE('',*,*,#15361,.T.); +#15374=ORIENTED_EDGE('',*,*,#15349,.T.); +#15376=ORIENTED_EDGE('',*,*,#15375,.T.); +#15378=ORIENTED_EDGE('',*,*,#15377,.F.); +#15380=ORIENTED_EDGE('',*,*,#15379,.T.); +#15381=EDGE_LOOP('',(#15372,#15373,#15374,#15376,#15378,#15380)); +#15382=FACE_OUTER_BOUND('',#15381,.F.); +#15384=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#15385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15386=DIRECTION('',(1.E0,0.E0,0.E0)); +#15387=AXIS2_PLACEMENT_3D('',#15384,#15385,#15386); +#15388=PLANE('',#15387); +#15390=ORIENTED_EDGE('',*,*,#15389,.F.); +#15392=ORIENTED_EDGE('',*,*,#15391,.F.); +#15394=ORIENTED_EDGE('',*,*,#15393,.F.); +#15396=ORIENTED_EDGE('',*,*,#15395,.F.); +#15397=EDGE_LOOP('',(#15390,#15392,#15394,#15396)); +#15398=FACE_OUTER_BOUND('',#15397,.F.); +#15400=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#15401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15402=DIRECTION('',(1.E0,0.E0,0.E0)); +#15403=AXIS2_PLACEMENT_3D('',#15400,#15401,#15402); +#15404=PLANE('',#15403); +#15405=ORIENTED_EDGE('',*,*,#15334,.F.); +#15407=ORIENTED_EDGE('',*,*,#15406,.F.); +#15408=ORIENTED_EDGE('',*,*,#15375,.F.); +#15409=ORIENTED_EDGE('',*,*,#15347,.F.); +#15410=EDGE_LOOP('',(#15405,#15407,#15408,#15409)); +#15411=FACE_OUTER_BOUND('',#15410,.F.); +#15413=CARTESIAN_POINT('',(1.23952E1,-2.62509E0,-1.397E1)); +#15414=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15415=DIRECTION('',(0.E0,1.E0,0.E0)); +#15416=AXIS2_PLACEMENT_3D('',#15413,#15414,#15415); +#15417=PLANE('',#15416); +#15419=ORIENTED_EDGE('',*,*,#15418,.F.); +#15421=ORIENTED_EDGE('',*,*,#15420,.T.); +#15422=ORIENTED_EDGE('',*,*,#15389,.T.); +#15424=ORIENTED_EDGE('',*,*,#15423,.F.); +#15425=EDGE_LOOP('',(#15419,#15421,#15422,#15424)); +#15426=FACE_OUTER_BOUND('',#15425,.F.); +#15428=CARTESIAN_POINT('',(1.19903875E1,-2.62509E0,-1.397E1)); +#15429=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15430=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#15431=AXIS2_PLACEMENT_3D('',#15428,#15429,#15430); +#15432=PLANE('',#15431); +#15434=ORIENTED_EDGE('',*,*,#15433,.T.); +#15435=ORIENTED_EDGE('',*,*,#15418,.T.); +#15437=ORIENTED_EDGE('',*,*,#15436,.F.); +#15438=ORIENTED_EDGE('',*,*,#10264,.T.); +#15439=EDGE_LOOP('',(#15434,#15435,#15437,#15438)); +#15440=FACE_OUTER_BOUND('',#15439,.F.); +#15442=CARTESIAN_POINT('',(1.30048E1,-2.62509E0,-1.397E1)); +#15443=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15444=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15445=AXIS2_PLACEMENT_3D('',#15442,#15443,#15444); +#15446=PLANE('',#15445); +#15447=ORIENTED_EDGE('',*,*,#10262,.T.); +#15449=ORIENTED_EDGE('',*,*,#15448,.F.); +#15451=ORIENTED_EDGE('',*,*,#15450,.T.); +#15452=ORIENTED_EDGE('',*,*,#15391,.T.); +#15453=ORIENTED_EDGE('',*,*,#15420,.F.); +#15454=ORIENTED_EDGE('',*,*,#15433,.F.); +#15455=EDGE_LOOP('',(#15447,#15449,#15451,#15452,#15453,#15454)); +#15456=FACE_OUTER_BOUND('',#15455,.F.); +#15458=CARTESIAN_POINT('',(1.30048E1,-2.62509E0,-1.5494E1)); +#15459=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15460=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#15461=AXIS2_PLACEMENT_3D('',#15458,#15459,#15460); +#15462=PLANE('',#15461); +#15463=ORIENTED_EDGE('',*,*,#15448,.T.); +#15464=ORIENTED_EDGE('',*,*,#10268,.F.); +#15466=ORIENTED_EDGE('',*,*,#15465,.F.); +#15468=ORIENTED_EDGE('',*,*,#15467,.T.); +#15469=EDGE_LOOP('',(#15463,#15464,#15466,#15468)); +#15470=FACE_OUTER_BOUND('',#15469,.F.); +#15472=CARTESIAN_POINT('',(1.23952E1,-2.22631E0,-1.397E1)); +#15473=DIRECTION('',(0.E0,1.E0,0.E0)); +#15474=DIRECTION('',(1.E0,0.E0,0.E0)); +#15475=AXIS2_PLACEMENT_3D('',#15472,#15473,#15474); +#15476=PLANE('',#15475); +#15478=ORIENTED_EDGE('',*,*,#15477,.F.); +#15479=ORIENTED_EDGE('',*,*,#15465,.T.); +#15480=ORIENTED_EDGE('',*,*,#10266,.T.); +#15481=ORIENTED_EDGE('',*,*,#15436,.T.); +#15482=ORIENTED_EDGE('',*,*,#15423,.T.); +#15483=ORIENTED_EDGE('',*,*,#15395,.T.); +#15484=EDGE_LOOP('',(#15478,#15479,#15480,#15481,#15482,#15483)); +#15485=FACE_OUTER_BOUND('',#15484,.F.); +#15487=CARTESIAN_POINT('',(1.30048E1,-2.22631E0,-1.397E1)); +#15488=DIRECTION('',(1.E0,0.E0,0.E0)); +#15489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15490=AXIS2_PLACEMENT_3D('',#15487,#15488,#15489); +#15491=PLANE('',#15490); +#15492=ORIENTED_EDGE('',*,*,#15467,.F.); +#15493=ORIENTED_EDGE('',*,*,#15477,.T.); +#15494=ORIENTED_EDGE('',*,*,#15393,.T.); +#15495=ORIENTED_EDGE('',*,*,#15450,.F.); +#15496=EDGE_LOOP('',(#15492,#15493,#15494,#15495)); +#15497=FACE_OUTER_BOUND('',#15496,.F.); +#15499=CARTESIAN_POINT('',(1.23952E1,2.22631E0,-1.397E1)); +#15500=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15501=DIRECTION('',(0.E0,1.E0,0.E0)); +#15502=AXIS2_PLACEMENT_3D('',#15499,#15500,#15501); +#15503=PLANE('',#15502); +#15505=ORIENTED_EDGE('',*,*,#15504,.F.); +#15506=ORIENTED_EDGE('',*,*,#15377,.T.); +#15507=ORIENTED_EDGE('',*,*,#15406,.T.); +#15508=ORIENTED_EDGE('',*,*,#15332,.F.); +#15509=EDGE_LOOP('',(#15505,#15506,#15507,#15508)); +#15510=FACE_OUTER_BOUND('',#15509,.F.); +#15512=CARTESIAN_POINT('',(1.23952E1,2.62509E0,-1.5494E1)); +#15513=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15514=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#15515=AXIS2_PLACEMENT_3D('',#15512,#15513,#15514); +#15516=PLANE('',#15515); +#15517=ORIENTED_EDGE('',*,*,#15330,.T.); +#15518=ORIENTED_EDGE('',*,*,#10258,.F.); +#15519=ORIENTED_EDGE('',*,*,#15379,.F.); +#15520=ORIENTED_EDGE('',*,*,#15504,.T.); +#15521=EDGE_LOOP('',(#15517,#15518,#15519,#15520)); +#15522=FACE_OUTER_BOUND('',#15521,.F.); +#15524=CARTESIAN_POINT('',(1.49352E1,2.62509E0,-1.397E1)); +#15525=DIRECTION('',(0.E0,1.E0,0.E0)); +#15526=DIRECTION('',(1.E0,0.E0,0.E0)); +#15527=AXIS2_PLACEMENT_3D('',#15524,#15525,#15526); +#15528=PLANE('',#15527); +#15530=ORIENTED_EDGE('',*,*,#15529,.F.); +#15532=ORIENTED_EDGE('',*,*,#15531,.F.); +#15533=ORIENTED_EDGE('',*,*,#10272,.T.); +#15535=ORIENTED_EDGE('',*,*,#15534,.F.); +#15537=ORIENTED_EDGE('',*,*,#15536,.T.); +#15539=ORIENTED_EDGE('',*,*,#15538,.T.); +#15540=EDGE_LOOP('',(#15530,#15532,#15533,#15535,#15537,#15539)); +#15541=FACE_OUTER_BOUND('',#15540,.F.); +#15543=CARTESIAN_POINT('',(1.55448E1,2.62509E0,-1.397E1)); +#15544=DIRECTION('',(1.E0,0.E0,0.E0)); +#15545=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15546=AXIS2_PLACEMENT_3D('',#15543,#15544,#15545); +#15547=PLANE('',#15546); +#15549=ORIENTED_EDGE('',*,*,#15548,.F.); +#15550=ORIENTED_EDGE('',*,*,#15529,.T.); +#15552=ORIENTED_EDGE('',*,*,#15551,.T.); +#15554=ORIENTED_EDGE('',*,*,#15553,.F.); +#15555=EDGE_LOOP('',(#15549,#15550,#15552,#15554)); +#15556=FACE_OUTER_BOUND('',#15555,.F.); +#15558=CARTESIAN_POINT('',(1.59496125E1,2.62509E0,-1.397E1)); +#15559=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#15560=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#15561=AXIS2_PLACEMENT_3D('',#15558,#15559,#15560); +#15562=PLANE('',#15561); +#15563=ORIENTED_EDGE('',*,*,#15531,.T.); +#15564=ORIENTED_EDGE('',*,*,#15548,.T.); +#15566=ORIENTED_EDGE('',*,*,#15565,.F.); +#15567=ORIENTED_EDGE('',*,*,#10274,.T.); +#15568=EDGE_LOOP('',(#15563,#15564,#15566,#15567)); +#15569=FACE_OUTER_BOUND('',#15568,.F.); +#15571=CARTESIAN_POINT('',(1.55448E1,2.22631E0,-1.397E1)); +#15572=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15574=AXIS2_PLACEMENT_3D('',#15571,#15572,#15573); +#15575=PLANE('',#15574); +#15576=ORIENTED_EDGE('',*,*,#10276,.T.); +#15577=ORIENTED_EDGE('',*,*,#15565,.T.); +#15578=ORIENTED_EDGE('',*,*,#15553,.T.); +#15580=ORIENTED_EDGE('',*,*,#15579,.T.); +#15582=ORIENTED_EDGE('',*,*,#15581,.F.); +#15584=ORIENTED_EDGE('',*,*,#15583,.T.); +#15585=EDGE_LOOP('',(#15576,#15577,#15578,#15580,#15582,#15584)); +#15586=FACE_OUTER_BOUND('',#15585,.F.); +#15588=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#15589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15590=DIRECTION('',(1.E0,0.E0,0.E0)); +#15591=AXIS2_PLACEMENT_3D('',#15588,#15589,#15590); +#15592=PLANE('',#15591); +#15594=ORIENTED_EDGE('',*,*,#15593,.F.); +#15596=ORIENTED_EDGE('',*,*,#15595,.F.); +#15598=ORIENTED_EDGE('',*,*,#15597,.F.); +#15600=ORIENTED_EDGE('',*,*,#15599,.F.); +#15601=EDGE_LOOP('',(#15594,#15596,#15598,#15600)); +#15602=FACE_OUTER_BOUND('',#15601,.F.); +#15604=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#15605=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15606=DIRECTION('',(1.E0,0.E0,0.E0)); +#15607=AXIS2_PLACEMENT_3D('',#15604,#15605,#15606); +#15608=PLANE('',#15607); +#15609=ORIENTED_EDGE('',*,*,#15538,.F.); +#15611=ORIENTED_EDGE('',*,*,#15610,.F.); +#15612=ORIENTED_EDGE('',*,*,#15579,.F.); +#15613=ORIENTED_EDGE('',*,*,#15551,.F.); +#15614=EDGE_LOOP('',(#15609,#15611,#15612,#15613)); +#15615=FACE_OUTER_BOUND('',#15614,.F.); +#15617=CARTESIAN_POINT('',(1.49352E1,-2.62509E0,-1.397E1)); +#15618=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15619=DIRECTION('',(0.E0,1.E0,0.E0)); +#15620=AXIS2_PLACEMENT_3D('',#15617,#15618,#15619); +#15621=PLANE('',#15620); +#15623=ORIENTED_EDGE('',*,*,#15622,.F.); +#15625=ORIENTED_EDGE('',*,*,#15624,.T.); +#15626=ORIENTED_EDGE('',*,*,#15593,.T.); +#15628=ORIENTED_EDGE('',*,*,#15627,.F.); +#15629=EDGE_LOOP('',(#15623,#15625,#15626,#15628)); +#15630=FACE_OUTER_BOUND('',#15629,.F.); +#15632=CARTESIAN_POINT('',(1.45303875E1,-2.62509E0,-1.397E1)); +#15633=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15634=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#15635=AXIS2_PLACEMENT_3D('',#15632,#15633,#15634); +#15636=PLANE('',#15635); +#15638=ORIENTED_EDGE('',*,*,#15637,.T.); +#15639=ORIENTED_EDGE('',*,*,#15622,.T.); +#15641=ORIENTED_EDGE('',*,*,#15640,.F.); +#15642=ORIENTED_EDGE('',*,*,#10284,.T.); +#15643=EDGE_LOOP('',(#15638,#15639,#15641,#15642)); +#15644=FACE_OUTER_BOUND('',#15643,.F.); +#15646=CARTESIAN_POINT('',(1.55448E1,-2.62509E0,-1.397E1)); +#15647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15649=AXIS2_PLACEMENT_3D('',#15646,#15647,#15648); +#15650=PLANE('',#15649); +#15651=ORIENTED_EDGE('',*,*,#10282,.T.); +#15653=ORIENTED_EDGE('',*,*,#15652,.F.); +#15655=ORIENTED_EDGE('',*,*,#15654,.T.); +#15656=ORIENTED_EDGE('',*,*,#15595,.T.); +#15657=ORIENTED_EDGE('',*,*,#15624,.F.); +#15658=ORIENTED_EDGE('',*,*,#15637,.F.); +#15659=EDGE_LOOP('',(#15651,#15653,#15655,#15656,#15657,#15658)); +#15660=FACE_OUTER_BOUND('',#15659,.F.); +#15662=CARTESIAN_POINT('',(1.55448E1,-2.62509E0,-1.5494E1)); +#15663=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#15664=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#15665=AXIS2_PLACEMENT_3D('',#15662,#15663,#15664); +#15666=PLANE('',#15665); +#15667=ORIENTED_EDGE('',*,*,#15652,.T.); +#15668=ORIENTED_EDGE('',*,*,#10288,.F.); +#15670=ORIENTED_EDGE('',*,*,#15669,.F.); +#15672=ORIENTED_EDGE('',*,*,#15671,.T.); +#15673=EDGE_LOOP('',(#15667,#15668,#15670,#15672)); +#15674=FACE_OUTER_BOUND('',#15673,.F.); +#15676=CARTESIAN_POINT('',(1.49352E1,-2.22631E0,-1.397E1)); +#15677=DIRECTION('',(0.E0,1.E0,0.E0)); +#15678=DIRECTION('',(1.E0,0.E0,0.E0)); +#15679=AXIS2_PLACEMENT_3D('',#15676,#15677,#15678); +#15680=PLANE('',#15679); +#15682=ORIENTED_EDGE('',*,*,#15681,.F.); +#15683=ORIENTED_EDGE('',*,*,#15669,.T.); +#15684=ORIENTED_EDGE('',*,*,#10286,.T.); +#15685=ORIENTED_EDGE('',*,*,#15640,.T.); +#15686=ORIENTED_EDGE('',*,*,#15627,.T.); +#15687=ORIENTED_EDGE('',*,*,#15599,.T.); +#15688=EDGE_LOOP('',(#15682,#15683,#15684,#15685,#15686,#15687)); +#15689=FACE_OUTER_BOUND('',#15688,.F.); +#15691=CARTESIAN_POINT('',(1.55448E1,-2.22631E0,-1.397E1)); +#15692=DIRECTION('',(1.E0,0.E0,0.E0)); +#15693=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15694=AXIS2_PLACEMENT_3D('',#15691,#15692,#15693); +#15695=PLANE('',#15694); +#15696=ORIENTED_EDGE('',*,*,#15671,.F.); +#15697=ORIENTED_EDGE('',*,*,#15681,.T.); +#15698=ORIENTED_EDGE('',*,*,#15597,.T.); +#15699=ORIENTED_EDGE('',*,*,#15654,.F.); +#15700=EDGE_LOOP('',(#15696,#15697,#15698,#15699)); +#15701=FACE_OUTER_BOUND('',#15700,.F.); +#15703=CARTESIAN_POINT('',(1.49352E1,2.22631E0,-1.397E1)); +#15704=DIRECTION('',(-1.E0,-1.781791302874E-14,0.E0)); +#15705=DIRECTION('',(-1.781791302874E-14,1.E0,0.E0)); +#15706=AXIS2_PLACEMENT_3D('',#15703,#15704,#15705); +#15707=PLANE('',#15706); +#15709=ORIENTED_EDGE('',*,*,#15708,.F.); +#15710=ORIENTED_EDGE('',*,*,#15581,.T.); +#15711=ORIENTED_EDGE('',*,*,#15610,.T.); +#15712=ORIENTED_EDGE('',*,*,#15536,.F.); +#15713=EDGE_LOOP('',(#15709,#15710,#15711,#15712)); +#15714=FACE_OUTER_BOUND('',#15713,.F.); +#15716=CARTESIAN_POINT('',(1.49352E1,2.62509E0,-1.5494E1)); +#15717=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15718=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#15719=AXIS2_PLACEMENT_3D('',#15716,#15717,#15718); +#15720=PLANE('',#15719); +#15721=ORIENTED_EDGE('',*,*,#15534,.T.); +#15722=ORIENTED_EDGE('',*,*,#10278,.F.); +#15723=ORIENTED_EDGE('',*,*,#15583,.F.); +#15724=ORIENTED_EDGE('',*,*,#15708,.T.); +#15725=EDGE_LOOP('',(#15721,#15722,#15723,#15724)); +#15726=FACE_OUTER_BOUND('',#15725,.F.); +#15728=CARTESIAN_POINT('',(1.74752E1,2.62509E0,-1.397E1)); +#15729=DIRECTION('',(0.E0,1.E0,0.E0)); +#15730=DIRECTION('',(1.E0,0.E0,0.E0)); +#15731=AXIS2_PLACEMENT_3D('',#15728,#15729,#15730); +#15732=PLANE('',#15731); +#15734=ORIENTED_EDGE('',*,*,#15733,.F.); +#15736=ORIENTED_EDGE('',*,*,#15735,.F.); +#15737=ORIENTED_EDGE('',*,*,#10292,.T.); +#15739=ORIENTED_EDGE('',*,*,#15738,.F.); +#15741=ORIENTED_EDGE('',*,*,#15740,.T.); +#15743=ORIENTED_EDGE('',*,*,#15742,.T.); +#15744=EDGE_LOOP('',(#15734,#15736,#15737,#15739,#15741,#15743)); +#15745=FACE_OUTER_BOUND('',#15744,.F.); +#15747=CARTESIAN_POINT('',(1.80848E1,2.62509E0,-1.397E1)); +#15748=DIRECTION('',(1.E0,0.E0,0.E0)); +#15749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15750=AXIS2_PLACEMENT_3D('',#15747,#15748,#15749); +#15751=PLANE('',#15750); +#15753=ORIENTED_EDGE('',*,*,#15752,.F.); +#15754=ORIENTED_EDGE('',*,*,#15733,.T.); +#15756=ORIENTED_EDGE('',*,*,#15755,.T.); +#15758=ORIENTED_EDGE('',*,*,#15757,.F.); +#15759=EDGE_LOOP('',(#15753,#15754,#15756,#15758)); +#15760=FACE_OUTER_BOUND('',#15759,.F.); +#15762=CARTESIAN_POINT('',(1.84896125E1,2.62509E0,-1.397E1)); +#15763=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15764=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#15765=AXIS2_PLACEMENT_3D('',#15762,#15763,#15764); +#15766=PLANE('',#15765); +#15767=ORIENTED_EDGE('',*,*,#15735,.T.); +#15768=ORIENTED_EDGE('',*,*,#15752,.T.); +#15770=ORIENTED_EDGE('',*,*,#15769,.F.); +#15771=ORIENTED_EDGE('',*,*,#10294,.T.); +#15772=EDGE_LOOP('',(#15767,#15768,#15770,#15771)); +#15773=FACE_OUTER_BOUND('',#15772,.F.); +#15775=CARTESIAN_POINT('',(1.80848E1,2.22631E0,-1.397E1)); +#15776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15777=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15778=AXIS2_PLACEMENT_3D('',#15775,#15776,#15777); +#15779=PLANE('',#15778); +#15780=ORIENTED_EDGE('',*,*,#10296,.T.); +#15781=ORIENTED_EDGE('',*,*,#15769,.T.); +#15782=ORIENTED_EDGE('',*,*,#15757,.T.); +#15784=ORIENTED_EDGE('',*,*,#15783,.T.); +#15786=ORIENTED_EDGE('',*,*,#15785,.F.); +#15788=ORIENTED_EDGE('',*,*,#15787,.T.); +#15789=EDGE_LOOP('',(#15780,#15781,#15782,#15784,#15786,#15788)); +#15790=FACE_OUTER_BOUND('',#15789,.F.); +#15792=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#15793=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15794=DIRECTION('',(1.E0,0.E0,0.E0)); +#15795=AXIS2_PLACEMENT_3D('',#15792,#15793,#15794); +#15796=PLANE('',#15795); +#15798=ORIENTED_EDGE('',*,*,#15797,.F.); +#15800=ORIENTED_EDGE('',*,*,#15799,.F.); +#15802=ORIENTED_EDGE('',*,*,#15801,.F.); +#15804=ORIENTED_EDGE('',*,*,#15803,.F.); +#15805=EDGE_LOOP('',(#15798,#15800,#15802,#15804)); +#15806=FACE_OUTER_BOUND('',#15805,.F.); +#15808=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#15809=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15810=DIRECTION('',(1.E0,0.E0,0.E0)); +#15811=AXIS2_PLACEMENT_3D('',#15808,#15809,#15810); +#15812=PLANE('',#15811); +#15813=ORIENTED_EDGE('',*,*,#15742,.F.); +#15815=ORIENTED_EDGE('',*,*,#15814,.F.); +#15816=ORIENTED_EDGE('',*,*,#15783,.F.); +#15817=ORIENTED_EDGE('',*,*,#15755,.F.); +#15818=EDGE_LOOP('',(#15813,#15815,#15816,#15817)); +#15819=FACE_OUTER_BOUND('',#15818,.F.); +#15821=CARTESIAN_POINT('',(1.74752E1,-2.62509E0,-1.397E1)); +#15822=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15823=DIRECTION('',(0.E0,1.E0,0.E0)); +#15824=AXIS2_PLACEMENT_3D('',#15821,#15822,#15823); +#15825=PLANE('',#15824); +#15827=ORIENTED_EDGE('',*,*,#15826,.F.); +#15829=ORIENTED_EDGE('',*,*,#15828,.T.); +#15830=ORIENTED_EDGE('',*,*,#15797,.T.); +#15832=ORIENTED_EDGE('',*,*,#15831,.F.); +#15833=EDGE_LOOP('',(#15827,#15829,#15830,#15832)); +#15834=FACE_OUTER_BOUND('',#15833,.F.); +#15836=CARTESIAN_POINT('',(1.70703875E1,-2.62509E0,-1.397E1)); +#15837=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15838=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#15839=AXIS2_PLACEMENT_3D('',#15836,#15837,#15838); +#15840=PLANE('',#15839); +#15842=ORIENTED_EDGE('',*,*,#15841,.T.); +#15843=ORIENTED_EDGE('',*,*,#15826,.T.); +#15845=ORIENTED_EDGE('',*,*,#15844,.F.); +#15846=ORIENTED_EDGE('',*,*,#10304,.T.); +#15847=EDGE_LOOP('',(#15842,#15843,#15845,#15846)); +#15848=FACE_OUTER_BOUND('',#15847,.F.); +#15850=CARTESIAN_POINT('',(1.80848E1,-2.62509E0,-1.397E1)); +#15851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15852=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15853=AXIS2_PLACEMENT_3D('',#15850,#15851,#15852); +#15854=PLANE('',#15853); +#15855=ORIENTED_EDGE('',*,*,#10302,.T.); +#15857=ORIENTED_EDGE('',*,*,#15856,.F.); +#15859=ORIENTED_EDGE('',*,*,#15858,.T.); +#15860=ORIENTED_EDGE('',*,*,#15799,.T.); +#15861=ORIENTED_EDGE('',*,*,#15828,.F.); +#15862=ORIENTED_EDGE('',*,*,#15841,.F.); +#15863=EDGE_LOOP('',(#15855,#15857,#15859,#15860,#15861,#15862)); +#15864=FACE_OUTER_BOUND('',#15863,.F.); +#15866=CARTESIAN_POINT('',(1.80848E1,-2.62509E0,-1.5494E1)); +#15867=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#15868=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#15869=AXIS2_PLACEMENT_3D('',#15866,#15867,#15868); +#15870=PLANE('',#15869); +#15871=ORIENTED_EDGE('',*,*,#15856,.T.); +#15872=ORIENTED_EDGE('',*,*,#10308,.F.); +#15874=ORIENTED_EDGE('',*,*,#15873,.F.); +#15876=ORIENTED_EDGE('',*,*,#15875,.T.); +#15877=EDGE_LOOP('',(#15871,#15872,#15874,#15876)); +#15878=FACE_OUTER_BOUND('',#15877,.F.); +#15880=CARTESIAN_POINT('',(1.74752E1,-2.22631E0,-1.397E1)); +#15881=DIRECTION('',(0.E0,1.E0,0.E0)); +#15882=DIRECTION('',(1.E0,0.E0,0.E0)); +#15883=AXIS2_PLACEMENT_3D('',#15880,#15881,#15882); +#15884=PLANE('',#15883); +#15886=ORIENTED_EDGE('',*,*,#15885,.F.); +#15887=ORIENTED_EDGE('',*,*,#15873,.T.); +#15888=ORIENTED_EDGE('',*,*,#10306,.T.); +#15889=ORIENTED_EDGE('',*,*,#15844,.T.); +#15890=ORIENTED_EDGE('',*,*,#15831,.T.); +#15891=ORIENTED_EDGE('',*,*,#15803,.T.); +#15892=EDGE_LOOP('',(#15886,#15887,#15888,#15889,#15890,#15891)); +#15893=FACE_OUTER_BOUND('',#15892,.F.); +#15895=CARTESIAN_POINT('',(1.80848E1,-2.22631E0,-1.397E1)); +#15896=DIRECTION('',(1.E0,0.E0,0.E0)); +#15897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15898=AXIS2_PLACEMENT_3D('',#15895,#15896,#15897); +#15899=PLANE('',#15898); +#15900=ORIENTED_EDGE('',*,*,#15875,.F.); +#15901=ORIENTED_EDGE('',*,*,#15885,.T.); +#15902=ORIENTED_EDGE('',*,*,#15801,.T.); +#15903=ORIENTED_EDGE('',*,*,#15858,.F.); +#15904=EDGE_LOOP('',(#15900,#15901,#15902,#15903)); +#15905=FACE_OUTER_BOUND('',#15904,.F.); +#15907=CARTESIAN_POINT('',(1.74752E1,2.22631E0,-1.397E1)); +#15908=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15909=DIRECTION('',(0.E0,1.E0,0.E0)); +#15910=AXIS2_PLACEMENT_3D('',#15907,#15908,#15909); +#15911=PLANE('',#15910); +#15913=ORIENTED_EDGE('',*,*,#15912,.F.); +#15914=ORIENTED_EDGE('',*,*,#15785,.T.); +#15915=ORIENTED_EDGE('',*,*,#15814,.T.); +#15916=ORIENTED_EDGE('',*,*,#15740,.F.); +#15917=EDGE_LOOP('',(#15913,#15914,#15915,#15916)); +#15918=FACE_OUTER_BOUND('',#15917,.F.); +#15920=CARTESIAN_POINT('',(1.74752E1,2.62509E0,-1.5494E1)); +#15921=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15922=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#15923=AXIS2_PLACEMENT_3D('',#15920,#15921,#15922); +#15924=PLANE('',#15923); +#15925=ORIENTED_EDGE('',*,*,#15738,.T.); +#15926=ORIENTED_EDGE('',*,*,#10298,.F.); +#15927=ORIENTED_EDGE('',*,*,#15787,.F.); +#15928=ORIENTED_EDGE('',*,*,#15912,.T.); +#15929=EDGE_LOOP('',(#15925,#15926,#15927,#15928)); +#15930=FACE_OUTER_BOUND('',#15929,.F.); +#15932=CARTESIAN_POINT('',(2.00152E1,2.62509E0,-1.397E1)); +#15933=DIRECTION('',(0.E0,1.E0,0.E0)); +#15934=DIRECTION('',(1.E0,0.E0,0.E0)); +#15935=AXIS2_PLACEMENT_3D('',#15932,#15933,#15934); +#15936=PLANE('',#15935); +#15938=ORIENTED_EDGE('',*,*,#15937,.F.); +#15940=ORIENTED_EDGE('',*,*,#15939,.F.); +#15941=ORIENTED_EDGE('',*,*,#10312,.T.); +#15943=ORIENTED_EDGE('',*,*,#15942,.F.); +#15945=ORIENTED_EDGE('',*,*,#15944,.T.); +#15947=ORIENTED_EDGE('',*,*,#15946,.T.); +#15948=EDGE_LOOP('',(#15938,#15940,#15941,#15943,#15945,#15947)); +#15949=FACE_OUTER_BOUND('',#15948,.F.); +#15951=CARTESIAN_POINT('',(2.06248E1,2.62509E0,-1.397E1)); +#15952=DIRECTION('',(1.E0,0.E0,0.E0)); +#15953=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15954=AXIS2_PLACEMENT_3D('',#15951,#15952,#15953); +#15955=PLANE('',#15954); +#15957=ORIENTED_EDGE('',*,*,#15956,.F.); +#15958=ORIENTED_EDGE('',*,*,#15937,.T.); +#15960=ORIENTED_EDGE('',*,*,#15959,.T.); +#15962=ORIENTED_EDGE('',*,*,#15961,.F.); +#15963=EDGE_LOOP('',(#15957,#15958,#15960,#15962)); +#15964=FACE_OUTER_BOUND('',#15963,.F.); +#15966=CARTESIAN_POINT('',(2.10296125E1,2.62509E0,-1.397E1)); +#15967=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#15968=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#15969=AXIS2_PLACEMENT_3D('',#15966,#15967,#15968); +#15970=PLANE('',#15969); +#15971=ORIENTED_EDGE('',*,*,#15939,.T.); +#15972=ORIENTED_EDGE('',*,*,#15956,.T.); +#15974=ORIENTED_EDGE('',*,*,#15973,.F.); +#15975=ORIENTED_EDGE('',*,*,#10314,.T.); +#15976=EDGE_LOOP('',(#15971,#15972,#15974,#15975)); +#15977=FACE_OUTER_BOUND('',#15976,.F.); +#15979=CARTESIAN_POINT('',(2.06248E1,2.22631E0,-1.397E1)); +#15980=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15981=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15982=AXIS2_PLACEMENT_3D('',#15979,#15980,#15981); +#15983=PLANE('',#15982); +#15984=ORIENTED_EDGE('',*,*,#10316,.T.); +#15985=ORIENTED_EDGE('',*,*,#15973,.T.); +#15986=ORIENTED_EDGE('',*,*,#15961,.T.); +#15988=ORIENTED_EDGE('',*,*,#15987,.T.); +#15990=ORIENTED_EDGE('',*,*,#15989,.F.); +#15992=ORIENTED_EDGE('',*,*,#15991,.T.); +#15993=EDGE_LOOP('',(#15984,#15985,#15986,#15988,#15990,#15992)); +#15994=FACE_OUTER_BOUND('',#15993,.F.); +#15996=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#15997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15998=DIRECTION('',(1.E0,0.E0,0.E0)); +#15999=AXIS2_PLACEMENT_3D('',#15996,#15997,#15998); +#16000=PLANE('',#15999); +#16002=ORIENTED_EDGE('',*,*,#16001,.F.); +#16004=ORIENTED_EDGE('',*,*,#16003,.F.); +#16006=ORIENTED_EDGE('',*,*,#16005,.F.); +#16008=ORIENTED_EDGE('',*,*,#16007,.F.); +#16009=EDGE_LOOP('',(#16002,#16004,#16006,#16008)); +#16010=FACE_OUTER_BOUND('',#16009,.F.); +#16012=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#16013=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16014=DIRECTION('',(1.E0,0.E0,0.E0)); +#16015=AXIS2_PLACEMENT_3D('',#16012,#16013,#16014); +#16016=PLANE('',#16015); +#16017=ORIENTED_EDGE('',*,*,#15946,.F.); +#16019=ORIENTED_EDGE('',*,*,#16018,.F.); +#16020=ORIENTED_EDGE('',*,*,#15987,.F.); +#16021=ORIENTED_EDGE('',*,*,#15959,.F.); +#16022=EDGE_LOOP('',(#16017,#16019,#16020,#16021)); +#16023=FACE_OUTER_BOUND('',#16022,.F.); +#16025=CARTESIAN_POINT('',(2.00152E1,-2.62509E0,-1.397E1)); +#16026=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16027=DIRECTION('',(0.E0,1.E0,0.E0)); +#16028=AXIS2_PLACEMENT_3D('',#16025,#16026,#16027); +#16029=PLANE('',#16028); +#16031=ORIENTED_EDGE('',*,*,#16030,.F.); +#16033=ORIENTED_EDGE('',*,*,#16032,.T.); +#16034=ORIENTED_EDGE('',*,*,#16001,.T.); +#16036=ORIENTED_EDGE('',*,*,#16035,.F.); +#16037=EDGE_LOOP('',(#16031,#16033,#16034,#16036)); +#16038=FACE_OUTER_BOUND('',#16037,.F.); +#16040=CARTESIAN_POINT('',(1.96103875E1,-2.62509E0,-1.397E1)); +#16041=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#16042=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#16043=AXIS2_PLACEMENT_3D('',#16040,#16041,#16042); +#16044=PLANE('',#16043); +#16046=ORIENTED_EDGE('',*,*,#16045,.T.); +#16047=ORIENTED_EDGE('',*,*,#16030,.T.); +#16049=ORIENTED_EDGE('',*,*,#16048,.F.); +#16050=ORIENTED_EDGE('',*,*,#10324,.T.); +#16051=EDGE_LOOP('',(#16046,#16047,#16049,#16050)); +#16052=FACE_OUTER_BOUND('',#16051,.F.); +#16054=CARTESIAN_POINT('',(2.06248E1,-2.62509E0,-1.397E1)); +#16055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16056=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16057=AXIS2_PLACEMENT_3D('',#16054,#16055,#16056); +#16058=PLANE('',#16057); +#16059=ORIENTED_EDGE('',*,*,#10322,.T.); +#16061=ORIENTED_EDGE('',*,*,#16060,.F.); +#16063=ORIENTED_EDGE('',*,*,#16062,.T.); +#16064=ORIENTED_EDGE('',*,*,#16003,.T.); +#16065=ORIENTED_EDGE('',*,*,#16032,.F.); +#16066=ORIENTED_EDGE('',*,*,#16045,.F.); +#16067=EDGE_LOOP('',(#16059,#16061,#16063,#16064,#16065,#16066)); +#16068=FACE_OUTER_BOUND('',#16067,.F.); +#16070=CARTESIAN_POINT('',(2.06248E1,-2.62509E0,-1.5494E1)); +#16071=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16072=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#16073=AXIS2_PLACEMENT_3D('',#16070,#16071,#16072); +#16074=PLANE('',#16073); +#16075=ORIENTED_EDGE('',*,*,#16060,.T.); +#16076=ORIENTED_EDGE('',*,*,#10328,.F.); +#16078=ORIENTED_EDGE('',*,*,#16077,.F.); +#16080=ORIENTED_EDGE('',*,*,#16079,.T.); +#16081=EDGE_LOOP('',(#16075,#16076,#16078,#16080)); +#16082=FACE_OUTER_BOUND('',#16081,.F.); +#16084=CARTESIAN_POINT('',(2.00152E1,-2.22631E0,-1.397E1)); +#16085=DIRECTION('',(0.E0,1.E0,0.E0)); +#16086=DIRECTION('',(1.E0,0.E0,0.E0)); +#16087=AXIS2_PLACEMENT_3D('',#16084,#16085,#16086); +#16088=PLANE('',#16087); +#16090=ORIENTED_EDGE('',*,*,#16089,.F.); +#16091=ORIENTED_EDGE('',*,*,#16077,.T.); +#16092=ORIENTED_EDGE('',*,*,#10326,.T.); +#16093=ORIENTED_EDGE('',*,*,#16048,.T.); +#16094=ORIENTED_EDGE('',*,*,#16035,.T.); +#16095=ORIENTED_EDGE('',*,*,#16007,.T.); +#16096=EDGE_LOOP('',(#16090,#16091,#16092,#16093,#16094,#16095)); +#16097=FACE_OUTER_BOUND('',#16096,.F.); +#16099=CARTESIAN_POINT('',(2.06248E1,-2.22631E0,-1.397E1)); +#16100=DIRECTION('',(1.E0,0.E0,0.E0)); +#16101=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16102=AXIS2_PLACEMENT_3D('',#16099,#16100,#16101); +#16103=PLANE('',#16102); +#16104=ORIENTED_EDGE('',*,*,#16079,.F.); +#16105=ORIENTED_EDGE('',*,*,#16089,.T.); +#16106=ORIENTED_EDGE('',*,*,#16005,.T.); +#16107=ORIENTED_EDGE('',*,*,#16062,.F.); +#16108=EDGE_LOOP('',(#16104,#16105,#16106,#16107)); +#16109=FACE_OUTER_BOUND('',#16108,.F.); +#16111=CARTESIAN_POINT('',(2.00152E1,2.22631E0,-1.397E1)); +#16112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16113=DIRECTION('',(0.E0,1.E0,0.E0)); +#16114=AXIS2_PLACEMENT_3D('',#16111,#16112,#16113); +#16115=PLANE('',#16114); +#16117=ORIENTED_EDGE('',*,*,#16116,.F.); +#16118=ORIENTED_EDGE('',*,*,#15989,.T.); +#16119=ORIENTED_EDGE('',*,*,#16018,.T.); +#16120=ORIENTED_EDGE('',*,*,#15944,.F.); +#16121=EDGE_LOOP('',(#16117,#16118,#16119,#16120)); +#16122=FACE_OUTER_BOUND('',#16121,.F.); +#16124=CARTESIAN_POINT('',(2.00152E1,2.62509E0,-1.5494E1)); +#16125=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#16126=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#16127=AXIS2_PLACEMENT_3D('',#16124,#16125,#16126); +#16128=PLANE('',#16127); +#16129=ORIENTED_EDGE('',*,*,#15942,.T.); +#16130=ORIENTED_EDGE('',*,*,#10318,.F.); +#16131=ORIENTED_EDGE('',*,*,#15991,.F.); +#16132=ORIENTED_EDGE('',*,*,#16116,.T.); +#16133=EDGE_LOOP('',(#16129,#16130,#16131,#16132)); +#16134=FACE_OUTER_BOUND('',#16133,.F.); +#16136=CARTESIAN_POINT('',(2.25552E1,2.62509E0,-1.397E1)); +#16137=DIRECTION('',(0.E0,1.E0,0.E0)); +#16138=DIRECTION('',(1.E0,0.E0,0.E0)); +#16139=AXIS2_PLACEMENT_3D('',#16136,#16137,#16138); +#16140=PLANE('',#16139); +#16142=ORIENTED_EDGE('',*,*,#16141,.F.); +#16144=ORIENTED_EDGE('',*,*,#16143,.F.); +#16145=ORIENTED_EDGE('',*,*,#10332,.T.); +#16147=ORIENTED_EDGE('',*,*,#16146,.F.); +#16149=ORIENTED_EDGE('',*,*,#16148,.T.); +#16151=ORIENTED_EDGE('',*,*,#16150,.T.); +#16152=EDGE_LOOP('',(#16142,#16144,#16145,#16147,#16149,#16151)); +#16153=FACE_OUTER_BOUND('',#16152,.F.); +#16155=CARTESIAN_POINT('',(2.31648E1,2.62509E0,-1.397E1)); +#16156=DIRECTION('',(1.E0,0.E0,0.E0)); +#16157=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16158=AXIS2_PLACEMENT_3D('',#16155,#16156,#16157); +#16159=PLANE('',#16158); +#16161=ORIENTED_EDGE('',*,*,#16160,.F.); +#16162=ORIENTED_EDGE('',*,*,#16141,.T.); +#16164=ORIENTED_EDGE('',*,*,#16163,.T.); +#16166=ORIENTED_EDGE('',*,*,#16165,.F.); +#16167=EDGE_LOOP('',(#16161,#16162,#16164,#16166)); +#16168=FACE_OUTER_BOUND('',#16167,.F.); +#16170=CARTESIAN_POINT('',(2.35696125E1,2.62509E0,-1.397E1)); +#16171=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16172=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#16173=AXIS2_PLACEMENT_3D('',#16170,#16171,#16172); +#16174=PLANE('',#16173); +#16175=ORIENTED_EDGE('',*,*,#16143,.T.); +#16176=ORIENTED_EDGE('',*,*,#16160,.T.); +#16178=ORIENTED_EDGE('',*,*,#16177,.F.); +#16179=ORIENTED_EDGE('',*,*,#10334,.T.); +#16180=EDGE_LOOP('',(#16175,#16176,#16178,#16179)); +#16181=FACE_OUTER_BOUND('',#16180,.F.); +#16183=CARTESIAN_POINT('',(2.31648E1,2.22631E0,-1.397E1)); +#16184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16186=AXIS2_PLACEMENT_3D('',#16183,#16184,#16185); +#16187=PLANE('',#16186); +#16188=ORIENTED_EDGE('',*,*,#10336,.T.); +#16189=ORIENTED_EDGE('',*,*,#16177,.T.); +#16190=ORIENTED_EDGE('',*,*,#16165,.T.); +#16192=ORIENTED_EDGE('',*,*,#16191,.T.); +#16194=ORIENTED_EDGE('',*,*,#16193,.F.); +#16196=ORIENTED_EDGE('',*,*,#16195,.T.); +#16197=EDGE_LOOP('',(#16188,#16189,#16190,#16192,#16194,#16196)); +#16198=FACE_OUTER_BOUND('',#16197,.F.); +#16200=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#16201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16202=DIRECTION('',(1.E0,0.E0,0.E0)); +#16203=AXIS2_PLACEMENT_3D('',#16200,#16201,#16202); +#16204=PLANE('',#16203); +#16206=ORIENTED_EDGE('',*,*,#16205,.F.); +#16208=ORIENTED_EDGE('',*,*,#16207,.F.); +#16210=ORIENTED_EDGE('',*,*,#16209,.F.); +#16212=ORIENTED_EDGE('',*,*,#16211,.F.); +#16213=EDGE_LOOP('',(#16206,#16208,#16210,#16212)); +#16214=FACE_OUTER_BOUND('',#16213,.F.); +#16216=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#16217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16218=DIRECTION('',(1.E0,0.E0,0.E0)); +#16219=AXIS2_PLACEMENT_3D('',#16216,#16217,#16218); +#16220=PLANE('',#16219); +#16221=ORIENTED_EDGE('',*,*,#16150,.F.); +#16223=ORIENTED_EDGE('',*,*,#16222,.F.); +#16224=ORIENTED_EDGE('',*,*,#16191,.F.); +#16225=ORIENTED_EDGE('',*,*,#16163,.F.); +#16226=EDGE_LOOP('',(#16221,#16223,#16224,#16225)); +#16227=FACE_OUTER_BOUND('',#16226,.F.); +#16229=CARTESIAN_POINT('',(2.25552E1,-2.62509E0,-1.397E1)); +#16230=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16231=DIRECTION('',(0.E0,1.E0,0.E0)); +#16232=AXIS2_PLACEMENT_3D('',#16229,#16230,#16231); +#16233=PLANE('',#16232); +#16235=ORIENTED_EDGE('',*,*,#16234,.F.); +#16237=ORIENTED_EDGE('',*,*,#16236,.T.); +#16238=ORIENTED_EDGE('',*,*,#16205,.T.); +#16240=ORIENTED_EDGE('',*,*,#16239,.F.); +#16241=EDGE_LOOP('',(#16235,#16237,#16238,#16240)); +#16242=FACE_OUTER_BOUND('',#16241,.F.); +#16244=CARTESIAN_POINT('',(2.21503875E1,-2.62509E0,-1.397E1)); +#16245=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16246=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#16247=AXIS2_PLACEMENT_3D('',#16244,#16245,#16246); +#16248=PLANE('',#16247); +#16250=ORIENTED_EDGE('',*,*,#16249,.T.); +#16251=ORIENTED_EDGE('',*,*,#16234,.T.); +#16253=ORIENTED_EDGE('',*,*,#16252,.F.); +#16254=ORIENTED_EDGE('',*,*,#10344,.T.); +#16255=EDGE_LOOP('',(#16250,#16251,#16253,#16254)); +#16256=FACE_OUTER_BOUND('',#16255,.F.); +#16258=CARTESIAN_POINT('',(2.31648E1,-2.62509E0,-1.397E1)); +#16259=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16260=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16261=AXIS2_PLACEMENT_3D('',#16258,#16259,#16260); +#16262=PLANE('',#16261); +#16263=ORIENTED_EDGE('',*,*,#10342,.T.); +#16265=ORIENTED_EDGE('',*,*,#16264,.F.); +#16267=ORIENTED_EDGE('',*,*,#16266,.T.); +#16268=ORIENTED_EDGE('',*,*,#16207,.T.); +#16269=ORIENTED_EDGE('',*,*,#16236,.F.); +#16270=ORIENTED_EDGE('',*,*,#16249,.F.); +#16271=EDGE_LOOP('',(#16263,#16265,#16267,#16268,#16269,#16270)); +#16272=FACE_OUTER_BOUND('',#16271,.F.); +#16274=CARTESIAN_POINT('',(2.31648E1,-2.62509E0,-1.5494E1)); +#16275=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16276=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#16277=AXIS2_PLACEMENT_3D('',#16274,#16275,#16276); +#16278=PLANE('',#16277); +#16279=ORIENTED_EDGE('',*,*,#16264,.T.); +#16280=ORIENTED_EDGE('',*,*,#10348,.F.); +#16282=ORIENTED_EDGE('',*,*,#16281,.F.); +#16284=ORIENTED_EDGE('',*,*,#16283,.T.); +#16285=EDGE_LOOP('',(#16279,#16280,#16282,#16284)); +#16286=FACE_OUTER_BOUND('',#16285,.F.); +#16288=CARTESIAN_POINT('',(2.25552E1,-2.22631E0,-1.397E1)); +#16289=DIRECTION('',(0.E0,1.E0,0.E0)); +#16290=DIRECTION('',(1.E0,0.E0,0.E0)); +#16291=AXIS2_PLACEMENT_3D('',#16288,#16289,#16290); +#16292=PLANE('',#16291); +#16294=ORIENTED_EDGE('',*,*,#16293,.F.); +#16295=ORIENTED_EDGE('',*,*,#16281,.T.); +#16296=ORIENTED_EDGE('',*,*,#10346,.T.); +#16297=ORIENTED_EDGE('',*,*,#16252,.T.); +#16298=ORIENTED_EDGE('',*,*,#16239,.T.); +#16299=ORIENTED_EDGE('',*,*,#16211,.T.); +#16300=EDGE_LOOP('',(#16294,#16295,#16296,#16297,#16298,#16299)); +#16301=FACE_OUTER_BOUND('',#16300,.F.); +#16303=CARTESIAN_POINT('',(2.31648E1,-2.22631E0,-1.397E1)); +#16304=DIRECTION('',(1.E0,0.E0,0.E0)); +#16305=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16306=AXIS2_PLACEMENT_3D('',#16303,#16304,#16305); +#16307=PLANE('',#16306); +#16308=ORIENTED_EDGE('',*,*,#16283,.F.); +#16309=ORIENTED_EDGE('',*,*,#16293,.T.); +#16310=ORIENTED_EDGE('',*,*,#16209,.T.); +#16311=ORIENTED_EDGE('',*,*,#16266,.F.); +#16312=EDGE_LOOP('',(#16308,#16309,#16310,#16311)); +#16313=FACE_OUTER_BOUND('',#16312,.F.); +#16315=CARTESIAN_POINT('',(2.25552E1,2.22631E0,-1.397E1)); +#16316=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16317=DIRECTION('',(0.E0,1.E0,0.E0)); +#16318=AXIS2_PLACEMENT_3D('',#16315,#16316,#16317); +#16319=PLANE('',#16318); +#16321=ORIENTED_EDGE('',*,*,#16320,.F.); +#16322=ORIENTED_EDGE('',*,*,#16193,.T.); +#16323=ORIENTED_EDGE('',*,*,#16222,.T.); +#16324=ORIENTED_EDGE('',*,*,#16148,.F.); +#16325=EDGE_LOOP('',(#16321,#16322,#16323,#16324)); +#16326=FACE_OUTER_BOUND('',#16325,.F.); +#16328=CARTESIAN_POINT('',(2.25552E1,2.62509E0,-1.5494E1)); +#16329=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16330=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#16331=AXIS2_PLACEMENT_3D('',#16328,#16329,#16330); +#16332=PLANE('',#16331); +#16333=ORIENTED_EDGE('',*,*,#16146,.T.); +#16334=ORIENTED_EDGE('',*,*,#10338,.F.); +#16335=ORIENTED_EDGE('',*,*,#16195,.F.); +#16336=ORIENTED_EDGE('',*,*,#16320,.T.); +#16337=EDGE_LOOP('',(#16333,#16334,#16335,#16336)); +#16338=FACE_OUTER_BOUND('',#16337,.F.); +#16340=CARTESIAN_POINT('',(2.50952E1,2.62509E0,-1.397E1)); +#16341=DIRECTION('',(0.E0,1.E0,0.E0)); +#16342=DIRECTION('',(1.E0,0.E0,0.E0)); +#16343=AXIS2_PLACEMENT_3D('',#16340,#16341,#16342); +#16344=PLANE('',#16343); +#16346=ORIENTED_EDGE('',*,*,#16345,.F.); +#16348=ORIENTED_EDGE('',*,*,#16347,.F.); +#16349=ORIENTED_EDGE('',*,*,#10352,.T.); +#16351=ORIENTED_EDGE('',*,*,#16350,.F.); +#16353=ORIENTED_EDGE('',*,*,#16352,.T.); +#16355=ORIENTED_EDGE('',*,*,#16354,.T.); +#16356=EDGE_LOOP('',(#16346,#16348,#16349,#16351,#16353,#16355)); +#16357=FACE_OUTER_BOUND('',#16356,.F.); +#16359=CARTESIAN_POINT('',(2.57048E1,2.62509E0,-1.397E1)); +#16360=DIRECTION('',(1.E0,0.E0,0.E0)); +#16361=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16362=AXIS2_PLACEMENT_3D('',#16359,#16360,#16361); +#16363=PLANE('',#16362); +#16365=ORIENTED_EDGE('',*,*,#16364,.F.); +#16366=ORIENTED_EDGE('',*,*,#16345,.T.); +#16368=ORIENTED_EDGE('',*,*,#16367,.T.); +#16370=ORIENTED_EDGE('',*,*,#16369,.F.); +#16371=EDGE_LOOP('',(#16365,#16366,#16368,#16370)); +#16372=FACE_OUTER_BOUND('',#16371,.F.); +#16374=CARTESIAN_POINT('',(2.61096125E1,2.62509E0,-1.397E1)); +#16375=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16376=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#16377=AXIS2_PLACEMENT_3D('',#16374,#16375,#16376); +#16378=PLANE('',#16377); +#16379=ORIENTED_EDGE('',*,*,#16347,.T.); +#16380=ORIENTED_EDGE('',*,*,#16364,.T.); +#16382=ORIENTED_EDGE('',*,*,#16381,.F.); +#16383=ORIENTED_EDGE('',*,*,#10354,.T.); +#16384=EDGE_LOOP('',(#16379,#16380,#16382,#16383)); +#16385=FACE_OUTER_BOUND('',#16384,.F.); +#16387=CARTESIAN_POINT('',(2.57048E1,2.22631E0,-1.397E1)); +#16388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16389=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16390=AXIS2_PLACEMENT_3D('',#16387,#16388,#16389); +#16391=PLANE('',#16390); +#16392=ORIENTED_EDGE('',*,*,#10356,.T.); +#16393=ORIENTED_EDGE('',*,*,#16381,.T.); +#16394=ORIENTED_EDGE('',*,*,#16369,.T.); +#16396=ORIENTED_EDGE('',*,*,#16395,.T.); +#16398=ORIENTED_EDGE('',*,*,#16397,.F.); +#16400=ORIENTED_EDGE('',*,*,#16399,.T.); +#16401=EDGE_LOOP('',(#16392,#16393,#16394,#16396,#16398,#16400)); +#16402=FACE_OUTER_BOUND('',#16401,.F.); +#16404=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#16405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16406=DIRECTION('',(1.E0,0.E0,0.E0)); +#16407=AXIS2_PLACEMENT_3D('',#16404,#16405,#16406); +#16408=PLANE('',#16407); +#16410=ORIENTED_EDGE('',*,*,#16409,.F.); +#16412=ORIENTED_EDGE('',*,*,#16411,.F.); +#16414=ORIENTED_EDGE('',*,*,#16413,.F.); +#16416=ORIENTED_EDGE('',*,*,#16415,.F.); +#16417=EDGE_LOOP('',(#16410,#16412,#16414,#16416)); +#16418=FACE_OUTER_BOUND('',#16417,.F.); +#16420=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#16421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16422=DIRECTION('',(1.E0,0.E0,0.E0)); +#16423=AXIS2_PLACEMENT_3D('',#16420,#16421,#16422); +#16424=PLANE('',#16423); +#16425=ORIENTED_EDGE('',*,*,#16354,.F.); +#16427=ORIENTED_EDGE('',*,*,#16426,.F.); +#16428=ORIENTED_EDGE('',*,*,#16395,.F.); +#16429=ORIENTED_EDGE('',*,*,#16367,.F.); +#16430=EDGE_LOOP('',(#16425,#16427,#16428,#16429)); +#16431=FACE_OUTER_BOUND('',#16430,.F.); +#16433=CARTESIAN_POINT('',(2.50952E1,-2.62509E0,-1.397E1)); +#16434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16435=DIRECTION('',(0.E0,1.E0,0.E0)); +#16436=AXIS2_PLACEMENT_3D('',#16433,#16434,#16435); +#16437=PLANE('',#16436); +#16439=ORIENTED_EDGE('',*,*,#16438,.F.); +#16441=ORIENTED_EDGE('',*,*,#16440,.T.); +#16442=ORIENTED_EDGE('',*,*,#16409,.T.); +#16444=ORIENTED_EDGE('',*,*,#16443,.F.); +#16445=EDGE_LOOP('',(#16439,#16441,#16442,#16444)); +#16446=FACE_OUTER_BOUND('',#16445,.F.); +#16448=CARTESIAN_POINT('',(2.46903875E1,-2.62509E0,-1.397E1)); +#16449=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16450=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#16451=AXIS2_PLACEMENT_3D('',#16448,#16449,#16450); +#16452=PLANE('',#16451); +#16454=ORIENTED_EDGE('',*,*,#16453,.T.); +#16455=ORIENTED_EDGE('',*,*,#16438,.T.); +#16457=ORIENTED_EDGE('',*,*,#16456,.F.); +#16458=ORIENTED_EDGE('',*,*,#10364,.T.); +#16459=EDGE_LOOP('',(#16454,#16455,#16457,#16458)); +#16460=FACE_OUTER_BOUND('',#16459,.F.); +#16462=CARTESIAN_POINT('',(2.57048E1,-2.62509E0,-1.397E1)); +#16463=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16465=AXIS2_PLACEMENT_3D('',#16462,#16463,#16464); +#16466=PLANE('',#16465); +#16467=ORIENTED_EDGE('',*,*,#10362,.T.); +#16469=ORIENTED_EDGE('',*,*,#16468,.F.); +#16471=ORIENTED_EDGE('',*,*,#16470,.T.); +#16472=ORIENTED_EDGE('',*,*,#16411,.T.); +#16473=ORIENTED_EDGE('',*,*,#16440,.F.); +#16474=ORIENTED_EDGE('',*,*,#16453,.F.); +#16475=EDGE_LOOP('',(#16467,#16469,#16471,#16472,#16473,#16474)); +#16476=FACE_OUTER_BOUND('',#16475,.F.); +#16478=CARTESIAN_POINT('',(2.57048E1,-2.62509E0,-1.5494E1)); +#16479=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16480=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#16481=AXIS2_PLACEMENT_3D('',#16478,#16479,#16480); +#16482=PLANE('',#16481); +#16483=ORIENTED_EDGE('',*,*,#16468,.T.); +#16484=ORIENTED_EDGE('',*,*,#10368,.F.); +#16486=ORIENTED_EDGE('',*,*,#16485,.F.); +#16488=ORIENTED_EDGE('',*,*,#16487,.T.); +#16489=EDGE_LOOP('',(#16483,#16484,#16486,#16488)); +#16490=FACE_OUTER_BOUND('',#16489,.F.); +#16492=CARTESIAN_POINT('',(2.50952E1,-2.22631E0,-1.397E1)); +#16493=DIRECTION('',(0.E0,1.E0,0.E0)); +#16494=DIRECTION('',(1.E0,0.E0,0.E0)); +#16495=AXIS2_PLACEMENT_3D('',#16492,#16493,#16494); +#16496=PLANE('',#16495); +#16498=ORIENTED_EDGE('',*,*,#16497,.F.); +#16499=ORIENTED_EDGE('',*,*,#16485,.T.); +#16500=ORIENTED_EDGE('',*,*,#10366,.T.); +#16501=ORIENTED_EDGE('',*,*,#16456,.T.); +#16502=ORIENTED_EDGE('',*,*,#16443,.T.); +#16503=ORIENTED_EDGE('',*,*,#16415,.T.); +#16504=EDGE_LOOP('',(#16498,#16499,#16500,#16501,#16502,#16503)); +#16505=FACE_OUTER_BOUND('',#16504,.F.); +#16507=CARTESIAN_POINT('',(2.57048E1,-2.22631E0,-1.397E1)); +#16508=DIRECTION('',(1.E0,0.E0,0.E0)); +#16509=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16510=AXIS2_PLACEMENT_3D('',#16507,#16508,#16509); +#16511=PLANE('',#16510); +#16512=ORIENTED_EDGE('',*,*,#16487,.F.); +#16513=ORIENTED_EDGE('',*,*,#16497,.T.); +#16514=ORIENTED_EDGE('',*,*,#16413,.T.); +#16515=ORIENTED_EDGE('',*,*,#16470,.F.); +#16516=EDGE_LOOP('',(#16512,#16513,#16514,#16515)); +#16517=FACE_OUTER_BOUND('',#16516,.F.); +#16519=CARTESIAN_POINT('',(2.50952E1,2.22631E0,-1.397E1)); +#16520=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16521=DIRECTION('',(0.E0,1.E0,0.E0)); +#16522=AXIS2_PLACEMENT_3D('',#16519,#16520,#16521); +#16523=PLANE('',#16522); +#16525=ORIENTED_EDGE('',*,*,#16524,.F.); +#16526=ORIENTED_EDGE('',*,*,#16397,.T.); +#16527=ORIENTED_EDGE('',*,*,#16426,.T.); +#16528=ORIENTED_EDGE('',*,*,#16352,.F.); +#16529=EDGE_LOOP('',(#16525,#16526,#16527,#16528)); +#16530=FACE_OUTER_BOUND('',#16529,.F.); +#16532=CARTESIAN_POINT('',(2.50952E1,2.62509E0,-1.5494E1)); +#16533=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16534=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#16535=AXIS2_PLACEMENT_3D('',#16532,#16533,#16534); +#16536=PLANE('',#16535); +#16537=ORIENTED_EDGE('',*,*,#16350,.T.); +#16538=ORIENTED_EDGE('',*,*,#10358,.F.); +#16539=ORIENTED_EDGE('',*,*,#16399,.F.); +#16540=ORIENTED_EDGE('',*,*,#16524,.T.); +#16541=EDGE_LOOP('',(#16537,#16538,#16539,#16540)); +#16542=FACE_OUTER_BOUND('',#16541,.F.); +#16544=CARTESIAN_POINT('',(2.76352E1,2.62509E0,-1.397E1)); +#16545=DIRECTION('',(0.E0,1.E0,0.E0)); +#16546=DIRECTION('',(1.E0,0.E0,0.E0)); +#16547=AXIS2_PLACEMENT_3D('',#16544,#16545,#16546); +#16548=PLANE('',#16547); +#16550=ORIENTED_EDGE('',*,*,#16549,.F.); +#16552=ORIENTED_EDGE('',*,*,#16551,.F.); +#16553=ORIENTED_EDGE('',*,*,#10372,.T.); +#16555=ORIENTED_EDGE('',*,*,#16554,.F.); +#16557=ORIENTED_EDGE('',*,*,#16556,.T.); +#16559=ORIENTED_EDGE('',*,*,#16558,.T.); +#16560=EDGE_LOOP('',(#16550,#16552,#16553,#16555,#16557,#16559)); +#16561=FACE_OUTER_BOUND('',#16560,.F.); +#16563=CARTESIAN_POINT('',(2.82448E1,2.62509E0,-1.397E1)); +#16564=DIRECTION('',(1.E0,0.E0,0.E0)); +#16565=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16566=AXIS2_PLACEMENT_3D('',#16563,#16564,#16565); +#16567=PLANE('',#16566); +#16569=ORIENTED_EDGE('',*,*,#16568,.F.); +#16570=ORIENTED_EDGE('',*,*,#16549,.T.); +#16572=ORIENTED_EDGE('',*,*,#16571,.T.); +#16574=ORIENTED_EDGE('',*,*,#16573,.F.); +#16575=EDGE_LOOP('',(#16569,#16570,#16572,#16574)); +#16576=FACE_OUTER_BOUND('',#16575,.F.); +#16578=CARTESIAN_POINT('',(2.86496125E1,2.62509E0,-1.397E1)); +#16579=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#16580=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#16581=AXIS2_PLACEMENT_3D('',#16578,#16579,#16580); +#16582=PLANE('',#16581); +#16583=ORIENTED_EDGE('',*,*,#16551,.T.); +#16584=ORIENTED_EDGE('',*,*,#16568,.T.); +#16586=ORIENTED_EDGE('',*,*,#16585,.F.); +#16587=ORIENTED_EDGE('',*,*,#10374,.T.); +#16588=EDGE_LOOP('',(#16583,#16584,#16586,#16587)); +#16589=FACE_OUTER_BOUND('',#16588,.F.); +#16591=CARTESIAN_POINT('',(2.82448E1,2.22631E0,-1.397E1)); +#16592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16594=AXIS2_PLACEMENT_3D('',#16591,#16592,#16593); +#16595=PLANE('',#16594); +#16596=ORIENTED_EDGE('',*,*,#10376,.T.); +#16597=ORIENTED_EDGE('',*,*,#16585,.T.); +#16598=ORIENTED_EDGE('',*,*,#16573,.T.); +#16600=ORIENTED_EDGE('',*,*,#16599,.T.); +#16602=ORIENTED_EDGE('',*,*,#16601,.F.); +#16604=ORIENTED_EDGE('',*,*,#16603,.T.); +#16605=EDGE_LOOP('',(#16596,#16597,#16598,#16600,#16602,#16604)); +#16606=FACE_OUTER_BOUND('',#16605,.F.); +#16608=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#16609=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16610=DIRECTION('',(1.E0,0.E0,0.E0)); +#16611=AXIS2_PLACEMENT_3D('',#16608,#16609,#16610); +#16612=PLANE('',#16611); +#16614=ORIENTED_EDGE('',*,*,#16613,.F.); +#16616=ORIENTED_EDGE('',*,*,#16615,.F.); +#16618=ORIENTED_EDGE('',*,*,#16617,.F.); +#16620=ORIENTED_EDGE('',*,*,#16619,.F.); +#16621=EDGE_LOOP('',(#16614,#16616,#16618,#16620)); +#16622=FACE_OUTER_BOUND('',#16621,.F.); +#16624=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#16625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16626=DIRECTION('',(1.E0,0.E0,0.E0)); +#16627=AXIS2_PLACEMENT_3D('',#16624,#16625,#16626); +#16628=PLANE('',#16627); +#16629=ORIENTED_EDGE('',*,*,#16558,.F.); +#16631=ORIENTED_EDGE('',*,*,#16630,.F.); +#16632=ORIENTED_EDGE('',*,*,#16599,.F.); +#16633=ORIENTED_EDGE('',*,*,#16571,.F.); +#16634=EDGE_LOOP('',(#16629,#16631,#16632,#16633)); +#16635=FACE_OUTER_BOUND('',#16634,.F.); +#16637=CARTESIAN_POINT('',(2.76352E1,-2.62509E0,-1.397E1)); +#16638=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16639=DIRECTION('',(0.E0,1.E0,0.E0)); +#16640=AXIS2_PLACEMENT_3D('',#16637,#16638,#16639); +#16641=PLANE('',#16640); +#16643=ORIENTED_EDGE('',*,*,#16642,.F.); +#16645=ORIENTED_EDGE('',*,*,#16644,.T.); +#16646=ORIENTED_EDGE('',*,*,#16613,.T.); +#16648=ORIENTED_EDGE('',*,*,#16647,.F.); +#16649=EDGE_LOOP('',(#16643,#16645,#16646,#16648)); +#16650=FACE_OUTER_BOUND('',#16649,.F.); +#16652=CARTESIAN_POINT('',(2.72303875E1,-2.62509E0,-1.397E1)); +#16653=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16654=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#16655=AXIS2_PLACEMENT_3D('',#16652,#16653,#16654); +#16656=PLANE('',#16655); +#16658=ORIENTED_EDGE('',*,*,#16657,.T.); +#16659=ORIENTED_EDGE('',*,*,#16642,.T.); +#16661=ORIENTED_EDGE('',*,*,#16660,.F.); +#16662=ORIENTED_EDGE('',*,*,#10384,.T.); +#16663=EDGE_LOOP('',(#16658,#16659,#16661,#16662)); +#16664=FACE_OUTER_BOUND('',#16663,.F.); +#16666=CARTESIAN_POINT('',(2.82448E1,-2.62509E0,-1.397E1)); +#16667=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16668=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16669=AXIS2_PLACEMENT_3D('',#16666,#16667,#16668); +#16670=PLANE('',#16669); +#16671=ORIENTED_EDGE('',*,*,#10382,.T.); +#16673=ORIENTED_EDGE('',*,*,#16672,.F.); +#16675=ORIENTED_EDGE('',*,*,#16674,.T.); +#16676=ORIENTED_EDGE('',*,*,#16615,.T.); +#16677=ORIENTED_EDGE('',*,*,#16644,.F.); +#16678=ORIENTED_EDGE('',*,*,#16657,.F.); +#16679=EDGE_LOOP('',(#16671,#16673,#16675,#16676,#16677,#16678)); +#16680=FACE_OUTER_BOUND('',#16679,.F.); +#16682=CARTESIAN_POINT('',(2.82448E1,-2.62509E0,-1.5494E1)); +#16683=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#16684=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#16685=AXIS2_PLACEMENT_3D('',#16682,#16683,#16684); +#16686=PLANE('',#16685); +#16687=ORIENTED_EDGE('',*,*,#16672,.T.); +#16688=ORIENTED_EDGE('',*,*,#10388,.F.); +#16690=ORIENTED_EDGE('',*,*,#16689,.F.); +#16692=ORIENTED_EDGE('',*,*,#16691,.T.); +#16693=EDGE_LOOP('',(#16687,#16688,#16690,#16692)); +#16694=FACE_OUTER_BOUND('',#16693,.F.); +#16696=CARTESIAN_POINT('',(2.76352E1,-2.22631E0,-1.397E1)); +#16697=DIRECTION('',(0.E0,1.E0,0.E0)); +#16698=DIRECTION('',(1.E0,0.E0,0.E0)); +#16699=AXIS2_PLACEMENT_3D('',#16696,#16697,#16698); +#16700=PLANE('',#16699); +#16702=ORIENTED_EDGE('',*,*,#16701,.F.); +#16703=ORIENTED_EDGE('',*,*,#16689,.T.); +#16704=ORIENTED_EDGE('',*,*,#10386,.T.); +#16705=ORIENTED_EDGE('',*,*,#16660,.T.); +#16706=ORIENTED_EDGE('',*,*,#16647,.T.); +#16707=ORIENTED_EDGE('',*,*,#16619,.T.); +#16708=EDGE_LOOP('',(#16702,#16703,#16704,#16705,#16706,#16707)); +#16709=FACE_OUTER_BOUND('',#16708,.F.); +#16711=CARTESIAN_POINT('',(2.82448E1,-2.22631E0,-1.397E1)); +#16712=DIRECTION('',(1.E0,0.E0,0.E0)); +#16713=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16714=AXIS2_PLACEMENT_3D('',#16711,#16712,#16713); +#16715=PLANE('',#16714); +#16716=ORIENTED_EDGE('',*,*,#16691,.F.); +#16717=ORIENTED_EDGE('',*,*,#16701,.T.); +#16718=ORIENTED_EDGE('',*,*,#16617,.T.); +#16719=ORIENTED_EDGE('',*,*,#16674,.F.); +#16720=EDGE_LOOP('',(#16716,#16717,#16718,#16719)); +#16721=FACE_OUTER_BOUND('',#16720,.F.); +#16723=CARTESIAN_POINT('',(2.76352E1,2.22631E0,-1.397E1)); +#16724=DIRECTION('',(-1.E0,-3.563582605748E-14,0.E0)); +#16725=DIRECTION('',(-3.563582605748E-14,1.E0,0.E0)); +#16726=AXIS2_PLACEMENT_3D('',#16723,#16724,#16725); +#16727=PLANE('',#16726); +#16729=ORIENTED_EDGE('',*,*,#16728,.F.); +#16730=ORIENTED_EDGE('',*,*,#16601,.T.); +#16731=ORIENTED_EDGE('',*,*,#16630,.T.); +#16732=ORIENTED_EDGE('',*,*,#16556,.F.); +#16733=EDGE_LOOP('',(#16729,#16730,#16731,#16732)); +#16734=FACE_OUTER_BOUND('',#16733,.F.); +#16736=CARTESIAN_POINT('',(2.76352E1,2.62509E0,-1.5494E1)); +#16737=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16738=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#16739=AXIS2_PLACEMENT_3D('',#16736,#16737,#16738); +#16740=PLANE('',#16739); +#16741=ORIENTED_EDGE('',*,*,#16554,.T.); +#16742=ORIENTED_EDGE('',*,*,#10378,.F.); +#16743=ORIENTED_EDGE('',*,*,#16603,.F.); +#16744=ORIENTED_EDGE('',*,*,#16728,.T.); +#16745=EDGE_LOOP('',(#16741,#16742,#16743,#16744)); +#16746=FACE_OUTER_BOUND('',#16745,.F.); +#16748=CARTESIAN_POINT('',(3.01752E1,2.62509E0,-1.397E1)); +#16749=DIRECTION('',(0.E0,1.E0,0.E0)); +#16750=DIRECTION('',(1.E0,0.E0,0.E0)); +#16751=AXIS2_PLACEMENT_3D('',#16748,#16749,#16750); +#16752=PLANE('',#16751); +#16754=ORIENTED_EDGE('',*,*,#16753,.F.); +#16756=ORIENTED_EDGE('',*,*,#16755,.F.); +#16757=ORIENTED_EDGE('',*,*,#10392,.T.); +#16759=ORIENTED_EDGE('',*,*,#16758,.F.); +#16761=ORIENTED_EDGE('',*,*,#16760,.T.); +#16763=ORIENTED_EDGE('',*,*,#16762,.T.); +#16764=EDGE_LOOP('',(#16754,#16756,#16757,#16759,#16761,#16763)); +#16765=FACE_OUTER_BOUND('',#16764,.F.); +#16767=CARTESIAN_POINT('',(3.07848E1,2.62509E0,-1.397E1)); +#16768=DIRECTION('',(1.E0,0.E0,0.E0)); +#16769=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16770=AXIS2_PLACEMENT_3D('',#16767,#16768,#16769); +#16771=PLANE('',#16770); +#16773=ORIENTED_EDGE('',*,*,#16772,.F.); +#16774=ORIENTED_EDGE('',*,*,#16753,.T.); +#16776=ORIENTED_EDGE('',*,*,#16775,.T.); +#16778=ORIENTED_EDGE('',*,*,#16777,.F.); +#16779=EDGE_LOOP('',(#16773,#16774,#16776,#16778)); +#16780=FACE_OUTER_BOUND('',#16779,.F.); +#16782=CARTESIAN_POINT('',(3.11896125E1,2.62509E0,-1.397E1)); +#16783=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#16784=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#16785=AXIS2_PLACEMENT_3D('',#16782,#16783,#16784); +#16786=PLANE('',#16785); +#16787=ORIENTED_EDGE('',*,*,#16755,.T.); +#16788=ORIENTED_EDGE('',*,*,#16772,.T.); +#16790=ORIENTED_EDGE('',*,*,#16789,.F.); +#16791=ORIENTED_EDGE('',*,*,#10394,.T.); +#16792=EDGE_LOOP('',(#16787,#16788,#16790,#16791)); +#16793=FACE_OUTER_BOUND('',#16792,.F.); +#16795=CARTESIAN_POINT('',(3.07848E1,2.22631E0,-1.397E1)); +#16796=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16798=AXIS2_PLACEMENT_3D('',#16795,#16796,#16797); +#16799=PLANE('',#16798); +#16800=ORIENTED_EDGE('',*,*,#10396,.T.); +#16801=ORIENTED_EDGE('',*,*,#16789,.T.); +#16802=ORIENTED_EDGE('',*,*,#16777,.T.); +#16804=ORIENTED_EDGE('',*,*,#16803,.T.); +#16806=ORIENTED_EDGE('',*,*,#16805,.F.); +#16808=ORIENTED_EDGE('',*,*,#16807,.T.); +#16809=EDGE_LOOP('',(#16800,#16801,#16802,#16804,#16806,#16808)); +#16810=FACE_OUTER_BOUND('',#16809,.F.); +#16812=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#16813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16814=DIRECTION('',(1.E0,0.E0,0.E0)); +#16815=AXIS2_PLACEMENT_3D('',#16812,#16813,#16814); +#16816=PLANE('',#16815); +#16818=ORIENTED_EDGE('',*,*,#16817,.F.); +#16820=ORIENTED_EDGE('',*,*,#16819,.F.); +#16822=ORIENTED_EDGE('',*,*,#16821,.F.); +#16824=ORIENTED_EDGE('',*,*,#16823,.F.); +#16825=EDGE_LOOP('',(#16818,#16820,#16822,#16824)); +#16826=FACE_OUTER_BOUND('',#16825,.F.); +#16828=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#16829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16830=DIRECTION('',(1.E0,0.E0,0.E0)); +#16831=AXIS2_PLACEMENT_3D('',#16828,#16829,#16830); +#16832=PLANE('',#16831); +#16833=ORIENTED_EDGE('',*,*,#16762,.F.); +#16835=ORIENTED_EDGE('',*,*,#16834,.F.); +#16836=ORIENTED_EDGE('',*,*,#16803,.F.); +#16837=ORIENTED_EDGE('',*,*,#16775,.F.); +#16838=EDGE_LOOP('',(#16833,#16835,#16836,#16837)); +#16839=FACE_OUTER_BOUND('',#16838,.F.); +#16841=CARTESIAN_POINT('',(3.01752E1,-2.62509E0,-1.397E1)); +#16842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16843=DIRECTION('',(0.E0,1.E0,0.E0)); +#16844=AXIS2_PLACEMENT_3D('',#16841,#16842,#16843); +#16845=PLANE('',#16844); +#16847=ORIENTED_EDGE('',*,*,#16846,.F.); +#16849=ORIENTED_EDGE('',*,*,#16848,.T.); +#16850=ORIENTED_EDGE('',*,*,#16817,.T.); +#16852=ORIENTED_EDGE('',*,*,#16851,.F.); +#16853=EDGE_LOOP('',(#16847,#16849,#16850,#16852)); +#16854=FACE_OUTER_BOUND('',#16853,.F.); +#16856=CARTESIAN_POINT('',(2.97703875E1,-2.62509E0,-1.397E1)); +#16857=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#16858=DIRECTION('',(2.567226118398E-1,0.E0,-9.664851269265E-1)); +#16859=AXIS2_PLACEMENT_3D('',#16856,#16857,#16858); +#16860=PLANE('',#16859); +#16862=ORIENTED_EDGE('',*,*,#16861,.T.); +#16863=ORIENTED_EDGE('',*,*,#16846,.T.); +#16865=ORIENTED_EDGE('',*,*,#16864,.F.); +#16866=ORIENTED_EDGE('',*,*,#10404,.T.); +#16867=EDGE_LOOP('',(#16862,#16863,#16865,#16866)); +#16868=FACE_OUTER_BOUND('',#16867,.F.); +#16870=CARTESIAN_POINT('',(3.07848E1,-2.62509E0,-1.397E1)); +#16871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16872=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16873=AXIS2_PLACEMENT_3D('',#16870,#16871,#16872); +#16874=PLANE('',#16873); +#16875=ORIENTED_EDGE('',*,*,#10402,.T.); +#16877=ORIENTED_EDGE('',*,*,#16876,.F.); +#16879=ORIENTED_EDGE('',*,*,#16878,.T.); +#16880=ORIENTED_EDGE('',*,*,#16819,.T.); +#16881=ORIENTED_EDGE('',*,*,#16848,.F.); +#16882=ORIENTED_EDGE('',*,*,#16861,.F.); +#16883=EDGE_LOOP('',(#16875,#16877,#16879,#16880,#16881,#16882)); +#16884=FACE_OUTER_BOUND('',#16883,.F.); +#16886=CARTESIAN_POINT('',(3.07848E1,-2.62509E0,-1.5494E1)); +#16887=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#16888=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#16889=AXIS2_PLACEMENT_3D('',#16886,#16887,#16888); +#16890=PLANE('',#16889); +#16891=ORIENTED_EDGE('',*,*,#16876,.T.); +#16892=ORIENTED_EDGE('',*,*,#10408,.F.); +#16894=ORIENTED_EDGE('',*,*,#16893,.F.); +#16896=ORIENTED_EDGE('',*,*,#16895,.T.); +#16897=EDGE_LOOP('',(#16891,#16892,#16894,#16896)); +#16898=FACE_OUTER_BOUND('',#16897,.F.); +#16900=CARTESIAN_POINT('',(3.01752E1,-2.22631E0,-1.397E1)); +#16901=DIRECTION('',(0.E0,1.E0,0.E0)); +#16902=DIRECTION('',(1.E0,0.E0,0.E0)); +#16903=AXIS2_PLACEMENT_3D('',#16900,#16901,#16902); +#16904=PLANE('',#16903); +#16906=ORIENTED_EDGE('',*,*,#16905,.F.); +#16907=ORIENTED_EDGE('',*,*,#16893,.T.); +#16908=ORIENTED_EDGE('',*,*,#10406,.T.); +#16909=ORIENTED_EDGE('',*,*,#16864,.T.); +#16910=ORIENTED_EDGE('',*,*,#16851,.T.); +#16911=ORIENTED_EDGE('',*,*,#16823,.T.); +#16912=EDGE_LOOP('',(#16906,#16907,#16908,#16909,#16910,#16911)); +#16913=FACE_OUTER_BOUND('',#16912,.F.); +#16915=CARTESIAN_POINT('',(3.07848E1,-2.22631E0,-1.397E1)); +#16916=DIRECTION('',(1.E0,0.E0,0.E0)); +#16917=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16918=AXIS2_PLACEMENT_3D('',#16915,#16916,#16917); +#16919=PLANE('',#16918); +#16920=ORIENTED_EDGE('',*,*,#16895,.F.); +#16921=ORIENTED_EDGE('',*,*,#16905,.T.); +#16922=ORIENTED_EDGE('',*,*,#16821,.T.); +#16923=ORIENTED_EDGE('',*,*,#16878,.F.); +#16924=EDGE_LOOP('',(#16920,#16921,#16922,#16923)); +#16925=FACE_OUTER_BOUND('',#16924,.F.); +#16927=CARTESIAN_POINT('',(3.01752E1,2.22631E0,-1.397E1)); +#16928=DIRECTION('',(-1.E0,3.563582605748E-14,0.E0)); +#16929=DIRECTION('',(3.563582605748E-14,1.E0,0.E0)); +#16930=AXIS2_PLACEMENT_3D('',#16927,#16928,#16929); +#16931=PLANE('',#16930); +#16933=ORIENTED_EDGE('',*,*,#16932,.F.); +#16934=ORIENTED_EDGE('',*,*,#16805,.T.); +#16935=ORIENTED_EDGE('',*,*,#16834,.T.); +#16936=ORIENTED_EDGE('',*,*,#16760,.F.); +#16937=EDGE_LOOP('',(#16933,#16934,#16935,#16936)); +#16938=FACE_OUTER_BOUND('',#16937,.F.); +#16940=CARTESIAN_POINT('',(3.01752E1,2.62509E0,-1.5494E1)); +#16941=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118398E-1)); +#16942=DIRECTION('',(-2.567226118398E-1,0.E0,9.664851269265E-1)); +#16943=AXIS2_PLACEMENT_3D('',#16940,#16941,#16942); +#16944=PLANE('',#16943); +#16945=ORIENTED_EDGE('',*,*,#16758,.T.); +#16946=ORIENTED_EDGE('',*,*,#10398,.F.); +#16947=ORIENTED_EDGE('',*,*,#16807,.F.); +#16948=ORIENTED_EDGE('',*,*,#16932,.T.); +#16949=EDGE_LOOP('',(#16945,#16946,#16947,#16948)); +#16950=FACE_OUTER_BOUND('',#16949,.F.); +#16952=CARTESIAN_POINT('',(3.27152E1,2.62509E0,-1.397E1)); +#16953=DIRECTION('',(0.E0,1.E0,0.E0)); +#16954=DIRECTION('',(1.E0,0.E0,0.E0)); +#16955=AXIS2_PLACEMENT_3D('',#16952,#16953,#16954); +#16956=PLANE('',#16955); +#16958=ORIENTED_EDGE('',*,*,#16957,.F.); +#16960=ORIENTED_EDGE('',*,*,#16959,.F.); +#16961=ORIENTED_EDGE('',*,*,#10412,.T.); +#16963=ORIENTED_EDGE('',*,*,#16962,.F.); +#16965=ORIENTED_EDGE('',*,*,#16964,.T.); +#16967=ORIENTED_EDGE('',*,*,#16966,.T.); +#16968=EDGE_LOOP('',(#16958,#16960,#16961,#16963,#16965,#16967)); +#16969=FACE_OUTER_BOUND('',#16968,.F.); +#16971=CARTESIAN_POINT('',(3.33248E1,2.62509E0,-1.397E1)); +#16972=DIRECTION('',(1.E0,0.E0,0.E0)); +#16973=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16974=AXIS2_PLACEMENT_3D('',#16971,#16972,#16973); +#16975=PLANE('',#16974); +#16977=ORIENTED_EDGE('',*,*,#16976,.F.); +#16978=ORIENTED_EDGE('',*,*,#16957,.T.); +#16980=ORIENTED_EDGE('',*,*,#16979,.T.); +#16982=ORIENTED_EDGE('',*,*,#16981,.F.); +#16983=EDGE_LOOP('',(#16977,#16978,#16980,#16982)); +#16984=FACE_OUTER_BOUND('',#16983,.F.); +#16986=CARTESIAN_POINT('',(3.37296125E1,2.62509E0,-1.397E1)); +#16987=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#16988=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#16989=AXIS2_PLACEMENT_3D('',#16986,#16987,#16988); +#16990=PLANE('',#16989); +#16991=ORIENTED_EDGE('',*,*,#16959,.T.); +#16992=ORIENTED_EDGE('',*,*,#16976,.T.); +#16994=ORIENTED_EDGE('',*,*,#16993,.F.); +#16995=ORIENTED_EDGE('',*,*,#10414,.T.); +#16996=EDGE_LOOP('',(#16991,#16992,#16994,#16995)); +#16997=FACE_OUTER_BOUND('',#16996,.F.); +#16999=CARTESIAN_POINT('',(3.33248E1,2.22631E0,-1.397E1)); +#17000=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17001=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17002=AXIS2_PLACEMENT_3D('',#16999,#17000,#17001); +#17003=PLANE('',#17002); +#17004=ORIENTED_EDGE('',*,*,#10416,.T.); +#17005=ORIENTED_EDGE('',*,*,#16993,.T.); +#17006=ORIENTED_EDGE('',*,*,#16981,.T.); +#17008=ORIENTED_EDGE('',*,*,#17007,.T.); +#17010=ORIENTED_EDGE('',*,*,#17009,.F.); +#17012=ORIENTED_EDGE('',*,*,#17011,.T.); +#17013=EDGE_LOOP('',(#17004,#17005,#17006,#17008,#17010,#17012)); +#17014=FACE_OUTER_BOUND('',#17013,.F.); +#17016=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#17017=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17018=DIRECTION('',(1.E0,0.E0,0.E0)); +#17019=AXIS2_PLACEMENT_3D('',#17016,#17017,#17018); +#17020=PLANE('',#17019); +#17022=ORIENTED_EDGE('',*,*,#17021,.F.); +#17024=ORIENTED_EDGE('',*,*,#17023,.F.); +#17026=ORIENTED_EDGE('',*,*,#17025,.F.); +#17028=ORIENTED_EDGE('',*,*,#17027,.F.); +#17029=EDGE_LOOP('',(#17022,#17024,#17026,#17028)); +#17030=FACE_OUTER_BOUND('',#17029,.F.); +#17032=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#17033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17034=DIRECTION('',(1.E0,0.E0,0.E0)); +#17035=AXIS2_PLACEMENT_3D('',#17032,#17033,#17034); +#17036=PLANE('',#17035); +#17037=ORIENTED_EDGE('',*,*,#16966,.F.); +#17039=ORIENTED_EDGE('',*,*,#17038,.F.); +#17040=ORIENTED_EDGE('',*,*,#17007,.F.); +#17041=ORIENTED_EDGE('',*,*,#16979,.F.); +#17042=EDGE_LOOP('',(#17037,#17039,#17040,#17041)); +#17043=FACE_OUTER_BOUND('',#17042,.F.); +#17045=CARTESIAN_POINT('',(3.27152E1,-2.62509E0,-1.397E1)); +#17046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17047=DIRECTION('',(0.E0,1.E0,0.E0)); +#17048=AXIS2_PLACEMENT_3D('',#17045,#17046,#17047); +#17049=PLANE('',#17048); +#17051=ORIENTED_EDGE('',*,*,#17050,.F.); +#17053=ORIENTED_EDGE('',*,*,#17052,.T.); +#17054=ORIENTED_EDGE('',*,*,#17021,.T.); +#17056=ORIENTED_EDGE('',*,*,#17055,.F.); +#17057=EDGE_LOOP('',(#17051,#17053,#17054,#17056)); +#17058=FACE_OUTER_BOUND('',#17057,.F.); +#17060=CARTESIAN_POINT('',(3.23103875E1,-2.62509E0,-1.397E1)); +#17061=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#17062=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#17063=AXIS2_PLACEMENT_3D('',#17060,#17061,#17062); +#17064=PLANE('',#17063); +#17066=ORIENTED_EDGE('',*,*,#17065,.T.); +#17067=ORIENTED_EDGE('',*,*,#17050,.T.); +#17069=ORIENTED_EDGE('',*,*,#17068,.F.); +#17070=ORIENTED_EDGE('',*,*,#10424,.T.); +#17071=EDGE_LOOP('',(#17066,#17067,#17069,#17070)); +#17072=FACE_OUTER_BOUND('',#17071,.F.); +#17074=CARTESIAN_POINT('',(3.33248E1,-2.62509E0,-1.397E1)); +#17075=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17077=AXIS2_PLACEMENT_3D('',#17074,#17075,#17076); +#17078=PLANE('',#17077); +#17079=ORIENTED_EDGE('',*,*,#10422,.T.); +#17081=ORIENTED_EDGE('',*,*,#17080,.F.); +#17083=ORIENTED_EDGE('',*,*,#17082,.T.); +#17084=ORIENTED_EDGE('',*,*,#17023,.T.); +#17085=ORIENTED_EDGE('',*,*,#17052,.F.); +#17086=ORIENTED_EDGE('',*,*,#17065,.F.); +#17087=EDGE_LOOP('',(#17079,#17081,#17083,#17084,#17085,#17086)); +#17088=FACE_OUTER_BOUND('',#17087,.F.); +#17090=CARTESIAN_POINT('',(3.33248E1,-2.62509E0,-1.5494E1)); +#17091=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#17092=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#17093=AXIS2_PLACEMENT_3D('',#17090,#17091,#17092); +#17094=PLANE('',#17093); +#17095=ORIENTED_EDGE('',*,*,#17080,.T.); +#17096=ORIENTED_EDGE('',*,*,#10428,.F.); +#17098=ORIENTED_EDGE('',*,*,#17097,.F.); +#17100=ORIENTED_EDGE('',*,*,#17099,.T.); +#17101=EDGE_LOOP('',(#17095,#17096,#17098,#17100)); +#17102=FACE_OUTER_BOUND('',#17101,.F.); +#17104=CARTESIAN_POINT('',(3.27152E1,-2.22631E0,-1.397E1)); +#17105=DIRECTION('',(0.E0,1.E0,0.E0)); +#17106=DIRECTION('',(1.E0,0.E0,0.E0)); +#17107=AXIS2_PLACEMENT_3D('',#17104,#17105,#17106); +#17108=PLANE('',#17107); +#17110=ORIENTED_EDGE('',*,*,#17109,.F.); +#17111=ORIENTED_EDGE('',*,*,#17097,.T.); +#17112=ORIENTED_EDGE('',*,*,#10426,.T.); +#17113=ORIENTED_EDGE('',*,*,#17068,.T.); +#17114=ORIENTED_EDGE('',*,*,#17055,.T.); +#17115=ORIENTED_EDGE('',*,*,#17027,.T.); +#17116=EDGE_LOOP('',(#17110,#17111,#17112,#17113,#17114,#17115)); +#17117=FACE_OUTER_BOUND('',#17116,.F.); +#17119=CARTESIAN_POINT('',(3.33248E1,-2.22631E0,-1.397E1)); +#17120=DIRECTION('',(1.E0,0.E0,0.E0)); +#17121=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17122=AXIS2_PLACEMENT_3D('',#17119,#17120,#17121); +#17123=PLANE('',#17122); +#17124=ORIENTED_EDGE('',*,*,#17099,.F.); +#17125=ORIENTED_EDGE('',*,*,#17109,.T.); +#17126=ORIENTED_EDGE('',*,*,#17025,.T.); +#17127=ORIENTED_EDGE('',*,*,#17082,.F.); +#17128=EDGE_LOOP('',(#17124,#17125,#17126,#17127)); +#17129=FACE_OUTER_BOUND('',#17128,.F.); +#17131=CARTESIAN_POINT('',(3.27152E1,2.22631E0,-1.397E1)); +#17132=DIRECTION('',(-1.E0,-3.563582605748E-14,0.E0)); +#17133=DIRECTION('',(-3.563582605748E-14,1.E0,0.E0)); +#17134=AXIS2_PLACEMENT_3D('',#17131,#17132,#17133); +#17135=PLANE('',#17134); +#17137=ORIENTED_EDGE('',*,*,#17136,.F.); +#17138=ORIENTED_EDGE('',*,*,#17009,.T.); +#17139=ORIENTED_EDGE('',*,*,#17038,.T.); +#17140=ORIENTED_EDGE('',*,*,#16964,.F.); +#17141=EDGE_LOOP('',(#17137,#17138,#17139,#17140)); +#17142=FACE_OUTER_BOUND('',#17141,.F.); +#17144=CARTESIAN_POINT('',(3.27152E1,2.62509E0,-1.5494E1)); +#17145=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#17146=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#17147=AXIS2_PLACEMENT_3D('',#17144,#17145,#17146); +#17148=PLANE('',#17147); +#17149=ORIENTED_EDGE('',*,*,#16962,.T.); +#17150=ORIENTED_EDGE('',*,*,#10418,.F.); +#17151=ORIENTED_EDGE('',*,*,#17011,.F.); +#17152=ORIENTED_EDGE('',*,*,#17136,.T.); +#17153=EDGE_LOOP('',(#17149,#17150,#17151,#17152)); +#17154=FACE_OUTER_BOUND('',#17153,.F.); +#17156=CARTESIAN_POINT('',(3.52552E1,2.62509E0,-1.397E1)); +#17157=DIRECTION('',(0.E0,1.E0,0.E0)); +#17158=DIRECTION('',(1.E0,0.E0,0.E0)); +#17159=AXIS2_PLACEMENT_3D('',#17156,#17157,#17158); +#17160=PLANE('',#17159); +#17162=ORIENTED_EDGE('',*,*,#17161,.F.); +#17164=ORIENTED_EDGE('',*,*,#17163,.F.); +#17165=ORIENTED_EDGE('',*,*,#10432,.T.); +#17167=ORIENTED_EDGE('',*,*,#17166,.F.); +#17169=ORIENTED_EDGE('',*,*,#17168,.T.); +#17171=ORIENTED_EDGE('',*,*,#17170,.T.); +#17172=EDGE_LOOP('',(#17162,#17164,#17165,#17167,#17169,#17171)); +#17173=FACE_OUTER_BOUND('',#17172,.F.); +#17175=CARTESIAN_POINT('',(3.58648E1,2.62509E0,-1.397E1)); +#17176=DIRECTION('',(1.E0,0.E0,0.E0)); +#17177=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17178=AXIS2_PLACEMENT_3D('',#17175,#17176,#17177); +#17179=PLANE('',#17178); +#17181=ORIENTED_EDGE('',*,*,#17180,.F.); +#17182=ORIENTED_EDGE('',*,*,#17161,.T.); +#17184=ORIENTED_EDGE('',*,*,#17183,.T.); +#17186=ORIENTED_EDGE('',*,*,#17185,.F.); +#17187=EDGE_LOOP('',(#17181,#17182,#17184,#17186)); +#17188=FACE_OUTER_BOUND('',#17187,.F.); +#17190=CARTESIAN_POINT('',(3.62696125E1,2.62509E0,-1.397E1)); +#17191=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#17192=DIRECTION('',(-2.567226118399E-1,0.E0,-9.664851269265E-1)); +#17193=AXIS2_PLACEMENT_3D('',#17190,#17191,#17192); +#17194=PLANE('',#17193); +#17195=ORIENTED_EDGE('',*,*,#17163,.T.); +#17196=ORIENTED_EDGE('',*,*,#17180,.T.); +#17198=ORIENTED_EDGE('',*,*,#17197,.F.); +#17199=ORIENTED_EDGE('',*,*,#10434,.T.); +#17200=EDGE_LOOP('',(#17195,#17196,#17198,#17199)); +#17201=FACE_OUTER_BOUND('',#17200,.F.); +#17203=CARTESIAN_POINT('',(3.58648E1,2.22631E0,-1.397E1)); +#17204=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17206=AXIS2_PLACEMENT_3D('',#17203,#17204,#17205); +#17207=PLANE('',#17206); +#17208=ORIENTED_EDGE('',*,*,#10436,.T.); +#17209=ORIENTED_EDGE('',*,*,#17197,.T.); +#17210=ORIENTED_EDGE('',*,*,#17185,.T.); +#17212=ORIENTED_EDGE('',*,*,#17211,.T.); +#17214=ORIENTED_EDGE('',*,*,#17213,.F.); +#17216=ORIENTED_EDGE('',*,*,#17215,.T.); +#17217=EDGE_LOOP('',(#17208,#17209,#17210,#17212,#17214,#17216)); +#17218=FACE_OUTER_BOUND('',#17217,.F.); +#17220=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#17221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17222=DIRECTION('',(1.E0,0.E0,0.E0)); +#17223=AXIS2_PLACEMENT_3D('',#17220,#17221,#17222); +#17224=PLANE('',#17223); +#17226=ORIENTED_EDGE('',*,*,#17225,.F.); +#17228=ORIENTED_EDGE('',*,*,#17227,.F.); +#17230=ORIENTED_EDGE('',*,*,#17229,.F.); +#17232=ORIENTED_EDGE('',*,*,#17231,.F.); +#17233=EDGE_LOOP('',(#17226,#17228,#17230,#17232)); +#17234=FACE_OUTER_BOUND('',#17233,.F.); +#17236=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#17237=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17238=DIRECTION('',(1.E0,0.E0,0.E0)); +#17239=AXIS2_PLACEMENT_3D('',#17236,#17237,#17238); +#17240=PLANE('',#17239); +#17241=ORIENTED_EDGE('',*,*,#17170,.F.); +#17243=ORIENTED_EDGE('',*,*,#17242,.F.); +#17244=ORIENTED_EDGE('',*,*,#17211,.F.); +#17245=ORIENTED_EDGE('',*,*,#17183,.F.); +#17246=EDGE_LOOP('',(#17241,#17243,#17244,#17245)); +#17247=FACE_OUTER_BOUND('',#17246,.F.); +#17249=CARTESIAN_POINT('',(3.52552E1,-2.62509E0,-1.397E1)); +#17250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17251=DIRECTION('',(0.E0,1.E0,0.E0)); +#17252=AXIS2_PLACEMENT_3D('',#17249,#17250,#17251); +#17253=PLANE('',#17252); +#17255=ORIENTED_EDGE('',*,*,#17254,.F.); +#17257=ORIENTED_EDGE('',*,*,#17256,.T.); +#17258=ORIENTED_EDGE('',*,*,#17225,.T.); +#17260=ORIENTED_EDGE('',*,*,#17259,.F.); +#17261=EDGE_LOOP('',(#17255,#17257,#17258,#17260)); +#17262=FACE_OUTER_BOUND('',#17261,.F.); +#17264=CARTESIAN_POINT('',(3.48503875E1,-2.62509E0,-1.397E1)); +#17265=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#17266=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#17267=AXIS2_PLACEMENT_3D('',#17264,#17265,#17266); +#17268=PLANE('',#17267); +#17270=ORIENTED_EDGE('',*,*,#17269,.T.); +#17271=ORIENTED_EDGE('',*,*,#17254,.T.); +#17273=ORIENTED_EDGE('',*,*,#17272,.F.); +#17274=ORIENTED_EDGE('',*,*,#10444,.T.); +#17275=EDGE_LOOP('',(#17270,#17271,#17273,#17274)); +#17276=FACE_OUTER_BOUND('',#17275,.F.); +#17278=CARTESIAN_POINT('',(3.58648E1,-2.62509E0,-1.397E1)); +#17279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17280=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17281=AXIS2_PLACEMENT_3D('',#17278,#17279,#17280); +#17282=PLANE('',#17281); +#17283=ORIENTED_EDGE('',*,*,#10442,.T.); +#17285=ORIENTED_EDGE('',*,*,#17284,.F.); +#17287=ORIENTED_EDGE('',*,*,#17286,.T.); +#17288=ORIENTED_EDGE('',*,*,#17227,.T.); +#17289=ORIENTED_EDGE('',*,*,#17256,.F.); +#17290=ORIENTED_EDGE('',*,*,#17269,.F.); +#17291=EDGE_LOOP('',(#17283,#17285,#17287,#17288,#17289,#17290)); +#17292=FACE_OUTER_BOUND('',#17291,.F.); +#17294=CARTESIAN_POINT('',(3.58648E1,-2.62509E0,-1.5494E1)); +#17295=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118399E-1)); +#17296=DIRECTION('',(2.567226118399E-1,0.E0,9.664851269265E-1)); +#17297=AXIS2_PLACEMENT_3D('',#17294,#17295,#17296); +#17298=PLANE('',#17297); +#17299=ORIENTED_EDGE('',*,*,#17284,.T.); +#17300=ORIENTED_EDGE('',*,*,#10448,.F.); +#17302=ORIENTED_EDGE('',*,*,#17301,.F.); +#17304=ORIENTED_EDGE('',*,*,#17303,.T.); +#17305=EDGE_LOOP('',(#17299,#17300,#17302,#17304)); +#17306=FACE_OUTER_BOUND('',#17305,.F.); +#17308=CARTESIAN_POINT('',(3.52552E1,-2.22631E0,-1.397E1)); +#17309=DIRECTION('',(0.E0,1.E0,0.E0)); +#17310=DIRECTION('',(1.E0,0.E0,0.E0)); +#17311=AXIS2_PLACEMENT_3D('',#17308,#17309,#17310); +#17312=PLANE('',#17311); +#17314=ORIENTED_EDGE('',*,*,#17313,.F.); +#17315=ORIENTED_EDGE('',*,*,#17301,.T.); +#17316=ORIENTED_EDGE('',*,*,#10446,.T.); +#17317=ORIENTED_EDGE('',*,*,#17272,.T.); +#17318=ORIENTED_EDGE('',*,*,#17259,.T.); +#17319=ORIENTED_EDGE('',*,*,#17231,.T.); +#17320=EDGE_LOOP('',(#17314,#17315,#17316,#17317,#17318,#17319)); +#17321=FACE_OUTER_BOUND('',#17320,.F.); +#17323=CARTESIAN_POINT('',(3.58648E1,-2.22631E0,-1.397E1)); +#17324=DIRECTION('',(1.E0,0.E0,0.E0)); +#17325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17326=AXIS2_PLACEMENT_3D('',#17323,#17324,#17325); +#17327=PLANE('',#17326); +#17328=ORIENTED_EDGE('',*,*,#17303,.F.); +#17329=ORIENTED_EDGE('',*,*,#17313,.T.); +#17330=ORIENTED_EDGE('',*,*,#17229,.T.); +#17331=ORIENTED_EDGE('',*,*,#17286,.F.); +#17332=EDGE_LOOP('',(#17328,#17329,#17330,#17331)); +#17333=FACE_OUTER_BOUND('',#17332,.F.); +#17335=CARTESIAN_POINT('',(3.52552E1,2.22631E0,-1.397E1)); +#17336=DIRECTION('',(-1.E0,3.563582605748E-14,0.E0)); +#17337=DIRECTION('',(3.563582605748E-14,1.E0,0.E0)); +#17338=AXIS2_PLACEMENT_3D('',#17335,#17336,#17337); +#17339=PLANE('',#17338); +#17341=ORIENTED_EDGE('',*,*,#17340,.F.); +#17342=ORIENTED_EDGE('',*,*,#17213,.T.); +#17343=ORIENTED_EDGE('',*,*,#17242,.T.); +#17344=ORIENTED_EDGE('',*,*,#17168,.F.); +#17345=EDGE_LOOP('',(#17341,#17342,#17343,#17344)); +#17346=FACE_OUTER_BOUND('',#17345,.F.); +#17348=CARTESIAN_POINT('',(3.52552E1,2.62509E0,-1.5494E1)); +#17349=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#17350=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#17351=AXIS2_PLACEMENT_3D('',#17348,#17349,#17350); +#17352=PLANE('',#17351); +#17353=ORIENTED_EDGE('',*,*,#17166,.T.); +#17354=ORIENTED_EDGE('',*,*,#10438,.F.); +#17355=ORIENTED_EDGE('',*,*,#17215,.F.); +#17356=ORIENTED_EDGE('',*,*,#17340,.T.); +#17357=EDGE_LOOP('',(#17353,#17354,#17355,#17356)); +#17358=FACE_OUTER_BOUND('',#17357,.F.); +#17360=CARTESIAN_POINT('',(3.77952E1,2.62509E0,-1.397E1)); +#17361=DIRECTION('',(0.E0,1.E0,0.E0)); +#17362=DIRECTION('',(1.E0,0.E0,0.E0)); +#17363=AXIS2_PLACEMENT_3D('',#17360,#17361,#17362); +#17364=PLANE('',#17363); +#17366=ORIENTED_EDGE('',*,*,#17365,.F.); +#17368=ORIENTED_EDGE('',*,*,#17367,.F.); +#17369=ORIENTED_EDGE('',*,*,#10452,.T.); +#17371=ORIENTED_EDGE('',*,*,#17370,.F.); +#17373=ORIENTED_EDGE('',*,*,#17372,.T.); +#17375=ORIENTED_EDGE('',*,*,#17374,.T.); +#17376=EDGE_LOOP('',(#17366,#17368,#17369,#17371,#17373,#17375)); +#17377=FACE_OUTER_BOUND('',#17376,.F.); +#17379=CARTESIAN_POINT('',(3.84048E1,2.62509E0,-1.397E1)); +#17380=DIRECTION('',(1.E0,-3.563582605748E-14,0.E0)); +#17381=DIRECTION('',(-3.563582605748E-14,-1.E0,0.E0)); +#17382=AXIS2_PLACEMENT_3D('',#17379,#17380,#17381); +#17383=PLANE('',#17382); +#17385=ORIENTED_EDGE('',*,*,#17384,.F.); +#17386=ORIENTED_EDGE('',*,*,#17365,.T.); +#17388=ORIENTED_EDGE('',*,*,#17387,.T.); +#17390=ORIENTED_EDGE('',*,*,#17389,.F.); +#17391=EDGE_LOOP('',(#17385,#17386,#17388,#17390)); +#17392=FACE_OUTER_BOUND('',#17391,.F.); +#17394=CARTESIAN_POINT('',(3.88096125E1,2.62509E0,-1.397E1)); +#17395=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#17396=DIRECTION('',(-2.567226118398E-1,0.E0,-9.664851269265E-1)); +#17397=AXIS2_PLACEMENT_3D('',#17394,#17395,#17396); +#17398=PLANE('',#17397); +#17399=ORIENTED_EDGE('',*,*,#17367,.T.); +#17400=ORIENTED_EDGE('',*,*,#17384,.T.); +#17402=ORIENTED_EDGE('',*,*,#17401,.F.); +#17403=ORIENTED_EDGE('',*,*,#10454,.T.); +#17404=EDGE_LOOP('',(#17399,#17400,#17402,#17403)); +#17405=FACE_OUTER_BOUND('',#17404,.F.); +#17407=CARTESIAN_POINT('',(3.84048E1,2.22631E0,-1.397E1)); +#17408=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17410=AXIS2_PLACEMENT_3D('',#17407,#17408,#17409); +#17411=PLANE('',#17410); +#17412=ORIENTED_EDGE('',*,*,#10456,.T.); +#17413=ORIENTED_EDGE('',*,*,#17401,.T.); +#17414=ORIENTED_EDGE('',*,*,#17389,.T.); +#17416=ORIENTED_EDGE('',*,*,#17415,.T.); +#17418=ORIENTED_EDGE('',*,*,#17417,.F.); +#17420=ORIENTED_EDGE('',*,*,#17419,.T.); +#17421=EDGE_LOOP('',(#17412,#17413,#17414,#17416,#17418,#17420)); +#17422=FACE_OUTER_BOUND('',#17421,.F.); +#17424=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#17425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17426=DIRECTION('',(1.E0,0.E0,0.E0)); +#17427=AXIS2_PLACEMENT_3D('',#17424,#17425,#17426); +#17428=PLANE('',#17427); +#17430=ORIENTED_EDGE('',*,*,#17429,.F.); +#17432=ORIENTED_EDGE('',*,*,#17431,.F.); +#17434=ORIENTED_EDGE('',*,*,#17433,.F.); +#17436=ORIENTED_EDGE('',*,*,#17435,.F.); +#17437=EDGE_LOOP('',(#17430,#17432,#17434,#17436)); +#17438=FACE_OUTER_BOUND('',#17437,.F.); +#17440=CARTESIAN_POINT('',(-4.2672E1,0.E0,-1.8669E1)); +#17441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17442=DIRECTION('',(1.E0,0.E0,0.E0)); +#17443=AXIS2_PLACEMENT_3D('',#17440,#17441,#17442); +#17444=PLANE('',#17443); +#17445=ORIENTED_EDGE('',*,*,#17374,.F.); +#17447=ORIENTED_EDGE('',*,*,#17446,.F.); +#17448=ORIENTED_EDGE('',*,*,#17415,.F.); +#17449=ORIENTED_EDGE('',*,*,#17387,.F.); +#17450=EDGE_LOOP('',(#17445,#17447,#17448,#17449)); +#17451=FACE_OUTER_BOUND('',#17450,.F.); +#17453=CARTESIAN_POINT('',(3.77952E1,-2.62509E0,-1.397E1)); +#17454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17455=DIRECTION('',(0.E0,1.E0,0.E0)); +#17456=AXIS2_PLACEMENT_3D('',#17453,#17454,#17455); +#17457=PLANE('',#17456); +#17459=ORIENTED_EDGE('',*,*,#17458,.F.); +#17461=ORIENTED_EDGE('',*,*,#17460,.T.); +#17462=ORIENTED_EDGE('',*,*,#17429,.T.); +#17464=ORIENTED_EDGE('',*,*,#17463,.F.); +#17465=EDGE_LOOP('',(#17459,#17461,#17462,#17464)); +#17466=FACE_OUTER_BOUND('',#17465,.F.); +#17468=CARTESIAN_POINT('',(3.73903875E1,-2.62509E0,-1.397E1)); +#17469=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#17470=DIRECTION('',(2.567226118399E-1,0.E0,-9.664851269265E-1)); +#17471=AXIS2_PLACEMENT_3D('',#17468,#17469,#17470); +#17472=PLANE('',#17471); +#17474=ORIENTED_EDGE('',*,*,#17473,.T.); +#17475=ORIENTED_EDGE('',*,*,#17458,.T.); +#17477=ORIENTED_EDGE('',*,*,#17476,.F.); +#17478=ORIENTED_EDGE('',*,*,#10464,.T.); +#17479=EDGE_LOOP('',(#17474,#17475,#17477,#17478)); +#17480=FACE_OUTER_BOUND('',#17479,.F.); +#17482=CARTESIAN_POINT('',(3.84048E1,-2.62509E0,-1.397E1)); +#17483=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17484=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17485=AXIS2_PLACEMENT_3D('',#17482,#17483,#17484); +#17486=PLANE('',#17485); +#17487=ORIENTED_EDGE('',*,*,#10462,.T.); +#17489=ORIENTED_EDGE('',*,*,#17488,.F.); +#17491=ORIENTED_EDGE('',*,*,#17490,.T.); +#17492=ORIENTED_EDGE('',*,*,#17431,.T.); +#17493=ORIENTED_EDGE('',*,*,#17460,.F.); +#17494=ORIENTED_EDGE('',*,*,#17473,.F.); +#17495=EDGE_LOOP('',(#17487,#17489,#17491,#17492,#17493,#17494)); +#17496=FACE_OUTER_BOUND('',#17495,.F.); +#17498=CARTESIAN_POINT('',(3.84048E1,-2.62509E0,-1.5494E1)); +#17499=DIRECTION('',(9.664851269265E-1,0.E0,-2.567226118398E-1)); +#17500=DIRECTION('',(2.567226118398E-1,0.E0,9.664851269265E-1)); +#17501=AXIS2_PLACEMENT_3D('',#17498,#17499,#17500); +#17502=PLANE('',#17501); +#17503=ORIENTED_EDGE('',*,*,#17488,.T.); +#17504=ORIENTED_EDGE('',*,*,#10468,.F.); +#17506=ORIENTED_EDGE('',*,*,#17505,.F.); +#17508=ORIENTED_EDGE('',*,*,#17507,.T.); +#17509=EDGE_LOOP('',(#17503,#17504,#17506,#17508)); +#17510=FACE_OUTER_BOUND('',#17509,.F.); +#17512=CARTESIAN_POINT('',(3.77952E1,-2.22631E0,-1.397E1)); +#17513=DIRECTION('',(0.E0,1.E0,0.E0)); +#17514=DIRECTION('',(1.E0,0.E0,0.E0)); +#17515=AXIS2_PLACEMENT_3D('',#17512,#17513,#17514); +#17516=PLANE('',#17515); +#17518=ORIENTED_EDGE('',*,*,#17517,.F.); +#17519=ORIENTED_EDGE('',*,*,#17505,.T.); +#17520=ORIENTED_EDGE('',*,*,#10466,.T.); +#17521=ORIENTED_EDGE('',*,*,#17476,.T.); +#17522=ORIENTED_EDGE('',*,*,#17463,.T.); +#17523=ORIENTED_EDGE('',*,*,#17435,.T.); +#17524=EDGE_LOOP('',(#17518,#17519,#17520,#17521,#17522,#17523)); +#17525=FACE_OUTER_BOUND('',#17524,.F.); +#17527=CARTESIAN_POINT('',(3.84048E1,-2.22631E0,-1.397E1)); +#17528=DIRECTION('',(1.E0,0.E0,0.E0)); +#17529=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17530=AXIS2_PLACEMENT_3D('',#17527,#17528,#17529); +#17531=PLANE('',#17530); +#17532=ORIENTED_EDGE('',*,*,#17507,.F.); +#17533=ORIENTED_EDGE('',*,*,#17517,.T.); +#17534=ORIENTED_EDGE('',*,*,#17433,.T.); +#17535=ORIENTED_EDGE('',*,*,#17490,.F.); +#17536=EDGE_LOOP('',(#17532,#17533,#17534,#17535)); +#17537=FACE_OUTER_BOUND('',#17536,.F.); +#17539=CARTESIAN_POINT('',(3.77952E1,2.22631E0,-1.397E1)); +#17540=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17541=DIRECTION('',(0.E0,1.E0,0.E0)); +#17542=AXIS2_PLACEMENT_3D('',#17539,#17540,#17541); +#17543=PLANE('',#17542); +#17545=ORIENTED_EDGE('',*,*,#17544,.F.); +#17546=ORIENTED_EDGE('',*,*,#17417,.T.); +#17547=ORIENTED_EDGE('',*,*,#17446,.T.); +#17548=ORIENTED_EDGE('',*,*,#17372,.F.); +#17549=EDGE_LOOP('',(#17545,#17546,#17547,#17548)); +#17550=FACE_OUTER_BOUND('',#17549,.F.); +#17552=CARTESIAN_POINT('',(3.77952E1,2.62509E0,-1.5494E1)); +#17553=DIRECTION('',(-9.664851269265E-1,0.E0,-2.567226118399E-1)); +#17554=DIRECTION('',(-2.567226118399E-1,0.E0,9.664851269265E-1)); +#17555=AXIS2_PLACEMENT_3D('',#17552,#17553,#17554); +#17556=PLANE('',#17555); +#17557=ORIENTED_EDGE('',*,*,#17370,.T.); +#17558=ORIENTED_EDGE('',*,*,#10458,.F.); +#17559=ORIENTED_EDGE('',*,*,#17419,.F.); +#17560=ORIENTED_EDGE('',*,*,#17544,.T.); +#17561=EDGE_LOOP('',(#17557,#17558,#17559,#17560)); +#17562=FACE_OUTER_BOUND('',#17561,.F.); +#17564=CARTESIAN_POINT('',(-4.191E1,-3.048E0,2.664883238269E-2)); +#17565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17566=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17567=AXIS2_PLACEMENT_3D('',#17564,#17565,#17566); +#17568=CYLINDRICAL_SURFACE('',#17567,7.62E-1); +#17569=ORIENTED_EDGE('',*,*,#9485,.T.); +#17570=ORIENTED_EDGE('',*,*,#9644,.T.); +#17571=ORIENTED_EDGE('',*,*,#9561,.T.); +#17572=ORIENTED_EDGE('',*,*,#9438,.F.); +#17573=EDGE_LOOP('',(#17569,#17570,#17571,#17572)); +#17574=FACE_OUTER_BOUND('',#17573,.F.); +#17576=CARTESIAN_POINT('',(4.191E1,-3.9116E0,1.315580046199E-2)); +#17577=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17578=DIRECTION('',(1.E0,0.E0,0.E0)); +#17579=AXIS2_PLACEMENT_3D('',#17576,#17577,#17578); +#17580=CYLINDRICAL_SURFACE('',#17579,7.62E-1); +#17581=ORIENTED_EDGE('',*,*,#9492,.T.); +#17583=ORIENTED_EDGE('',*,*,#17582,.F.); +#17585=ORIENTED_EDGE('',*,*,#17584,.T.); +#17586=ORIENTED_EDGE('',*,*,#9589,.T.); +#17587=EDGE_LOOP('',(#17581,#17583,#17585,#17586)); +#17588=FACE_OUTER_BOUND('',#17587,.F.); +#17590=CARTESIAN_POINT('',(-4.2672E1,-4.6736E0,0.E0)); +#17591=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17592=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17593=AXIS2_PLACEMENT_3D('',#17590,#17591,#17592); +#17594=PLANE('',#17593); +#17596=ORIENTED_EDGE('',*,*,#17595,.T.); +#17598=ORIENTED_EDGE('',*,*,#17597,.T.); +#17599=ORIENTED_EDGE('',*,*,#17582,.T.); +#17600=ORIENTED_EDGE('',*,*,#9490,.F.); +#17601=EDGE_LOOP('',(#17596,#17598,#17599,#17600)); +#17602=FACE_OUTER_BOUND('',#17601,.F.); +#17604=CARTESIAN_POINT('',(-4.191E1,-3.9116E0,-8.909055863437E0)); +#17605=DIRECTION('',(0.E0,0.E0,1.E0)); +#17606=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17607=AXIS2_PLACEMENT_3D('',#17604,#17605,#17606); +#17608=CYLINDRICAL_SURFACE('',#17607,7.62E-1); +#17610=ORIENTED_EDGE('',*,*,#17609,.T.); +#17611=ORIENTED_EDGE('',*,*,#17595,.F.); +#17612=ORIENTED_EDGE('',*,*,#9488,.T.); +#17613=ORIENTED_EDGE('',*,*,#9450,.T.); +#17614=EDGE_LOOP('',(#17610,#17611,#17612,#17613)); +#17615=FACE_OUTER_BOUND('',#17614,.F.); +#17617=CARTESIAN_POINT('',(-4.2672E1,4.6736E0,0.E0)); +#17618=DIRECTION('',(0.E0,0.E0,1.E0)); +#17619=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17620=AXIS2_PLACEMENT_3D('',#17617,#17618,#17619); +#17621=PLANE('',#17620); +#17622=ORIENTED_EDGE('',*,*,#17609,.F.); +#17623=ORIENTED_EDGE('',*,*,#9448,.F.); +#17625=ORIENTED_EDGE('',*,*,#17624,.F.); +#17627=ORIENTED_EDGE('',*,*,#17626,.T.); +#17629=ORIENTED_EDGE('',*,*,#17628,.F.); +#17630=ORIENTED_EDGE('',*,*,#9591,.T.); +#17631=ORIENTED_EDGE('',*,*,#17584,.F.); +#17632=ORIENTED_EDGE('',*,*,#17597,.F.); +#17633=EDGE_LOOP('',(#17622,#17623,#17625,#17627,#17629,#17630,#17631,#17632)); +#17634=FACE_OUTER_BOUND('',#17633,.F.); +#17636=ORIENTED_EDGE('',*,*,#17635,.F.); +#17638=ORIENTED_EDGE('',*,*,#17637,.F.); +#17640=ORIENTED_EDGE('',*,*,#17639,.F.); +#17642=ORIENTED_EDGE('',*,*,#17641,.F.); +#17643=EDGE_LOOP('',(#17636,#17638,#17640,#17642)); +#17644=FACE_BOUND('',#17643,.F.); +#17646=CARTESIAN_POINT('',(-4.277931980032E1,2.5146E0,-1.524E0)); +#17647=DIRECTION('',(1.E0,0.E0,0.E0)); +#17648=DIRECTION('',(0.E0,0.E0,1.E0)); +#17649=AXIS2_PLACEMENT_3D('',#17646,#17647,#17648); +#17650=CYLINDRICAL_SURFACE('',#17649,1.524E0); +#17652=ORIENTED_EDGE('',*,*,#17651,.F.); +#17654=ORIENTED_EDGE('',*,*,#17653,.T.); +#17655=ORIENTED_EDGE('',*,*,#17635,.T.); +#17657=ORIENTED_EDGE('',*,*,#17656,.T.); +#17658=EDGE_LOOP('',(#17652,#17654,#17655,#17657)); +#17659=FACE_OUTER_BOUND('',#17658,.F.); +#17661=CARTESIAN_POINT('',(-4.2672E1,9.906E-1,0.E0)); +#17662=DIRECTION('',(0.E0,1.E0,0.E0)); +#17663=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17664=AXIS2_PLACEMENT_3D('',#17661,#17662,#17663); +#17665=PLANE('',#17664); +#17666=ORIENTED_EDGE('',*,*,#17651,.T.); +#17668=ORIENTED_EDGE('',*,*,#17667,.T.); +#17670=ORIENTED_EDGE('',*,*,#17669,.T.); +#17672=ORIENTED_EDGE('',*,*,#17671,.T.); +#17673=EDGE_LOOP('',(#17666,#17668,#17670,#17672)); +#17674=FACE_OUTER_BOUND('',#17673,.F.); +#17676=ORIENTED_EDGE('',*,*,#17675,.F.); +#17678=ORIENTED_EDGE('',*,*,#17677,.F.); +#17680=ORIENTED_EDGE('',*,*,#17679,.T.); +#17682=ORIENTED_EDGE('',*,*,#17681,.T.); +#17683=EDGE_LOOP('',(#17676,#17678,#17680,#17682)); +#17684=FACE_BOUND('',#17683,.F.); +#17686=ORIENTED_EDGE('',*,*,#17685,.F.); +#17688=ORIENTED_EDGE('',*,*,#17687,.F.); +#17690=ORIENTED_EDGE('',*,*,#17689,.T.); +#17692=ORIENTED_EDGE('',*,*,#17691,.T.); +#17693=EDGE_LOOP('',(#17686,#17688,#17690,#17692)); +#17694=FACE_BOUND('',#17693,.F.); +#17696=ORIENTED_EDGE('',*,*,#17695,.F.); +#17698=ORIENTED_EDGE('',*,*,#17697,.F.); +#17700=ORIENTED_EDGE('',*,*,#17699,.T.); +#17702=ORIENTED_EDGE('',*,*,#17701,.T.); +#17703=EDGE_LOOP('',(#17696,#17698,#17700,#17702)); +#17704=FACE_BOUND('',#17703,.F.); +#17706=ORIENTED_EDGE('',*,*,#17705,.F.); +#17708=ORIENTED_EDGE('',*,*,#17707,.F.); +#17710=ORIENTED_EDGE('',*,*,#17709,.T.); +#17712=ORIENTED_EDGE('',*,*,#17711,.T.); +#17713=EDGE_LOOP('',(#17706,#17708,#17710,#17712)); +#17714=FACE_BOUND('',#17713,.F.); +#17716=ORIENTED_EDGE('',*,*,#17715,.F.); +#17718=ORIENTED_EDGE('',*,*,#17717,.F.); +#17720=ORIENTED_EDGE('',*,*,#17719,.T.); +#17722=ORIENTED_EDGE('',*,*,#17721,.T.); +#17723=EDGE_LOOP('',(#17716,#17718,#17720,#17722)); +#17724=FACE_BOUND('',#17723,.F.); +#17726=ORIENTED_EDGE('',*,*,#17725,.F.); +#17728=ORIENTED_EDGE('',*,*,#17727,.F.); +#17730=ORIENTED_EDGE('',*,*,#17729,.T.); +#17732=ORIENTED_EDGE('',*,*,#17731,.T.); +#17733=EDGE_LOOP('',(#17726,#17728,#17730,#17732)); +#17734=FACE_BOUND('',#17733,.F.); +#17736=ORIENTED_EDGE('',*,*,#17735,.T.); +#17738=ORIENTED_EDGE('',*,*,#17737,.F.); +#17740=ORIENTED_EDGE('',*,*,#17739,.T.); +#17742=ORIENTED_EDGE('',*,*,#17741,.T.); +#17743=EDGE_LOOP('',(#17736,#17738,#17740,#17742)); +#17744=FACE_BOUND('',#17743,.F.); +#17746=ORIENTED_EDGE('',*,*,#17745,.T.); +#17748=ORIENTED_EDGE('',*,*,#17747,.F.); +#17750=ORIENTED_EDGE('',*,*,#17749,.T.); +#17752=ORIENTED_EDGE('',*,*,#17751,.T.); +#17753=EDGE_LOOP('',(#17746,#17748,#17750,#17752)); +#17754=FACE_BOUND('',#17753,.F.); +#17756=ORIENTED_EDGE('',*,*,#17755,.T.); +#17758=ORIENTED_EDGE('',*,*,#17757,.F.); +#17760=ORIENTED_EDGE('',*,*,#17759,.T.); +#17762=ORIENTED_EDGE('',*,*,#17761,.T.); +#17763=EDGE_LOOP('',(#17756,#17758,#17760,#17762)); +#17764=FACE_BOUND('',#17763,.F.); +#17766=ORIENTED_EDGE('',*,*,#17765,.T.); +#17768=ORIENTED_EDGE('',*,*,#17767,.F.); +#17770=ORIENTED_EDGE('',*,*,#17769,.T.); +#17772=ORIENTED_EDGE('',*,*,#17771,.T.); +#17773=EDGE_LOOP('',(#17766,#17768,#17770,#17772)); +#17774=FACE_BOUND('',#17773,.F.); +#17776=ORIENTED_EDGE('',*,*,#17775,.T.); +#17778=ORIENTED_EDGE('',*,*,#17777,.F.); +#17780=ORIENTED_EDGE('',*,*,#17779,.T.); +#17782=ORIENTED_EDGE('',*,*,#17781,.T.); +#17783=EDGE_LOOP('',(#17776,#17778,#17780,#17782)); +#17784=FACE_BOUND('',#17783,.F.); +#17786=ORIENTED_EDGE('',*,*,#17785,.T.); +#17788=ORIENTED_EDGE('',*,*,#17787,.F.); +#17790=ORIENTED_EDGE('',*,*,#17789,.T.); +#17792=ORIENTED_EDGE('',*,*,#17791,.T.); +#17793=EDGE_LOOP('',(#17786,#17788,#17790,#17792)); +#17794=FACE_BOUND('',#17793,.F.); +#17796=ORIENTED_EDGE('',*,*,#17795,.T.); +#17798=ORIENTED_EDGE('',*,*,#17797,.F.); +#17800=ORIENTED_EDGE('',*,*,#17799,.T.); +#17802=ORIENTED_EDGE('',*,*,#17801,.T.); +#17803=EDGE_LOOP('',(#17796,#17798,#17800,#17802)); +#17804=FACE_BOUND('',#17803,.F.); +#17806=ORIENTED_EDGE('',*,*,#17805,.T.); +#17808=ORIENTED_EDGE('',*,*,#17807,.F.); +#17810=ORIENTED_EDGE('',*,*,#17809,.T.); +#17812=ORIENTED_EDGE('',*,*,#17811,.T.); +#17813=EDGE_LOOP('',(#17806,#17808,#17810,#17812)); +#17814=FACE_BOUND('',#17813,.F.); +#17816=ORIENTED_EDGE('',*,*,#17815,.T.); +#17818=ORIENTED_EDGE('',*,*,#17817,.F.); +#17820=ORIENTED_EDGE('',*,*,#17819,.T.); +#17822=ORIENTED_EDGE('',*,*,#17821,.T.); +#17823=EDGE_LOOP('',(#17816,#17818,#17820,#17822)); +#17824=FACE_BOUND('',#17823,.F.); +#17826=ORIENTED_EDGE('',*,*,#17825,.T.); +#17828=ORIENTED_EDGE('',*,*,#17827,.F.); +#17830=ORIENTED_EDGE('',*,*,#17829,.T.); +#17832=ORIENTED_EDGE('',*,*,#17831,.T.); +#17833=EDGE_LOOP('',(#17826,#17828,#17830,#17832)); +#17834=FACE_BOUND('',#17833,.F.); +#17836=ORIENTED_EDGE('',*,*,#17835,.T.); +#17838=ORIENTED_EDGE('',*,*,#17837,.F.); +#17840=ORIENTED_EDGE('',*,*,#17839,.T.); +#17842=ORIENTED_EDGE('',*,*,#17841,.T.); +#17843=EDGE_LOOP('',(#17836,#17838,#17840,#17842)); +#17844=FACE_BOUND('',#17843,.F.); +#17846=ORIENTED_EDGE('',*,*,#17845,.T.); +#17848=ORIENTED_EDGE('',*,*,#17847,.F.); +#17850=ORIENTED_EDGE('',*,*,#17849,.T.); +#17852=ORIENTED_EDGE('',*,*,#17851,.T.); +#17853=EDGE_LOOP('',(#17846,#17848,#17850,#17852)); +#17854=FACE_BOUND('',#17853,.F.); +#17856=ORIENTED_EDGE('',*,*,#17855,.T.); +#17858=ORIENTED_EDGE('',*,*,#17857,.F.); +#17860=ORIENTED_EDGE('',*,*,#17859,.T.); +#17862=ORIENTED_EDGE('',*,*,#17861,.T.); +#17863=EDGE_LOOP('',(#17856,#17858,#17860,#17862)); +#17864=FACE_BOUND('',#17863,.F.); +#17866=ORIENTED_EDGE('',*,*,#17865,.T.); +#17868=ORIENTED_EDGE('',*,*,#17867,.F.); +#17870=ORIENTED_EDGE('',*,*,#17869,.T.); +#17872=ORIENTED_EDGE('',*,*,#17871,.T.); +#17873=EDGE_LOOP('',(#17866,#17868,#17870,#17872)); +#17874=FACE_BOUND('',#17873,.F.); +#17876=ORIENTED_EDGE('',*,*,#17875,.T.); +#17878=ORIENTED_EDGE('',*,*,#17877,.F.); +#17880=ORIENTED_EDGE('',*,*,#17879,.T.); +#17882=ORIENTED_EDGE('',*,*,#17881,.T.); +#17883=EDGE_LOOP('',(#17876,#17878,#17880,#17882)); +#17884=FACE_BOUND('',#17883,.F.); +#17886=ORIENTED_EDGE('',*,*,#17885,.T.); +#17888=ORIENTED_EDGE('',*,*,#17887,.F.); +#17890=ORIENTED_EDGE('',*,*,#17889,.T.); +#17892=ORIENTED_EDGE('',*,*,#17891,.T.); +#17893=EDGE_LOOP('',(#17886,#17888,#17890,#17892)); +#17894=FACE_BOUND('',#17893,.F.); +#17896=ORIENTED_EDGE('',*,*,#17895,.T.); +#17898=ORIENTED_EDGE('',*,*,#17897,.F.); +#17900=ORIENTED_EDGE('',*,*,#17899,.T.); +#17902=ORIENTED_EDGE('',*,*,#17901,.T.); +#17903=EDGE_LOOP('',(#17896,#17898,#17900,#17902)); +#17904=FACE_BOUND('',#17903,.F.); +#17906=ORIENTED_EDGE('',*,*,#17905,.T.); +#17908=ORIENTED_EDGE('',*,*,#17907,.F.); +#17910=ORIENTED_EDGE('',*,*,#17909,.T.); +#17912=ORIENTED_EDGE('',*,*,#17911,.T.); +#17913=EDGE_LOOP('',(#17906,#17908,#17910,#17912)); +#17914=FACE_BOUND('',#17913,.F.); +#17916=ORIENTED_EDGE('',*,*,#17915,.T.); +#17918=ORIENTED_EDGE('',*,*,#17917,.F.); +#17920=ORIENTED_EDGE('',*,*,#17919,.T.); +#17922=ORIENTED_EDGE('',*,*,#17921,.T.); +#17923=EDGE_LOOP('',(#17916,#17918,#17920,#17922)); +#17924=FACE_BOUND('',#17923,.F.); +#17926=ORIENTED_EDGE('',*,*,#17925,.T.); +#17928=ORIENTED_EDGE('',*,*,#17927,.F.); +#17930=ORIENTED_EDGE('',*,*,#17929,.T.); +#17932=ORIENTED_EDGE('',*,*,#17931,.T.); +#17933=EDGE_LOOP('',(#17926,#17928,#17930,#17932)); +#17934=FACE_BOUND('',#17933,.F.); +#17936=ORIENTED_EDGE('',*,*,#17935,.T.); +#17938=ORIENTED_EDGE('',*,*,#17937,.F.); +#17940=ORIENTED_EDGE('',*,*,#17939,.T.); +#17942=ORIENTED_EDGE('',*,*,#17941,.T.); +#17943=EDGE_LOOP('',(#17936,#17938,#17940,#17942)); +#17944=FACE_BOUND('',#17943,.F.); +#17946=ORIENTED_EDGE('',*,*,#17945,.T.); +#17948=ORIENTED_EDGE('',*,*,#17947,.F.); +#17950=ORIENTED_EDGE('',*,*,#17949,.T.); +#17952=ORIENTED_EDGE('',*,*,#17951,.T.); +#17953=EDGE_LOOP('',(#17946,#17948,#17950,#17952)); +#17954=FACE_BOUND('',#17953,.F.); +#17956=ORIENTED_EDGE('',*,*,#17955,.T.); +#17958=ORIENTED_EDGE('',*,*,#17957,.F.); +#17960=ORIENTED_EDGE('',*,*,#17959,.T.); +#17962=ORIENTED_EDGE('',*,*,#17961,.T.); +#17963=EDGE_LOOP('',(#17956,#17958,#17960,#17962)); +#17964=FACE_BOUND('',#17963,.F.); +#17966=ORIENTED_EDGE('',*,*,#17965,.T.); +#17968=ORIENTED_EDGE('',*,*,#17967,.F.); +#17970=ORIENTED_EDGE('',*,*,#17969,.T.); +#17972=ORIENTED_EDGE('',*,*,#17971,.T.); +#17973=EDGE_LOOP('',(#17966,#17968,#17970,#17972)); +#17974=FACE_BOUND('',#17973,.F.); +#17976=ORIENTED_EDGE('',*,*,#17975,.T.); +#17978=ORIENTED_EDGE('',*,*,#17977,.F.); +#17980=ORIENTED_EDGE('',*,*,#17979,.T.); +#17982=ORIENTED_EDGE('',*,*,#17981,.T.); +#17983=EDGE_LOOP('',(#17976,#17978,#17980,#17982)); +#17984=FACE_BOUND('',#17983,.F.); +#17986=CARTESIAN_POINT('',(4.064E1,9.906E-1,-1.524E0)); +#17987=DIRECTION('',(1.E0,0.E0,0.E0)); +#17988=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17989=AXIS2_PLACEMENT_3D('',#17986,#17987,#17988); +#17990=PLANE('',#17989); +#17991=ORIENTED_EDGE('',*,*,#17667,.F.); +#17993=ORIENTED_EDGE('',*,*,#17992,.T.); +#17995=ORIENTED_EDGE('',*,*,#17994,.T.); +#17997=ORIENTED_EDGE('',*,*,#17996,.F.); +#17998=EDGE_LOOP('',(#17991,#17993,#17995,#17997)); +#17999=FACE_OUTER_BOUND('',#17998,.F.); +#18001=CARTESIAN_POINT('',(4.2164E1,9.906E-1,0.E0)); +#18002=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#18003=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#18004=AXIS2_PLACEMENT_3D('',#18001,#18002,#18003); +#18005=PLANE('',#18004); +#18006=ORIENTED_EDGE('',*,*,#17992,.F.); +#18007=ORIENTED_EDGE('',*,*,#17656,.F.); +#18008=ORIENTED_EDGE('',*,*,#17641,.T.); +#18010=ORIENTED_EDGE('',*,*,#18009,.F.); +#18011=EDGE_LOOP('',(#18006,#18007,#18008,#18010)); +#18012=FACE_OUTER_BOUND('',#18011,.F.); +#18014=CARTESIAN_POINT('',(4.281310957638E1,-2.5146E0,-1.524E0)); +#18015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18016=DIRECTION('',(0.E0,0.E0,1.E0)); +#18017=AXIS2_PLACEMENT_3D('',#18014,#18015,#18016); +#18018=CYLINDRICAL_SURFACE('',#18017,1.524E0); +#18020=ORIENTED_EDGE('',*,*,#18019,.F.); +#18021=ORIENTED_EDGE('',*,*,#18009,.T.); +#18022=ORIENTED_EDGE('',*,*,#17639,.T.); +#18024=ORIENTED_EDGE('',*,*,#18023,.T.); +#18025=EDGE_LOOP('',(#18020,#18021,#18022,#18024)); +#18026=FACE_OUTER_BOUND('',#18025,.F.); +#18028=CARTESIAN_POINT('',(-4.2672E1,-9.906E-1,0.E0)); +#18029=DIRECTION('',(0.E0,1.E0,0.E0)); +#18030=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18031=AXIS2_PLACEMENT_3D('',#18028,#18029,#18030); +#18032=PLANE('',#18031); +#18033=ORIENTED_EDGE('',*,*,#18019,.T.); +#18035=ORIENTED_EDGE('',*,*,#18034,.F.); +#18037=ORIENTED_EDGE('',*,*,#18036,.F.); +#18038=ORIENTED_EDGE('',*,*,#17994,.F.); +#18039=EDGE_LOOP('',(#18033,#18035,#18037,#18038)); +#18040=FACE_OUTER_BOUND('',#18039,.F.); +#18042=ORIENTED_EDGE('',*,*,#18041,.T.); +#18044=ORIENTED_EDGE('',*,*,#18043,.F.); +#18046=ORIENTED_EDGE('',*,*,#18045,.F.); +#18048=ORIENTED_EDGE('',*,*,#18047,.T.); +#18049=EDGE_LOOP('',(#18042,#18044,#18046,#18048)); +#18050=FACE_BOUND('',#18049,.F.); +#18052=ORIENTED_EDGE('',*,*,#18051,.T.); +#18054=ORIENTED_EDGE('',*,*,#18053,.F.); +#18056=ORIENTED_EDGE('',*,*,#18055,.F.); +#18058=ORIENTED_EDGE('',*,*,#18057,.T.); +#18059=EDGE_LOOP('',(#18052,#18054,#18056,#18058)); +#18060=FACE_BOUND('',#18059,.F.); +#18062=ORIENTED_EDGE('',*,*,#18061,.T.); +#18064=ORIENTED_EDGE('',*,*,#18063,.F.); +#18066=ORIENTED_EDGE('',*,*,#18065,.F.); +#18068=ORIENTED_EDGE('',*,*,#18067,.T.); +#18069=EDGE_LOOP('',(#18062,#18064,#18066,#18068)); +#18070=FACE_BOUND('',#18069,.F.); +#18072=ORIENTED_EDGE('',*,*,#18071,.T.); +#18074=ORIENTED_EDGE('',*,*,#18073,.F.); +#18076=ORIENTED_EDGE('',*,*,#18075,.F.); +#18078=ORIENTED_EDGE('',*,*,#18077,.T.); +#18079=EDGE_LOOP('',(#18072,#18074,#18076,#18078)); +#18080=FACE_BOUND('',#18079,.F.); +#18082=ORIENTED_EDGE('',*,*,#18081,.T.); +#18084=ORIENTED_EDGE('',*,*,#18083,.F.); +#18086=ORIENTED_EDGE('',*,*,#18085,.F.); +#18088=ORIENTED_EDGE('',*,*,#18087,.T.); +#18089=EDGE_LOOP('',(#18082,#18084,#18086,#18088)); +#18090=FACE_BOUND('',#18089,.F.); +#18092=ORIENTED_EDGE('',*,*,#18091,.T.); +#18094=ORIENTED_EDGE('',*,*,#18093,.F.); +#18096=ORIENTED_EDGE('',*,*,#18095,.F.); +#18098=ORIENTED_EDGE('',*,*,#18097,.T.); +#18099=EDGE_LOOP('',(#18092,#18094,#18096,#18098)); +#18100=FACE_BOUND('',#18099,.F.); +#18102=ORIENTED_EDGE('',*,*,#18101,.T.); +#18104=ORIENTED_EDGE('',*,*,#18103,.F.); +#18106=ORIENTED_EDGE('',*,*,#18105,.T.); +#18108=ORIENTED_EDGE('',*,*,#18107,.T.); +#18109=EDGE_LOOP('',(#18102,#18104,#18106,#18108)); +#18110=FACE_BOUND('',#18109,.F.); +#18112=ORIENTED_EDGE('',*,*,#18111,.T.); +#18114=ORIENTED_EDGE('',*,*,#18113,.F.); +#18116=ORIENTED_EDGE('',*,*,#18115,.T.); +#18118=ORIENTED_EDGE('',*,*,#18117,.T.); +#18119=EDGE_LOOP('',(#18112,#18114,#18116,#18118)); +#18120=FACE_BOUND('',#18119,.F.); +#18122=ORIENTED_EDGE('',*,*,#18121,.T.); +#18124=ORIENTED_EDGE('',*,*,#18123,.F.); +#18126=ORIENTED_EDGE('',*,*,#18125,.T.); +#18128=ORIENTED_EDGE('',*,*,#18127,.T.); +#18129=EDGE_LOOP('',(#18122,#18124,#18126,#18128)); +#18130=FACE_BOUND('',#18129,.F.); +#18132=ORIENTED_EDGE('',*,*,#18131,.T.); +#18134=ORIENTED_EDGE('',*,*,#18133,.F.); +#18136=ORIENTED_EDGE('',*,*,#18135,.T.); +#18138=ORIENTED_EDGE('',*,*,#18137,.T.); +#18139=EDGE_LOOP('',(#18132,#18134,#18136,#18138)); +#18140=FACE_BOUND('',#18139,.F.); +#18142=ORIENTED_EDGE('',*,*,#18141,.T.); +#18144=ORIENTED_EDGE('',*,*,#18143,.F.); +#18146=ORIENTED_EDGE('',*,*,#18145,.T.); +#18148=ORIENTED_EDGE('',*,*,#18147,.T.); +#18149=EDGE_LOOP('',(#18142,#18144,#18146,#18148)); +#18150=FACE_BOUND('',#18149,.F.); +#18152=ORIENTED_EDGE('',*,*,#18151,.T.); +#18154=ORIENTED_EDGE('',*,*,#18153,.F.); +#18156=ORIENTED_EDGE('',*,*,#18155,.T.); +#18158=ORIENTED_EDGE('',*,*,#18157,.T.); +#18159=EDGE_LOOP('',(#18152,#18154,#18156,#18158)); +#18160=FACE_BOUND('',#18159,.F.); +#18162=ORIENTED_EDGE('',*,*,#18161,.T.); +#18164=ORIENTED_EDGE('',*,*,#18163,.F.); +#18166=ORIENTED_EDGE('',*,*,#18165,.T.); +#18168=ORIENTED_EDGE('',*,*,#18167,.T.); +#18169=EDGE_LOOP('',(#18162,#18164,#18166,#18168)); +#18170=FACE_BOUND('',#18169,.F.); +#18172=ORIENTED_EDGE('',*,*,#18171,.T.); +#18174=ORIENTED_EDGE('',*,*,#18173,.F.); +#18176=ORIENTED_EDGE('',*,*,#18175,.T.); +#18178=ORIENTED_EDGE('',*,*,#18177,.T.); +#18179=EDGE_LOOP('',(#18172,#18174,#18176,#18178)); +#18180=FACE_BOUND('',#18179,.F.); +#18182=ORIENTED_EDGE('',*,*,#18181,.T.); +#18184=ORIENTED_EDGE('',*,*,#18183,.F.); +#18186=ORIENTED_EDGE('',*,*,#18185,.T.); +#18188=ORIENTED_EDGE('',*,*,#18187,.T.); +#18189=EDGE_LOOP('',(#18182,#18184,#18186,#18188)); +#18190=FACE_BOUND('',#18189,.F.); +#18192=ORIENTED_EDGE('',*,*,#18191,.T.); +#18194=ORIENTED_EDGE('',*,*,#18193,.F.); +#18196=ORIENTED_EDGE('',*,*,#18195,.T.); +#18198=ORIENTED_EDGE('',*,*,#18197,.T.); +#18199=EDGE_LOOP('',(#18192,#18194,#18196,#18198)); +#18200=FACE_BOUND('',#18199,.F.); +#18202=ORIENTED_EDGE('',*,*,#18201,.T.); +#18204=ORIENTED_EDGE('',*,*,#18203,.F.); +#18206=ORIENTED_EDGE('',*,*,#18205,.T.); +#18208=ORIENTED_EDGE('',*,*,#18207,.T.); +#18209=EDGE_LOOP('',(#18202,#18204,#18206,#18208)); +#18210=FACE_BOUND('',#18209,.F.); +#18212=ORIENTED_EDGE('',*,*,#18211,.T.); +#18214=ORIENTED_EDGE('',*,*,#18213,.F.); +#18216=ORIENTED_EDGE('',*,*,#18215,.T.); +#18218=ORIENTED_EDGE('',*,*,#18217,.T.); +#18219=EDGE_LOOP('',(#18212,#18214,#18216,#18218)); +#18220=FACE_BOUND('',#18219,.F.); +#18222=ORIENTED_EDGE('',*,*,#18221,.T.); +#18224=ORIENTED_EDGE('',*,*,#18223,.F.); +#18226=ORIENTED_EDGE('',*,*,#18225,.T.); +#18228=ORIENTED_EDGE('',*,*,#18227,.T.); +#18229=EDGE_LOOP('',(#18222,#18224,#18226,#18228)); +#18230=FACE_BOUND('',#18229,.F.); +#18232=ORIENTED_EDGE('',*,*,#18231,.T.); +#18234=ORIENTED_EDGE('',*,*,#18233,.F.); +#18236=ORIENTED_EDGE('',*,*,#18235,.T.); +#18238=ORIENTED_EDGE('',*,*,#18237,.T.); +#18239=EDGE_LOOP('',(#18232,#18234,#18236,#18238)); +#18240=FACE_BOUND('',#18239,.F.); +#18242=ORIENTED_EDGE('',*,*,#18241,.T.); +#18244=ORIENTED_EDGE('',*,*,#18243,.F.); +#18246=ORIENTED_EDGE('',*,*,#18245,.T.); +#18248=ORIENTED_EDGE('',*,*,#18247,.T.); +#18249=EDGE_LOOP('',(#18242,#18244,#18246,#18248)); +#18250=FACE_BOUND('',#18249,.F.); +#18252=ORIENTED_EDGE('',*,*,#18251,.T.); +#18254=ORIENTED_EDGE('',*,*,#18253,.F.); +#18256=ORIENTED_EDGE('',*,*,#18255,.T.); +#18258=ORIENTED_EDGE('',*,*,#18257,.T.); +#18259=EDGE_LOOP('',(#18252,#18254,#18256,#18258)); +#18260=FACE_BOUND('',#18259,.F.); +#18262=ORIENTED_EDGE('',*,*,#18261,.T.); +#18264=ORIENTED_EDGE('',*,*,#18263,.F.); +#18266=ORIENTED_EDGE('',*,*,#18265,.T.); +#18268=ORIENTED_EDGE('',*,*,#18267,.T.); +#18269=EDGE_LOOP('',(#18262,#18264,#18266,#18268)); +#18270=FACE_BOUND('',#18269,.F.); +#18272=ORIENTED_EDGE('',*,*,#18271,.T.); +#18274=ORIENTED_EDGE('',*,*,#18273,.F.); +#18276=ORIENTED_EDGE('',*,*,#18275,.T.); +#18278=ORIENTED_EDGE('',*,*,#18277,.T.); +#18279=EDGE_LOOP('',(#18272,#18274,#18276,#18278)); +#18280=FACE_BOUND('',#18279,.F.); +#18282=ORIENTED_EDGE('',*,*,#18281,.T.); +#18284=ORIENTED_EDGE('',*,*,#18283,.F.); +#18286=ORIENTED_EDGE('',*,*,#18285,.T.); +#18288=ORIENTED_EDGE('',*,*,#18287,.T.); +#18289=EDGE_LOOP('',(#18282,#18284,#18286,#18288)); +#18290=FACE_BOUND('',#18289,.F.); +#18292=ORIENTED_EDGE('',*,*,#18291,.T.); +#18294=ORIENTED_EDGE('',*,*,#18293,.F.); +#18296=ORIENTED_EDGE('',*,*,#18295,.T.); +#18298=ORIENTED_EDGE('',*,*,#18297,.T.); +#18299=EDGE_LOOP('',(#18292,#18294,#18296,#18298)); +#18300=FACE_BOUND('',#18299,.F.); +#18302=ORIENTED_EDGE('',*,*,#18301,.T.); +#18304=ORIENTED_EDGE('',*,*,#18303,.F.); +#18306=ORIENTED_EDGE('',*,*,#18305,.T.); +#18308=ORIENTED_EDGE('',*,*,#18307,.T.); +#18309=EDGE_LOOP('',(#18302,#18304,#18306,#18308)); +#18310=FACE_BOUND('',#18309,.F.); +#18312=ORIENTED_EDGE('',*,*,#18311,.T.); +#18314=ORIENTED_EDGE('',*,*,#18313,.F.); +#18316=ORIENTED_EDGE('',*,*,#18315,.T.); +#18318=ORIENTED_EDGE('',*,*,#18317,.T.); +#18319=EDGE_LOOP('',(#18312,#18314,#18316,#18318)); +#18320=FACE_BOUND('',#18319,.F.); +#18322=ORIENTED_EDGE('',*,*,#18321,.T.); +#18324=ORIENTED_EDGE('',*,*,#18323,.F.); +#18326=ORIENTED_EDGE('',*,*,#18325,.T.); +#18328=ORIENTED_EDGE('',*,*,#18327,.T.); +#18329=EDGE_LOOP('',(#18322,#18324,#18326,#18328)); +#18330=FACE_BOUND('',#18329,.F.); +#18332=ORIENTED_EDGE('',*,*,#18331,.T.); +#18334=ORIENTED_EDGE('',*,*,#18333,.F.); +#18336=ORIENTED_EDGE('',*,*,#18335,.T.); +#18338=ORIENTED_EDGE('',*,*,#18337,.T.); +#18339=EDGE_LOOP('',(#18332,#18334,#18336,#18338)); +#18340=FACE_BOUND('',#18339,.F.); +#18342=ORIENTED_EDGE('',*,*,#18341,.T.); +#18344=ORIENTED_EDGE('',*,*,#18343,.F.); +#18346=ORIENTED_EDGE('',*,*,#18345,.T.); +#18348=ORIENTED_EDGE('',*,*,#18347,.T.); +#18349=EDGE_LOOP('',(#18342,#18344,#18346,#18348)); +#18350=FACE_BOUND('',#18349,.F.); +#18352=CARTESIAN_POINT('',(-4.064E1,9.906E-1,-7.493E0)); +#18353=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18354=DIRECTION('',(0.E0,0.E0,1.E0)); +#18355=AXIS2_PLACEMENT_3D('',#18352,#18353,#18354); +#18356=PLANE('',#18355); +#18357=ORIENTED_EDGE('',*,*,#17671,.F.); +#18359=ORIENTED_EDGE('',*,*,#18358,.T.); +#18360=ORIENTED_EDGE('',*,*,#18034,.T.); +#18362=ORIENTED_EDGE('',*,*,#18361,.F.); +#18363=EDGE_LOOP('',(#18357,#18359,#18360,#18362)); +#18364=FACE_OUTER_BOUND('',#18363,.F.); +#18366=CARTESIAN_POINT('',(4.064E1,9.906E-1,-7.493E0)); +#18367=DIRECTION('',(0.E0,0.E0,-1.E0)); +#18368=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18369=AXIS2_PLACEMENT_3D('',#18366,#18367,#18368); +#18370=PLANE('',#18369); +#18371=ORIENTED_EDGE('',*,*,#17669,.F.); +#18372=ORIENTED_EDGE('',*,*,#17996,.T.); +#18373=ORIENTED_EDGE('',*,*,#18036,.T.); +#18374=ORIENTED_EDGE('',*,*,#18358,.F.); +#18375=EDGE_LOOP('',(#18371,#18372,#18373,#18374)); +#18376=FACE_OUTER_BOUND('',#18375,.F.); +#18378=CARTESIAN_POINT('',(-4.064E1,9.906E-1,-1.524E0)); +#18379=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#18380=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#18381=AXIS2_PLACEMENT_3D('',#18378,#18379,#18380); +#18382=PLANE('',#18381); +#18383=ORIENTED_EDGE('',*,*,#17637,.T.); +#18384=ORIENTED_EDGE('',*,*,#17653,.F.); +#18385=ORIENTED_EDGE('',*,*,#18361,.T.); +#18386=ORIENTED_EDGE('',*,*,#18023,.F.); +#18387=EDGE_LOOP('',(#18383,#18384,#18385,#18386)); +#18388=FACE_OUTER_BOUND('',#18387,.F.); +#18390=CARTESIAN_POINT('',(-3.883025E1,0.E0,0.E0)); +#18391=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18392=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18393=AXIS2_PLACEMENT_3D('',#18390,#18391,#18392); +#18394=PLANE('',#18393); +#18395=ORIENTED_EDGE('',*,*,#18041,.F.); +#18397=ORIENTED_EDGE('',*,*,#18396,.F.); +#18398=EDGE_LOOP('',(#18395,#18397)); +#18399=FACE_OUTER_BOUND('',#18398,.F.); +#18401=CARTESIAN_POINT('',(-3.883025E1,0.E0,0.E0)); +#18402=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18403=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18404=AXIS2_PLACEMENT_3D('',#18401,#18402,#18403); +#18405=PLANE('',#18404); +#18406=ORIENTED_EDGE('',*,*,#17675,.T.); +#18408=ORIENTED_EDGE('',*,*,#18407,.F.); +#18409=EDGE_LOOP('',(#18406,#18408)); +#18410=FACE_OUTER_BOUND('',#18409,.F.); +#18412=CARTESIAN_POINT('',(-3.883025E1,-2.4384E0,-3.556E0)); +#18413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18414=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18415=AXIS2_PLACEMENT_3D('',#18412,#18413,#18414); +#18416=CYLINDRICAL_SURFACE('',#18415,2.159E0); +#18417=ORIENTED_EDGE('',*,*,#18043,.T.); +#18418=ORIENTED_EDGE('',*,*,#18396,.T.); +#18419=ORIENTED_EDGE('',*,*,#18047,.F.); +#18421=ORIENTED_EDGE('',*,*,#18420,.F.); +#18422=EDGE_LOOP('',(#18417,#18418,#18419,#18421)); +#18423=FACE_OUTER_BOUND('',#18422,.F.); +#18425=CARTESIAN_POINT('',(-3.736975E1,0.E0,0.E0)); +#18426=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18428=AXIS2_PLACEMENT_3D('',#18425,#18426,#18427); +#18429=PLANE('',#18428); +#18430=ORIENTED_EDGE('',*,*,#18045,.T.); +#18431=ORIENTED_EDGE('',*,*,#18420,.T.); +#18432=EDGE_LOOP('',(#18430,#18431)); +#18433=FACE_OUTER_BOUND('',#18432,.F.); +#18435=CARTESIAN_POINT('',(-3.736975E1,0.E0,0.E0)); +#18436=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18437=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18438=AXIS2_PLACEMENT_3D('',#18435,#18436,#18437); +#18439=PLANE('',#18438); +#18440=ORIENTED_EDGE('',*,*,#17679,.F.); +#18442=ORIENTED_EDGE('',*,*,#18441,.T.); +#18443=EDGE_LOOP('',(#18440,#18442)); +#18444=FACE_OUTER_BOUND('',#18443,.F.); +#18446=CARTESIAN_POINT('',(-3.883025E1,2.4384E0,-3.556E0)); +#18447=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18448=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18449=AXIS2_PLACEMENT_3D('',#18446,#18447,#18448); +#18450=CYLINDRICAL_SURFACE('',#18449,2.159E0); +#18451=ORIENTED_EDGE('',*,*,#17677,.T.); +#18452=ORIENTED_EDGE('',*,*,#18407,.T.); +#18453=ORIENTED_EDGE('',*,*,#17681,.F.); +#18454=ORIENTED_EDGE('',*,*,#18441,.F.); +#18455=EDGE_LOOP('',(#18451,#18452,#18453,#18454)); +#18456=FACE_OUTER_BOUND('',#18455,.F.); +#18458=CARTESIAN_POINT('',(-3.629025E1,0.E0,0.E0)); +#18459=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18460=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18461=AXIS2_PLACEMENT_3D('',#18458,#18459,#18460); +#18462=PLANE('',#18461); +#18463=ORIENTED_EDGE('',*,*,#18051,.F.); +#18465=ORIENTED_EDGE('',*,*,#18464,.F.); +#18466=EDGE_LOOP('',(#18463,#18465)); +#18467=FACE_OUTER_BOUND('',#18466,.F.); +#18469=CARTESIAN_POINT('',(-3.629025E1,0.E0,0.E0)); +#18470=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18471=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18472=AXIS2_PLACEMENT_3D('',#18469,#18470,#18471); +#18473=PLANE('',#18472); +#18474=ORIENTED_EDGE('',*,*,#17685,.T.); +#18476=ORIENTED_EDGE('',*,*,#18475,.F.); +#18477=EDGE_LOOP('',(#18474,#18476)); +#18478=FACE_OUTER_BOUND('',#18477,.F.); +#18480=CARTESIAN_POINT('',(-3.629025E1,-2.4384E0,-3.556E0)); +#18481=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18482=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18483=AXIS2_PLACEMENT_3D('',#18480,#18481,#18482); +#18484=CYLINDRICAL_SURFACE('',#18483,2.159E0); +#18485=ORIENTED_EDGE('',*,*,#18053,.T.); +#18486=ORIENTED_EDGE('',*,*,#18464,.T.); +#18487=ORIENTED_EDGE('',*,*,#18057,.F.); +#18489=ORIENTED_EDGE('',*,*,#18488,.F.); +#18490=EDGE_LOOP('',(#18485,#18486,#18487,#18489)); +#18491=FACE_OUTER_BOUND('',#18490,.F.); +#18493=CARTESIAN_POINT('',(-3.482975E1,0.E0,0.E0)); +#18494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18495=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18496=AXIS2_PLACEMENT_3D('',#18493,#18494,#18495); +#18497=PLANE('',#18496); +#18498=ORIENTED_EDGE('',*,*,#18055,.T.); +#18499=ORIENTED_EDGE('',*,*,#18488,.T.); +#18500=EDGE_LOOP('',(#18498,#18499)); +#18501=FACE_OUTER_BOUND('',#18500,.F.); +#18503=CARTESIAN_POINT('',(-3.482975E1,0.E0,0.E0)); +#18504=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18505=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18506=AXIS2_PLACEMENT_3D('',#18503,#18504,#18505); +#18507=PLANE('',#18506); +#18508=ORIENTED_EDGE('',*,*,#17689,.F.); +#18510=ORIENTED_EDGE('',*,*,#18509,.T.); +#18511=EDGE_LOOP('',(#18508,#18510)); +#18512=FACE_OUTER_BOUND('',#18511,.F.); +#18514=CARTESIAN_POINT('',(-3.629025E1,2.4384E0,-3.556E0)); +#18515=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18517=AXIS2_PLACEMENT_3D('',#18514,#18515,#18516); +#18518=CYLINDRICAL_SURFACE('',#18517,2.159E0); +#18519=ORIENTED_EDGE('',*,*,#17687,.T.); +#18520=ORIENTED_EDGE('',*,*,#18475,.T.); +#18521=ORIENTED_EDGE('',*,*,#17691,.F.); +#18522=ORIENTED_EDGE('',*,*,#18509,.F.); +#18523=EDGE_LOOP('',(#18519,#18520,#18521,#18522)); +#18524=FACE_OUTER_BOUND('',#18523,.F.); +#18526=CARTESIAN_POINT('',(-3.375025E1,0.E0,0.E0)); +#18527=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18528=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18529=AXIS2_PLACEMENT_3D('',#18526,#18527,#18528); +#18530=PLANE('',#18529); +#18531=ORIENTED_EDGE('',*,*,#18061,.F.); +#18533=ORIENTED_EDGE('',*,*,#18532,.F.); +#18534=EDGE_LOOP('',(#18531,#18533)); +#18535=FACE_OUTER_BOUND('',#18534,.F.); +#18537=CARTESIAN_POINT('',(-3.375025E1,0.E0,0.E0)); +#18538=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18539=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18540=AXIS2_PLACEMENT_3D('',#18537,#18538,#18539); +#18541=PLANE('',#18540); +#18542=ORIENTED_EDGE('',*,*,#17695,.T.); +#18544=ORIENTED_EDGE('',*,*,#18543,.F.); +#18545=EDGE_LOOP('',(#18542,#18544)); +#18546=FACE_OUTER_BOUND('',#18545,.F.); +#18548=CARTESIAN_POINT('',(-3.375025E1,-2.4384E0,-3.556E0)); +#18549=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18551=AXIS2_PLACEMENT_3D('',#18548,#18549,#18550); +#18552=CYLINDRICAL_SURFACE('',#18551,2.159E0); +#18553=ORIENTED_EDGE('',*,*,#18063,.T.); +#18554=ORIENTED_EDGE('',*,*,#18532,.T.); +#18555=ORIENTED_EDGE('',*,*,#18067,.F.); +#18557=ORIENTED_EDGE('',*,*,#18556,.F.); +#18558=EDGE_LOOP('',(#18553,#18554,#18555,#18557)); +#18559=FACE_OUTER_BOUND('',#18558,.F.); +#18561=CARTESIAN_POINT('',(-3.228975E1,0.E0,0.E0)); +#18562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18563=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18564=AXIS2_PLACEMENT_3D('',#18561,#18562,#18563); +#18565=PLANE('',#18564); +#18566=ORIENTED_EDGE('',*,*,#18065,.T.); +#18567=ORIENTED_EDGE('',*,*,#18556,.T.); +#18568=EDGE_LOOP('',(#18566,#18567)); +#18569=FACE_OUTER_BOUND('',#18568,.F.); +#18571=CARTESIAN_POINT('',(-3.228975E1,0.E0,0.E0)); +#18572=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18573=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18574=AXIS2_PLACEMENT_3D('',#18571,#18572,#18573); +#18575=PLANE('',#18574); +#18576=ORIENTED_EDGE('',*,*,#17699,.F.); +#18578=ORIENTED_EDGE('',*,*,#18577,.T.); +#18579=EDGE_LOOP('',(#18576,#18578)); +#18580=FACE_OUTER_BOUND('',#18579,.F.); +#18582=CARTESIAN_POINT('',(-3.375025E1,2.4384E0,-3.556E0)); +#18583=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18585=AXIS2_PLACEMENT_3D('',#18582,#18583,#18584); +#18586=CYLINDRICAL_SURFACE('',#18585,2.159E0); +#18587=ORIENTED_EDGE('',*,*,#17697,.T.); +#18588=ORIENTED_EDGE('',*,*,#18543,.T.); +#18589=ORIENTED_EDGE('',*,*,#17701,.F.); +#18590=ORIENTED_EDGE('',*,*,#18577,.F.); +#18591=EDGE_LOOP('',(#18587,#18588,#18589,#18590)); +#18592=FACE_OUTER_BOUND('',#18591,.F.); +#18594=CARTESIAN_POINT('',(-3.121025E1,0.E0,0.E0)); +#18595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18596=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18597=AXIS2_PLACEMENT_3D('',#18594,#18595,#18596); +#18598=PLANE('',#18597); +#18599=ORIENTED_EDGE('',*,*,#18071,.F.); +#18601=ORIENTED_EDGE('',*,*,#18600,.F.); +#18602=EDGE_LOOP('',(#18599,#18601)); +#18603=FACE_OUTER_BOUND('',#18602,.F.); +#18605=CARTESIAN_POINT('',(-3.121025E1,0.E0,0.E0)); +#18606=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18607=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18608=AXIS2_PLACEMENT_3D('',#18605,#18606,#18607); +#18609=PLANE('',#18608); +#18610=ORIENTED_EDGE('',*,*,#17705,.T.); +#18612=ORIENTED_EDGE('',*,*,#18611,.F.); +#18613=EDGE_LOOP('',(#18610,#18612)); +#18614=FACE_OUTER_BOUND('',#18613,.F.); +#18616=CARTESIAN_POINT('',(-3.121025E1,-2.4384E0,-3.556E0)); +#18617=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18618=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18619=AXIS2_PLACEMENT_3D('',#18616,#18617,#18618); +#18620=CYLINDRICAL_SURFACE('',#18619,2.159E0); +#18621=ORIENTED_EDGE('',*,*,#18073,.T.); +#18622=ORIENTED_EDGE('',*,*,#18600,.T.); +#18623=ORIENTED_EDGE('',*,*,#18077,.F.); +#18625=ORIENTED_EDGE('',*,*,#18624,.F.); +#18626=EDGE_LOOP('',(#18621,#18622,#18623,#18625)); +#18627=FACE_OUTER_BOUND('',#18626,.F.); +#18629=CARTESIAN_POINT('',(-2.974975E1,0.E0,0.E0)); +#18630=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18631=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18632=AXIS2_PLACEMENT_3D('',#18629,#18630,#18631); +#18633=PLANE('',#18632); +#18634=ORIENTED_EDGE('',*,*,#18075,.T.); +#18635=ORIENTED_EDGE('',*,*,#18624,.T.); +#18636=EDGE_LOOP('',(#18634,#18635)); +#18637=FACE_OUTER_BOUND('',#18636,.F.); +#18639=CARTESIAN_POINT('',(-2.974975E1,0.E0,0.E0)); +#18640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18641=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18642=AXIS2_PLACEMENT_3D('',#18639,#18640,#18641); +#18643=PLANE('',#18642); +#18644=ORIENTED_EDGE('',*,*,#17709,.F.); +#18646=ORIENTED_EDGE('',*,*,#18645,.T.); +#18647=EDGE_LOOP('',(#18644,#18646)); +#18648=FACE_OUTER_BOUND('',#18647,.F.); +#18650=CARTESIAN_POINT('',(-3.121025E1,2.4384E0,-3.556E0)); +#18651=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18653=AXIS2_PLACEMENT_3D('',#18650,#18651,#18652); +#18654=CYLINDRICAL_SURFACE('',#18653,2.159E0); +#18655=ORIENTED_EDGE('',*,*,#17707,.T.); +#18656=ORIENTED_EDGE('',*,*,#18611,.T.); +#18657=ORIENTED_EDGE('',*,*,#17711,.F.); +#18658=ORIENTED_EDGE('',*,*,#18645,.F.); +#18659=EDGE_LOOP('',(#18655,#18656,#18657,#18658)); +#18660=FACE_OUTER_BOUND('',#18659,.F.); +#18662=CARTESIAN_POINT('',(-2.867025E1,0.E0,0.E0)); +#18663=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18664=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18665=AXIS2_PLACEMENT_3D('',#18662,#18663,#18664); +#18666=PLANE('',#18665); +#18667=ORIENTED_EDGE('',*,*,#18081,.F.); +#18669=ORIENTED_EDGE('',*,*,#18668,.F.); +#18670=EDGE_LOOP('',(#18667,#18669)); +#18671=FACE_OUTER_BOUND('',#18670,.F.); +#18673=CARTESIAN_POINT('',(-2.867025E1,0.E0,0.E0)); +#18674=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18675=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18676=AXIS2_PLACEMENT_3D('',#18673,#18674,#18675); +#18677=PLANE('',#18676); +#18678=ORIENTED_EDGE('',*,*,#17715,.T.); +#18680=ORIENTED_EDGE('',*,*,#18679,.F.); +#18681=EDGE_LOOP('',(#18678,#18680)); +#18682=FACE_OUTER_BOUND('',#18681,.F.); +#18684=CARTESIAN_POINT('',(-2.867025E1,-2.4384E0,-3.556E0)); +#18685=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18687=AXIS2_PLACEMENT_3D('',#18684,#18685,#18686); +#18688=CYLINDRICAL_SURFACE('',#18687,2.159E0); +#18689=ORIENTED_EDGE('',*,*,#18083,.T.); +#18690=ORIENTED_EDGE('',*,*,#18668,.T.); +#18691=ORIENTED_EDGE('',*,*,#18087,.F.); +#18693=ORIENTED_EDGE('',*,*,#18692,.F.); +#18694=EDGE_LOOP('',(#18689,#18690,#18691,#18693)); +#18695=FACE_OUTER_BOUND('',#18694,.F.); +#18697=CARTESIAN_POINT('',(-2.720975E1,0.E0,0.E0)); +#18698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18699=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18700=AXIS2_PLACEMENT_3D('',#18697,#18698,#18699); +#18701=PLANE('',#18700); +#18702=ORIENTED_EDGE('',*,*,#18085,.T.); +#18703=ORIENTED_EDGE('',*,*,#18692,.T.); +#18704=EDGE_LOOP('',(#18702,#18703)); +#18705=FACE_OUTER_BOUND('',#18704,.F.); +#18707=CARTESIAN_POINT('',(-2.720975E1,0.E0,0.E0)); +#18708=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18709=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18710=AXIS2_PLACEMENT_3D('',#18707,#18708,#18709); +#18711=PLANE('',#18710); +#18712=ORIENTED_EDGE('',*,*,#17719,.F.); +#18714=ORIENTED_EDGE('',*,*,#18713,.T.); +#18715=EDGE_LOOP('',(#18712,#18714)); +#18716=FACE_OUTER_BOUND('',#18715,.F.); +#18718=CARTESIAN_POINT('',(-2.867025E1,2.4384E0,-3.556E0)); +#18719=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18720=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18721=AXIS2_PLACEMENT_3D('',#18718,#18719,#18720); +#18722=CYLINDRICAL_SURFACE('',#18721,2.159E0); +#18723=ORIENTED_EDGE('',*,*,#17717,.T.); +#18724=ORIENTED_EDGE('',*,*,#18679,.T.); +#18725=ORIENTED_EDGE('',*,*,#17721,.F.); +#18726=ORIENTED_EDGE('',*,*,#18713,.F.); +#18727=EDGE_LOOP('',(#18723,#18724,#18725,#18726)); +#18728=FACE_OUTER_BOUND('',#18727,.F.); +#18730=CARTESIAN_POINT('',(-2.613025E1,0.E0,0.E0)); +#18731=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18732=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18733=AXIS2_PLACEMENT_3D('',#18730,#18731,#18732); +#18734=PLANE('',#18733); +#18735=ORIENTED_EDGE('',*,*,#18091,.F.); +#18737=ORIENTED_EDGE('',*,*,#18736,.F.); +#18738=EDGE_LOOP('',(#18735,#18737)); +#18739=FACE_OUTER_BOUND('',#18738,.F.); +#18741=CARTESIAN_POINT('',(-2.613025E1,0.E0,0.E0)); +#18742=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18743=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18744=AXIS2_PLACEMENT_3D('',#18741,#18742,#18743); +#18745=PLANE('',#18744); +#18746=ORIENTED_EDGE('',*,*,#17725,.T.); +#18748=ORIENTED_EDGE('',*,*,#18747,.F.); +#18749=EDGE_LOOP('',(#18746,#18748)); +#18750=FACE_OUTER_BOUND('',#18749,.F.); +#18752=CARTESIAN_POINT('',(-2.613025E1,-2.4384E0,-3.556E0)); +#18753=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18755=AXIS2_PLACEMENT_3D('',#18752,#18753,#18754); +#18756=CYLINDRICAL_SURFACE('',#18755,2.159E0); +#18757=ORIENTED_EDGE('',*,*,#18093,.T.); +#18758=ORIENTED_EDGE('',*,*,#18736,.T.); +#18759=ORIENTED_EDGE('',*,*,#18097,.F.); +#18761=ORIENTED_EDGE('',*,*,#18760,.F.); +#18762=EDGE_LOOP('',(#18757,#18758,#18759,#18761)); +#18763=FACE_OUTER_BOUND('',#18762,.F.); +#18765=CARTESIAN_POINT('',(-2.466975E1,0.E0,0.E0)); +#18766=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18767=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18768=AXIS2_PLACEMENT_3D('',#18765,#18766,#18767); +#18769=PLANE('',#18768); +#18770=ORIENTED_EDGE('',*,*,#18095,.T.); +#18771=ORIENTED_EDGE('',*,*,#18760,.T.); +#18772=EDGE_LOOP('',(#18770,#18771)); +#18773=FACE_OUTER_BOUND('',#18772,.F.); +#18775=CARTESIAN_POINT('',(-2.466975E1,0.E0,0.E0)); +#18776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18777=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18778=AXIS2_PLACEMENT_3D('',#18775,#18776,#18777); +#18779=PLANE('',#18778); +#18780=ORIENTED_EDGE('',*,*,#17729,.F.); +#18782=ORIENTED_EDGE('',*,*,#18781,.T.); +#18783=EDGE_LOOP('',(#18780,#18782)); +#18784=FACE_OUTER_BOUND('',#18783,.F.); +#18786=CARTESIAN_POINT('',(-2.613025E1,2.4384E0,-3.556E0)); +#18787=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18789=AXIS2_PLACEMENT_3D('',#18786,#18787,#18788); +#18790=CYLINDRICAL_SURFACE('',#18789,2.159E0); +#18791=ORIENTED_EDGE('',*,*,#17727,.T.); +#18792=ORIENTED_EDGE('',*,*,#18747,.T.); +#18793=ORIENTED_EDGE('',*,*,#17731,.F.); +#18794=ORIENTED_EDGE('',*,*,#18781,.F.); +#18795=EDGE_LOOP('',(#18791,#18792,#18793,#18794)); +#18796=FACE_OUTER_BOUND('',#18795,.F.); +#18798=CARTESIAN_POINT('',(-2.359025E1,0.E0,0.E0)); +#18799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18801=AXIS2_PLACEMENT_3D('',#18798,#18799,#18800); +#18802=PLANE('',#18801); +#18803=ORIENTED_EDGE('',*,*,#17735,.F.); +#18805=ORIENTED_EDGE('',*,*,#18804,.F.); +#18806=EDGE_LOOP('',(#18803,#18805)); +#18807=FACE_OUTER_BOUND('',#18806,.F.); +#18809=CARTESIAN_POINT('',(-2.359025E1,0.E0,0.E0)); +#18810=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18811=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18812=AXIS2_PLACEMENT_3D('',#18809,#18810,#18811); +#18813=PLANE('',#18812); +#18814=ORIENTED_EDGE('',*,*,#18101,.F.); +#18816=ORIENTED_EDGE('',*,*,#18815,.F.); +#18817=EDGE_LOOP('',(#18814,#18816)); +#18818=FACE_OUTER_BOUND('',#18817,.F.); +#18820=CARTESIAN_POINT('',(-2.359025E1,2.4384E0,-3.556E0)); +#18821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18823=AXIS2_PLACEMENT_3D('',#18820,#18821,#18822); +#18824=CYLINDRICAL_SURFACE('',#18823,2.159E0); +#18825=ORIENTED_EDGE('',*,*,#17737,.T.); +#18826=ORIENTED_EDGE('',*,*,#18804,.T.); +#18827=ORIENTED_EDGE('',*,*,#17741,.F.); +#18829=ORIENTED_EDGE('',*,*,#18828,.F.); +#18830=EDGE_LOOP('',(#18825,#18826,#18827,#18829)); +#18831=FACE_OUTER_BOUND('',#18830,.F.); +#18833=CARTESIAN_POINT('',(-2.212975E1,0.E0,0.E0)); +#18834=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18835=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18836=AXIS2_PLACEMENT_3D('',#18833,#18834,#18835); +#18837=PLANE('',#18836); +#18838=ORIENTED_EDGE('',*,*,#17739,.F.); +#18839=ORIENTED_EDGE('',*,*,#18828,.T.); +#18840=EDGE_LOOP('',(#18838,#18839)); +#18841=FACE_OUTER_BOUND('',#18840,.F.); +#18843=CARTESIAN_POINT('',(-2.212975E1,0.E0,0.E0)); +#18844=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18845=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18846=AXIS2_PLACEMENT_3D('',#18843,#18844,#18845); +#18847=PLANE('',#18846); +#18848=ORIENTED_EDGE('',*,*,#18105,.F.); +#18850=ORIENTED_EDGE('',*,*,#18849,.T.); +#18851=EDGE_LOOP('',(#18848,#18850)); +#18852=FACE_OUTER_BOUND('',#18851,.F.); +#18854=CARTESIAN_POINT('',(-2.359025E1,-2.4384E0,-3.556E0)); +#18855=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18857=AXIS2_PLACEMENT_3D('',#18854,#18855,#18856); +#18858=CYLINDRICAL_SURFACE('',#18857,2.159E0); +#18859=ORIENTED_EDGE('',*,*,#18103,.T.); +#18860=ORIENTED_EDGE('',*,*,#18815,.T.); +#18861=ORIENTED_EDGE('',*,*,#18107,.F.); +#18862=ORIENTED_EDGE('',*,*,#18849,.F.); +#18863=EDGE_LOOP('',(#18859,#18860,#18861,#18862)); +#18864=FACE_OUTER_BOUND('',#18863,.F.); +#18866=CARTESIAN_POINT('',(-2.105025E1,0.E0,0.E0)); +#18867=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18869=AXIS2_PLACEMENT_3D('',#18866,#18867,#18868); +#18870=PLANE('',#18869); +#18871=ORIENTED_EDGE('',*,*,#17745,.F.); +#18873=ORIENTED_EDGE('',*,*,#18872,.F.); +#18874=EDGE_LOOP('',(#18871,#18873)); +#18875=FACE_OUTER_BOUND('',#18874,.F.); +#18877=CARTESIAN_POINT('',(-2.105025E1,0.E0,0.E0)); +#18878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18880=AXIS2_PLACEMENT_3D('',#18877,#18878,#18879); +#18881=PLANE('',#18880); +#18882=ORIENTED_EDGE('',*,*,#18111,.F.); +#18884=ORIENTED_EDGE('',*,*,#18883,.F.); +#18885=EDGE_LOOP('',(#18882,#18884)); +#18886=FACE_OUTER_BOUND('',#18885,.F.); +#18888=CARTESIAN_POINT('',(-2.105025E1,2.4384E0,-3.556E0)); +#18889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18891=AXIS2_PLACEMENT_3D('',#18888,#18889,#18890); +#18892=CYLINDRICAL_SURFACE('',#18891,2.159E0); +#18893=ORIENTED_EDGE('',*,*,#17747,.T.); +#18894=ORIENTED_EDGE('',*,*,#18872,.T.); +#18895=ORIENTED_EDGE('',*,*,#17751,.F.); +#18897=ORIENTED_EDGE('',*,*,#18896,.F.); +#18898=EDGE_LOOP('',(#18893,#18894,#18895,#18897)); +#18899=FACE_OUTER_BOUND('',#18898,.F.); +#18901=CARTESIAN_POINT('',(-1.958975E1,0.E0,0.E0)); +#18902=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18903=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18904=AXIS2_PLACEMENT_3D('',#18901,#18902,#18903); +#18905=PLANE('',#18904); +#18906=ORIENTED_EDGE('',*,*,#17749,.F.); +#18907=ORIENTED_EDGE('',*,*,#18896,.T.); +#18908=EDGE_LOOP('',(#18906,#18907)); +#18909=FACE_OUTER_BOUND('',#18908,.F.); +#18911=CARTESIAN_POINT('',(-1.958975E1,0.E0,0.E0)); +#18912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18914=AXIS2_PLACEMENT_3D('',#18911,#18912,#18913); +#18915=PLANE('',#18914); +#18916=ORIENTED_EDGE('',*,*,#18115,.F.); +#18918=ORIENTED_EDGE('',*,*,#18917,.T.); +#18919=EDGE_LOOP('',(#18916,#18918)); +#18920=FACE_OUTER_BOUND('',#18919,.F.); +#18922=CARTESIAN_POINT('',(-2.105025E1,-2.4384E0,-3.556E0)); +#18923=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18924=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18925=AXIS2_PLACEMENT_3D('',#18922,#18923,#18924); +#18926=CYLINDRICAL_SURFACE('',#18925,2.159E0); +#18927=ORIENTED_EDGE('',*,*,#18113,.T.); +#18928=ORIENTED_EDGE('',*,*,#18883,.T.); +#18929=ORIENTED_EDGE('',*,*,#18117,.F.); +#18930=ORIENTED_EDGE('',*,*,#18917,.F.); +#18931=EDGE_LOOP('',(#18927,#18928,#18929,#18930)); +#18932=FACE_OUTER_BOUND('',#18931,.F.); +#18934=CARTESIAN_POINT('',(-1.851025E1,0.E0,0.E0)); +#18935=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18936=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18937=AXIS2_PLACEMENT_3D('',#18934,#18935,#18936); +#18938=PLANE('',#18937); +#18939=ORIENTED_EDGE('',*,*,#17755,.F.); +#18941=ORIENTED_EDGE('',*,*,#18940,.F.); +#18942=EDGE_LOOP('',(#18939,#18941)); +#18943=FACE_OUTER_BOUND('',#18942,.F.); +#18945=CARTESIAN_POINT('',(-1.851025E1,0.E0,0.E0)); +#18946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18948=AXIS2_PLACEMENT_3D('',#18945,#18946,#18947); +#18949=PLANE('',#18948); +#18950=ORIENTED_EDGE('',*,*,#18121,.F.); +#18952=ORIENTED_EDGE('',*,*,#18951,.F.); +#18953=EDGE_LOOP('',(#18950,#18952)); +#18954=FACE_OUTER_BOUND('',#18953,.F.); +#18956=CARTESIAN_POINT('',(-1.851025E1,2.4384E0,-3.556E0)); +#18957=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18958=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18959=AXIS2_PLACEMENT_3D('',#18956,#18957,#18958); +#18960=CYLINDRICAL_SURFACE('',#18959,2.159E0); +#18961=ORIENTED_EDGE('',*,*,#17757,.T.); +#18962=ORIENTED_EDGE('',*,*,#18940,.T.); +#18963=ORIENTED_EDGE('',*,*,#17761,.F.); +#18965=ORIENTED_EDGE('',*,*,#18964,.F.); +#18966=EDGE_LOOP('',(#18961,#18962,#18963,#18965)); +#18967=FACE_OUTER_BOUND('',#18966,.F.); +#18969=CARTESIAN_POINT('',(-1.704975E1,0.E0,0.E0)); +#18970=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18971=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18972=AXIS2_PLACEMENT_3D('',#18969,#18970,#18971); +#18973=PLANE('',#18972); +#18974=ORIENTED_EDGE('',*,*,#17759,.F.); +#18975=ORIENTED_EDGE('',*,*,#18964,.T.); +#18976=EDGE_LOOP('',(#18974,#18975)); +#18977=FACE_OUTER_BOUND('',#18976,.F.); +#18979=CARTESIAN_POINT('',(-1.704975E1,0.E0,0.E0)); +#18980=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18982=AXIS2_PLACEMENT_3D('',#18979,#18980,#18981); +#18983=PLANE('',#18982); +#18984=ORIENTED_EDGE('',*,*,#18125,.F.); +#18986=ORIENTED_EDGE('',*,*,#18985,.T.); +#18987=EDGE_LOOP('',(#18984,#18986)); +#18988=FACE_OUTER_BOUND('',#18987,.F.); +#18990=CARTESIAN_POINT('',(-1.851025E1,-2.4384E0,-3.556E0)); +#18991=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18993=AXIS2_PLACEMENT_3D('',#18990,#18991,#18992); +#18994=CYLINDRICAL_SURFACE('',#18993,2.159E0); +#18995=ORIENTED_EDGE('',*,*,#18123,.T.); +#18996=ORIENTED_EDGE('',*,*,#18951,.T.); +#18997=ORIENTED_EDGE('',*,*,#18127,.F.); +#18998=ORIENTED_EDGE('',*,*,#18985,.F.); +#18999=EDGE_LOOP('',(#18995,#18996,#18997,#18998)); +#19000=FACE_OUTER_BOUND('',#18999,.F.); +#19002=CARTESIAN_POINT('',(-1.597025E1,0.E0,0.E0)); +#19003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19005=AXIS2_PLACEMENT_3D('',#19002,#19003,#19004); +#19006=PLANE('',#19005); +#19007=ORIENTED_EDGE('',*,*,#17765,.F.); +#19009=ORIENTED_EDGE('',*,*,#19008,.F.); +#19010=EDGE_LOOP('',(#19007,#19009)); +#19011=FACE_OUTER_BOUND('',#19010,.F.); +#19013=CARTESIAN_POINT('',(-1.597025E1,0.E0,0.E0)); +#19014=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19016=AXIS2_PLACEMENT_3D('',#19013,#19014,#19015); +#19017=PLANE('',#19016); +#19018=ORIENTED_EDGE('',*,*,#18131,.F.); +#19020=ORIENTED_EDGE('',*,*,#19019,.F.); +#19021=EDGE_LOOP('',(#19018,#19020)); +#19022=FACE_OUTER_BOUND('',#19021,.F.); +#19024=CARTESIAN_POINT('',(-1.597025E1,2.4384E0,-3.556E0)); +#19025=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19027=AXIS2_PLACEMENT_3D('',#19024,#19025,#19026); +#19028=CYLINDRICAL_SURFACE('',#19027,2.159E0); +#19029=ORIENTED_EDGE('',*,*,#17767,.T.); +#19030=ORIENTED_EDGE('',*,*,#19008,.T.); +#19031=ORIENTED_EDGE('',*,*,#17771,.F.); +#19033=ORIENTED_EDGE('',*,*,#19032,.F.); +#19034=EDGE_LOOP('',(#19029,#19030,#19031,#19033)); +#19035=FACE_OUTER_BOUND('',#19034,.F.); +#19037=CARTESIAN_POINT('',(-1.450975E1,0.E0,0.E0)); +#19038=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19039=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19040=AXIS2_PLACEMENT_3D('',#19037,#19038,#19039); +#19041=PLANE('',#19040); +#19042=ORIENTED_EDGE('',*,*,#17769,.F.); +#19043=ORIENTED_EDGE('',*,*,#19032,.T.); +#19044=EDGE_LOOP('',(#19042,#19043)); +#19045=FACE_OUTER_BOUND('',#19044,.F.); +#19047=CARTESIAN_POINT('',(-1.450975E1,0.E0,0.E0)); +#19048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19049=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19050=AXIS2_PLACEMENT_3D('',#19047,#19048,#19049); +#19051=PLANE('',#19050); +#19052=ORIENTED_EDGE('',*,*,#18135,.F.); +#19054=ORIENTED_EDGE('',*,*,#19053,.T.); +#19055=EDGE_LOOP('',(#19052,#19054)); +#19056=FACE_OUTER_BOUND('',#19055,.F.); +#19058=CARTESIAN_POINT('',(-1.597025E1,-2.4384E0,-3.556E0)); +#19059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19061=AXIS2_PLACEMENT_3D('',#19058,#19059,#19060); +#19062=CYLINDRICAL_SURFACE('',#19061,2.159E0); +#19063=ORIENTED_EDGE('',*,*,#18133,.T.); +#19064=ORIENTED_EDGE('',*,*,#19019,.T.); +#19065=ORIENTED_EDGE('',*,*,#18137,.F.); +#19066=ORIENTED_EDGE('',*,*,#19053,.F.); +#19067=EDGE_LOOP('',(#19063,#19064,#19065,#19066)); +#19068=FACE_OUTER_BOUND('',#19067,.F.); +#19070=CARTESIAN_POINT('',(-1.343025E1,0.E0,0.E0)); +#19071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19072=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19073=AXIS2_PLACEMENT_3D('',#19070,#19071,#19072); +#19074=PLANE('',#19073); +#19075=ORIENTED_EDGE('',*,*,#17775,.F.); +#19077=ORIENTED_EDGE('',*,*,#19076,.F.); +#19078=EDGE_LOOP('',(#19075,#19077)); +#19079=FACE_OUTER_BOUND('',#19078,.F.); +#19081=CARTESIAN_POINT('',(-1.343025E1,0.E0,0.E0)); +#19082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19084=AXIS2_PLACEMENT_3D('',#19081,#19082,#19083); +#19085=PLANE('',#19084); +#19086=ORIENTED_EDGE('',*,*,#18141,.F.); +#19088=ORIENTED_EDGE('',*,*,#19087,.F.); +#19089=EDGE_LOOP('',(#19086,#19088)); +#19090=FACE_OUTER_BOUND('',#19089,.F.); +#19092=CARTESIAN_POINT('',(-1.343025E1,2.4384E0,-3.556E0)); +#19093=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19094=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19095=AXIS2_PLACEMENT_3D('',#19092,#19093,#19094); +#19096=CYLINDRICAL_SURFACE('',#19095,2.159E0); +#19097=ORIENTED_EDGE('',*,*,#17777,.T.); +#19098=ORIENTED_EDGE('',*,*,#19076,.T.); +#19099=ORIENTED_EDGE('',*,*,#17781,.F.); +#19101=ORIENTED_EDGE('',*,*,#19100,.F.); +#19102=EDGE_LOOP('',(#19097,#19098,#19099,#19101)); +#19103=FACE_OUTER_BOUND('',#19102,.F.); +#19105=CARTESIAN_POINT('',(-1.196975E1,0.E0,0.E0)); +#19106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19108=AXIS2_PLACEMENT_3D('',#19105,#19106,#19107); +#19109=PLANE('',#19108); +#19110=ORIENTED_EDGE('',*,*,#17779,.F.); +#19111=ORIENTED_EDGE('',*,*,#19100,.T.); +#19112=EDGE_LOOP('',(#19110,#19111)); +#19113=FACE_OUTER_BOUND('',#19112,.F.); +#19115=CARTESIAN_POINT('',(-1.196975E1,0.E0,0.E0)); +#19116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19118=AXIS2_PLACEMENT_3D('',#19115,#19116,#19117); +#19119=PLANE('',#19118); +#19120=ORIENTED_EDGE('',*,*,#18145,.F.); +#19122=ORIENTED_EDGE('',*,*,#19121,.T.); +#19123=EDGE_LOOP('',(#19120,#19122)); +#19124=FACE_OUTER_BOUND('',#19123,.F.); +#19126=CARTESIAN_POINT('',(-1.343025E1,-2.4384E0,-3.556E0)); +#19127=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19128=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19129=AXIS2_PLACEMENT_3D('',#19126,#19127,#19128); +#19130=CYLINDRICAL_SURFACE('',#19129,2.159E0); +#19131=ORIENTED_EDGE('',*,*,#18143,.T.); +#19132=ORIENTED_EDGE('',*,*,#19087,.T.); +#19133=ORIENTED_EDGE('',*,*,#18147,.F.); +#19134=ORIENTED_EDGE('',*,*,#19121,.F.); +#19135=EDGE_LOOP('',(#19131,#19132,#19133,#19134)); +#19136=FACE_OUTER_BOUND('',#19135,.F.); +#19138=CARTESIAN_POINT('',(-1.089025E1,0.E0,0.E0)); +#19139=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19141=AXIS2_PLACEMENT_3D('',#19138,#19139,#19140); +#19142=PLANE('',#19141); +#19143=ORIENTED_EDGE('',*,*,#17785,.F.); +#19145=ORIENTED_EDGE('',*,*,#19144,.F.); +#19146=EDGE_LOOP('',(#19143,#19145)); +#19147=FACE_OUTER_BOUND('',#19146,.F.); +#19149=CARTESIAN_POINT('',(-1.089025E1,0.E0,0.E0)); +#19150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19151=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19152=AXIS2_PLACEMENT_3D('',#19149,#19150,#19151); +#19153=PLANE('',#19152); +#19154=ORIENTED_EDGE('',*,*,#18151,.F.); +#19156=ORIENTED_EDGE('',*,*,#19155,.F.); +#19157=EDGE_LOOP('',(#19154,#19156)); +#19158=FACE_OUTER_BOUND('',#19157,.F.); +#19160=CARTESIAN_POINT('',(-1.089025E1,2.4384E0,-3.556E0)); +#19161=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19162=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19163=AXIS2_PLACEMENT_3D('',#19160,#19161,#19162); +#19164=CYLINDRICAL_SURFACE('',#19163,2.159E0); +#19165=ORIENTED_EDGE('',*,*,#17787,.T.); +#19166=ORIENTED_EDGE('',*,*,#19144,.T.); +#19167=ORIENTED_EDGE('',*,*,#17791,.F.); +#19169=ORIENTED_EDGE('',*,*,#19168,.F.); +#19170=EDGE_LOOP('',(#19165,#19166,#19167,#19169)); +#19171=FACE_OUTER_BOUND('',#19170,.F.); +#19173=CARTESIAN_POINT('',(-9.42975E0,0.E0,0.E0)); +#19174=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19175=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19176=AXIS2_PLACEMENT_3D('',#19173,#19174,#19175); +#19177=PLANE('',#19176); +#19178=ORIENTED_EDGE('',*,*,#17789,.F.); +#19179=ORIENTED_EDGE('',*,*,#19168,.T.); +#19180=EDGE_LOOP('',(#19178,#19179)); +#19181=FACE_OUTER_BOUND('',#19180,.F.); +#19183=CARTESIAN_POINT('',(-9.42975E0,0.E0,0.E0)); +#19184=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19186=AXIS2_PLACEMENT_3D('',#19183,#19184,#19185); +#19187=PLANE('',#19186); +#19188=ORIENTED_EDGE('',*,*,#18155,.F.); +#19190=ORIENTED_EDGE('',*,*,#19189,.T.); +#19191=EDGE_LOOP('',(#19188,#19190)); +#19192=FACE_OUTER_BOUND('',#19191,.F.); +#19194=CARTESIAN_POINT('',(-1.089025E1,-2.4384E0,-3.556E0)); +#19195=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19197=AXIS2_PLACEMENT_3D('',#19194,#19195,#19196); +#19198=CYLINDRICAL_SURFACE('',#19197,2.159E0); +#19199=ORIENTED_EDGE('',*,*,#18153,.T.); +#19200=ORIENTED_EDGE('',*,*,#19155,.T.); +#19201=ORIENTED_EDGE('',*,*,#18157,.F.); +#19202=ORIENTED_EDGE('',*,*,#19189,.F.); +#19203=EDGE_LOOP('',(#19199,#19200,#19201,#19202)); +#19204=FACE_OUTER_BOUND('',#19203,.F.); +#19206=CARTESIAN_POINT('',(-8.35025E0,0.E0,0.E0)); +#19207=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19208=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19209=AXIS2_PLACEMENT_3D('',#19206,#19207,#19208); +#19210=PLANE('',#19209); +#19211=ORIENTED_EDGE('',*,*,#17795,.F.); +#19213=ORIENTED_EDGE('',*,*,#19212,.F.); +#19214=EDGE_LOOP('',(#19211,#19213)); +#19215=FACE_OUTER_BOUND('',#19214,.F.); +#19217=CARTESIAN_POINT('',(-8.35025E0,0.E0,0.E0)); +#19218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19220=AXIS2_PLACEMENT_3D('',#19217,#19218,#19219); +#19221=PLANE('',#19220); +#19222=ORIENTED_EDGE('',*,*,#18161,.F.); +#19224=ORIENTED_EDGE('',*,*,#19223,.F.); +#19225=EDGE_LOOP('',(#19222,#19224)); +#19226=FACE_OUTER_BOUND('',#19225,.F.); +#19228=CARTESIAN_POINT('',(-8.35025E0,2.4384E0,-3.556E0)); +#19229=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19231=AXIS2_PLACEMENT_3D('',#19228,#19229,#19230); +#19232=CYLINDRICAL_SURFACE('',#19231,2.159E0); +#19233=ORIENTED_EDGE('',*,*,#17797,.T.); +#19234=ORIENTED_EDGE('',*,*,#19212,.T.); +#19235=ORIENTED_EDGE('',*,*,#17801,.F.); +#19237=ORIENTED_EDGE('',*,*,#19236,.F.); +#19238=EDGE_LOOP('',(#19233,#19234,#19235,#19237)); +#19239=FACE_OUTER_BOUND('',#19238,.F.); +#19241=CARTESIAN_POINT('',(-6.88975E0,0.E0,0.E0)); +#19242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19243=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19244=AXIS2_PLACEMENT_3D('',#19241,#19242,#19243); +#19245=PLANE('',#19244); +#19246=ORIENTED_EDGE('',*,*,#17799,.F.); +#19247=ORIENTED_EDGE('',*,*,#19236,.T.); +#19248=EDGE_LOOP('',(#19246,#19247)); +#19249=FACE_OUTER_BOUND('',#19248,.F.); +#19251=CARTESIAN_POINT('',(-6.88975E0,0.E0,0.E0)); +#19252=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19253=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19254=AXIS2_PLACEMENT_3D('',#19251,#19252,#19253); +#19255=PLANE('',#19254); +#19256=ORIENTED_EDGE('',*,*,#18165,.F.); +#19258=ORIENTED_EDGE('',*,*,#19257,.T.); +#19259=EDGE_LOOP('',(#19256,#19258)); +#19260=FACE_OUTER_BOUND('',#19259,.F.); +#19262=CARTESIAN_POINT('',(-8.35025E0,-2.4384E0,-3.556E0)); +#19263=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19264=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19265=AXIS2_PLACEMENT_3D('',#19262,#19263,#19264); +#19266=CYLINDRICAL_SURFACE('',#19265,2.159E0); +#19267=ORIENTED_EDGE('',*,*,#18163,.T.); +#19268=ORIENTED_EDGE('',*,*,#19223,.T.); +#19269=ORIENTED_EDGE('',*,*,#18167,.F.); +#19270=ORIENTED_EDGE('',*,*,#19257,.F.); +#19271=EDGE_LOOP('',(#19267,#19268,#19269,#19270)); +#19272=FACE_OUTER_BOUND('',#19271,.F.); +#19274=CARTESIAN_POINT('',(-5.81025E0,0.E0,0.E0)); +#19275=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19276=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19277=AXIS2_PLACEMENT_3D('',#19274,#19275,#19276); +#19278=PLANE('',#19277); +#19279=ORIENTED_EDGE('',*,*,#17805,.F.); +#19281=ORIENTED_EDGE('',*,*,#19280,.F.); +#19282=EDGE_LOOP('',(#19279,#19281)); +#19283=FACE_OUTER_BOUND('',#19282,.F.); +#19285=CARTESIAN_POINT('',(-5.81025E0,0.E0,0.E0)); +#19286=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19288=AXIS2_PLACEMENT_3D('',#19285,#19286,#19287); +#19289=PLANE('',#19288); +#19290=ORIENTED_EDGE('',*,*,#18171,.F.); +#19292=ORIENTED_EDGE('',*,*,#19291,.F.); +#19293=EDGE_LOOP('',(#19290,#19292)); +#19294=FACE_OUTER_BOUND('',#19293,.F.); +#19296=CARTESIAN_POINT('',(-5.81025E0,2.4384E0,-3.556E0)); +#19297=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19298=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19299=AXIS2_PLACEMENT_3D('',#19296,#19297,#19298); +#19300=CYLINDRICAL_SURFACE('',#19299,2.159E0); +#19301=ORIENTED_EDGE('',*,*,#17807,.T.); +#19302=ORIENTED_EDGE('',*,*,#19280,.T.); +#19303=ORIENTED_EDGE('',*,*,#17811,.F.); +#19305=ORIENTED_EDGE('',*,*,#19304,.F.); +#19306=EDGE_LOOP('',(#19301,#19302,#19303,#19305)); +#19307=FACE_OUTER_BOUND('',#19306,.F.); +#19309=CARTESIAN_POINT('',(-4.34975E0,0.E0,0.E0)); +#19310=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19311=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19312=AXIS2_PLACEMENT_3D('',#19309,#19310,#19311); +#19313=PLANE('',#19312); +#19314=ORIENTED_EDGE('',*,*,#17809,.F.); +#19315=ORIENTED_EDGE('',*,*,#19304,.T.); +#19316=EDGE_LOOP('',(#19314,#19315)); +#19317=FACE_OUTER_BOUND('',#19316,.F.); +#19319=CARTESIAN_POINT('',(-4.34975E0,0.E0,0.E0)); +#19320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19321=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19322=AXIS2_PLACEMENT_3D('',#19319,#19320,#19321); +#19323=PLANE('',#19322); +#19324=ORIENTED_EDGE('',*,*,#18175,.F.); +#19326=ORIENTED_EDGE('',*,*,#19325,.T.); +#19327=EDGE_LOOP('',(#19324,#19326)); +#19328=FACE_OUTER_BOUND('',#19327,.F.); +#19330=CARTESIAN_POINT('',(-5.81025E0,-2.4384E0,-3.556E0)); +#19331=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19332=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19333=AXIS2_PLACEMENT_3D('',#19330,#19331,#19332); +#19334=CYLINDRICAL_SURFACE('',#19333,2.159E0); +#19335=ORIENTED_EDGE('',*,*,#18173,.T.); +#19336=ORIENTED_EDGE('',*,*,#19291,.T.); +#19337=ORIENTED_EDGE('',*,*,#18177,.F.); +#19338=ORIENTED_EDGE('',*,*,#19325,.F.); +#19339=EDGE_LOOP('',(#19335,#19336,#19337,#19338)); +#19340=FACE_OUTER_BOUND('',#19339,.F.); +#19342=CARTESIAN_POINT('',(-3.27025E0,0.E0,0.E0)); +#19343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19345=AXIS2_PLACEMENT_3D('',#19342,#19343,#19344); +#19346=PLANE('',#19345); +#19347=ORIENTED_EDGE('',*,*,#17815,.F.); +#19349=ORIENTED_EDGE('',*,*,#19348,.F.); +#19350=EDGE_LOOP('',(#19347,#19349)); +#19351=FACE_OUTER_BOUND('',#19350,.F.); +#19353=CARTESIAN_POINT('',(-3.27025E0,0.E0,0.E0)); +#19354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19356=AXIS2_PLACEMENT_3D('',#19353,#19354,#19355); +#19357=PLANE('',#19356); +#19358=ORIENTED_EDGE('',*,*,#18181,.F.); +#19360=ORIENTED_EDGE('',*,*,#19359,.F.); +#19361=EDGE_LOOP('',(#19358,#19360)); +#19362=FACE_OUTER_BOUND('',#19361,.F.); +#19364=CARTESIAN_POINT('',(-3.27025E0,2.4384E0,-3.556E0)); +#19365=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19367=AXIS2_PLACEMENT_3D('',#19364,#19365,#19366); +#19368=CYLINDRICAL_SURFACE('',#19367,2.159E0); +#19369=ORIENTED_EDGE('',*,*,#17817,.T.); +#19370=ORIENTED_EDGE('',*,*,#19348,.T.); +#19371=ORIENTED_EDGE('',*,*,#17821,.F.); +#19373=ORIENTED_EDGE('',*,*,#19372,.F.); +#19374=EDGE_LOOP('',(#19369,#19370,#19371,#19373)); +#19375=FACE_OUTER_BOUND('',#19374,.F.); +#19377=CARTESIAN_POINT('',(-1.80975E0,0.E0,0.E0)); +#19378=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19380=AXIS2_PLACEMENT_3D('',#19377,#19378,#19379); +#19381=PLANE('',#19380); +#19382=ORIENTED_EDGE('',*,*,#17819,.F.); +#19383=ORIENTED_EDGE('',*,*,#19372,.T.); +#19384=EDGE_LOOP('',(#19382,#19383)); +#19385=FACE_OUTER_BOUND('',#19384,.F.); +#19387=CARTESIAN_POINT('',(-1.80975E0,0.E0,0.E0)); +#19388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19389=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19390=AXIS2_PLACEMENT_3D('',#19387,#19388,#19389); +#19391=PLANE('',#19390); +#19392=ORIENTED_EDGE('',*,*,#18185,.F.); +#19394=ORIENTED_EDGE('',*,*,#19393,.T.); +#19395=EDGE_LOOP('',(#19392,#19394)); +#19396=FACE_OUTER_BOUND('',#19395,.F.); +#19398=CARTESIAN_POINT('',(-3.27025E0,-2.4384E0,-3.556E0)); +#19399=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19400=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19401=AXIS2_PLACEMENT_3D('',#19398,#19399,#19400); +#19402=CYLINDRICAL_SURFACE('',#19401,2.159E0); +#19403=ORIENTED_EDGE('',*,*,#18183,.T.); +#19404=ORIENTED_EDGE('',*,*,#19359,.T.); +#19405=ORIENTED_EDGE('',*,*,#18187,.F.); +#19406=ORIENTED_EDGE('',*,*,#19393,.F.); +#19407=EDGE_LOOP('',(#19403,#19404,#19405,#19406)); +#19408=FACE_OUTER_BOUND('',#19407,.F.); +#19410=CARTESIAN_POINT('',(-7.3025E-1,0.E0,0.E0)); +#19411=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19413=AXIS2_PLACEMENT_3D('',#19410,#19411,#19412); +#19414=PLANE('',#19413); +#19415=ORIENTED_EDGE('',*,*,#17825,.F.); +#19417=ORIENTED_EDGE('',*,*,#19416,.F.); +#19418=EDGE_LOOP('',(#19415,#19417)); +#19419=FACE_OUTER_BOUND('',#19418,.F.); +#19421=CARTESIAN_POINT('',(-7.3025E-1,0.E0,0.E0)); +#19422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19424=AXIS2_PLACEMENT_3D('',#19421,#19422,#19423); +#19425=PLANE('',#19424); +#19426=ORIENTED_EDGE('',*,*,#18191,.F.); +#19428=ORIENTED_EDGE('',*,*,#19427,.F.); +#19429=EDGE_LOOP('',(#19426,#19428)); +#19430=FACE_OUTER_BOUND('',#19429,.F.); +#19432=CARTESIAN_POINT('',(-7.3025E-1,2.4384E0,-3.556E0)); +#19433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19434=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19435=AXIS2_PLACEMENT_3D('',#19432,#19433,#19434); +#19436=CYLINDRICAL_SURFACE('',#19435,2.159E0); +#19437=ORIENTED_EDGE('',*,*,#17827,.T.); +#19438=ORIENTED_EDGE('',*,*,#19416,.T.); +#19439=ORIENTED_EDGE('',*,*,#17831,.F.); +#19441=ORIENTED_EDGE('',*,*,#19440,.F.); +#19442=EDGE_LOOP('',(#19437,#19438,#19439,#19441)); +#19443=FACE_OUTER_BOUND('',#19442,.F.); +#19445=CARTESIAN_POINT('',(7.3025E-1,0.E0,0.E0)); +#19446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19447=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19448=AXIS2_PLACEMENT_3D('',#19445,#19446,#19447); +#19449=PLANE('',#19448); +#19450=ORIENTED_EDGE('',*,*,#17829,.F.); +#19451=ORIENTED_EDGE('',*,*,#19440,.T.); +#19452=EDGE_LOOP('',(#19450,#19451)); +#19453=FACE_OUTER_BOUND('',#19452,.F.); +#19455=CARTESIAN_POINT('',(7.3025E-1,0.E0,0.E0)); +#19456=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19457=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19458=AXIS2_PLACEMENT_3D('',#19455,#19456,#19457); +#19459=PLANE('',#19458); +#19460=ORIENTED_EDGE('',*,*,#18195,.F.); +#19462=ORIENTED_EDGE('',*,*,#19461,.T.); +#19463=EDGE_LOOP('',(#19460,#19462)); +#19464=FACE_OUTER_BOUND('',#19463,.F.); +#19466=CARTESIAN_POINT('',(-7.3025E-1,-2.4384E0,-3.556E0)); +#19467=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19469=AXIS2_PLACEMENT_3D('',#19466,#19467,#19468); +#19470=CYLINDRICAL_SURFACE('',#19469,2.159E0); +#19471=ORIENTED_EDGE('',*,*,#18193,.T.); +#19472=ORIENTED_EDGE('',*,*,#19427,.T.); +#19473=ORIENTED_EDGE('',*,*,#18197,.F.); +#19474=ORIENTED_EDGE('',*,*,#19461,.F.); +#19475=EDGE_LOOP('',(#19471,#19472,#19473,#19474)); +#19476=FACE_OUTER_BOUND('',#19475,.F.); +#19478=CARTESIAN_POINT('',(1.80975E0,0.E0,0.E0)); +#19479=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19481=AXIS2_PLACEMENT_3D('',#19478,#19479,#19480); +#19482=PLANE('',#19481); +#19483=ORIENTED_EDGE('',*,*,#17835,.F.); +#19485=ORIENTED_EDGE('',*,*,#19484,.F.); +#19486=EDGE_LOOP('',(#19483,#19485)); +#19487=FACE_OUTER_BOUND('',#19486,.F.); +#19489=CARTESIAN_POINT('',(1.80975E0,0.E0,0.E0)); +#19490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19491=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19492=AXIS2_PLACEMENT_3D('',#19489,#19490,#19491); +#19493=PLANE('',#19492); +#19494=ORIENTED_EDGE('',*,*,#18201,.F.); +#19496=ORIENTED_EDGE('',*,*,#19495,.F.); +#19497=EDGE_LOOP('',(#19494,#19496)); +#19498=FACE_OUTER_BOUND('',#19497,.F.); +#19500=CARTESIAN_POINT('',(1.80975E0,2.4384E0,-3.556E0)); +#19501=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19503=AXIS2_PLACEMENT_3D('',#19500,#19501,#19502); +#19504=CYLINDRICAL_SURFACE('',#19503,2.159E0); +#19505=ORIENTED_EDGE('',*,*,#17837,.T.); +#19506=ORIENTED_EDGE('',*,*,#19484,.T.); +#19507=ORIENTED_EDGE('',*,*,#17841,.F.); +#19509=ORIENTED_EDGE('',*,*,#19508,.F.); +#19510=EDGE_LOOP('',(#19505,#19506,#19507,#19509)); +#19511=FACE_OUTER_BOUND('',#19510,.F.); +#19513=CARTESIAN_POINT('',(3.27025E0,0.E0,0.E0)); +#19514=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19516=AXIS2_PLACEMENT_3D('',#19513,#19514,#19515); +#19517=PLANE('',#19516); +#19518=ORIENTED_EDGE('',*,*,#17839,.F.); +#19519=ORIENTED_EDGE('',*,*,#19508,.T.); +#19520=EDGE_LOOP('',(#19518,#19519)); +#19521=FACE_OUTER_BOUND('',#19520,.F.); +#19523=CARTESIAN_POINT('',(3.27025E0,0.E0,0.E0)); +#19524=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19525=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19526=AXIS2_PLACEMENT_3D('',#19523,#19524,#19525); +#19527=PLANE('',#19526); +#19528=ORIENTED_EDGE('',*,*,#18205,.F.); +#19530=ORIENTED_EDGE('',*,*,#19529,.T.); +#19531=EDGE_LOOP('',(#19528,#19530)); +#19532=FACE_OUTER_BOUND('',#19531,.F.); +#19534=CARTESIAN_POINT('',(1.80975E0,-2.4384E0,-3.556E0)); +#19535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19536=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19537=AXIS2_PLACEMENT_3D('',#19534,#19535,#19536); +#19538=CYLINDRICAL_SURFACE('',#19537,2.159E0); +#19539=ORIENTED_EDGE('',*,*,#18203,.T.); +#19540=ORIENTED_EDGE('',*,*,#19495,.T.); +#19541=ORIENTED_EDGE('',*,*,#18207,.F.); +#19542=ORIENTED_EDGE('',*,*,#19529,.F.); +#19543=EDGE_LOOP('',(#19539,#19540,#19541,#19542)); +#19544=FACE_OUTER_BOUND('',#19543,.F.); +#19546=CARTESIAN_POINT('',(4.34975E0,0.E0,0.E0)); +#19547=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19549=AXIS2_PLACEMENT_3D('',#19546,#19547,#19548); +#19550=PLANE('',#19549); +#19551=ORIENTED_EDGE('',*,*,#17845,.F.); +#19553=ORIENTED_EDGE('',*,*,#19552,.F.); +#19554=EDGE_LOOP('',(#19551,#19553)); +#19555=FACE_OUTER_BOUND('',#19554,.F.); +#19557=CARTESIAN_POINT('',(4.34975E0,0.E0,0.E0)); +#19558=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19559=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19560=AXIS2_PLACEMENT_3D('',#19557,#19558,#19559); +#19561=PLANE('',#19560); +#19562=ORIENTED_EDGE('',*,*,#18211,.F.); +#19564=ORIENTED_EDGE('',*,*,#19563,.F.); +#19565=EDGE_LOOP('',(#19562,#19564)); +#19566=FACE_OUTER_BOUND('',#19565,.F.); +#19568=CARTESIAN_POINT('',(4.34975E0,2.4384E0,-3.556E0)); +#19569=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19570=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19571=AXIS2_PLACEMENT_3D('',#19568,#19569,#19570); +#19572=CYLINDRICAL_SURFACE('',#19571,2.159E0); +#19573=ORIENTED_EDGE('',*,*,#17847,.T.); +#19574=ORIENTED_EDGE('',*,*,#19552,.T.); +#19575=ORIENTED_EDGE('',*,*,#17851,.F.); +#19577=ORIENTED_EDGE('',*,*,#19576,.F.); +#19578=EDGE_LOOP('',(#19573,#19574,#19575,#19577)); +#19579=FACE_OUTER_BOUND('',#19578,.F.); +#19581=CARTESIAN_POINT('',(5.81025E0,0.E0,0.E0)); +#19582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19583=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19584=AXIS2_PLACEMENT_3D('',#19581,#19582,#19583); +#19585=PLANE('',#19584); +#19586=ORIENTED_EDGE('',*,*,#17849,.F.); +#19587=ORIENTED_EDGE('',*,*,#19576,.T.); +#19588=EDGE_LOOP('',(#19586,#19587)); +#19589=FACE_OUTER_BOUND('',#19588,.F.); +#19591=CARTESIAN_POINT('',(5.81025E0,0.E0,0.E0)); +#19592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19593=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19594=AXIS2_PLACEMENT_3D('',#19591,#19592,#19593); +#19595=PLANE('',#19594); +#19596=ORIENTED_EDGE('',*,*,#18215,.F.); +#19598=ORIENTED_EDGE('',*,*,#19597,.T.); +#19599=EDGE_LOOP('',(#19596,#19598)); +#19600=FACE_OUTER_BOUND('',#19599,.F.); +#19602=CARTESIAN_POINT('',(4.34975E0,-2.4384E0,-3.556E0)); +#19603=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19604=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19605=AXIS2_PLACEMENT_3D('',#19602,#19603,#19604); +#19606=CYLINDRICAL_SURFACE('',#19605,2.159E0); +#19607=ORIENTED_EDGE('',*,*,#18213,.T.); +#19608=ORIENTED_EDGE('',*,*,#19563,.T.); +#19609=ORIENTED_EDGE('',*,*,#18217,.F.); +#19610=ORIENTED_EDGE('',*,*,#19597,.F.); +#19611=EDGE_LOOP('',(#19607,#19608,#19609,#19610)); +#19612=FACE_OUTER_BOUND('',#19611,.F.); +#19614=CARTESIAN_POINT('',(6.88975E0,0.E0,0.E0)); +#19615=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19617=AXIS2_PLACEMENT_3D('',#19614,#19615,#19616); +#19618=PLANE('',#19617); +#19619=ORIENTED_EDGE('',*,*,#17855,.F.); +#19621=ORIENTED_EDGE('',*,*,#19620,.F.); +#19622=EDGE_LOOP('',(#19619,#19621)); +#19623=FACE_OUTER_BOUND('',#19622,.F.); +#19625=CARTESIAN_POINT('',(6.88975E0,0.E0,0.E0)); +#19626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19628=AXIS2_PLACEMENT_3D('',#19625,#19626,#19627); +#19629=PLANE('',#19628); +#19630=ORIENTED_EDGE('',*,*,#18221,.F.); +#19632=ORIENTED_EDGE('',*,*,#19631,.F.); +#19633=EDGE_LOOP('',(#19630,#19632)); +#19634=FACE_OUTER_BOUND('',#19633,.F.); +#19636=CARTESIAN_POINT('',(6.88975E0,2.4384E0,-3.556E0)); +#19637=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19638=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19639=AXIS2_PLACEMENT_3D('',#19636,#19637,#19638); +#19640=CYLINDRICAL_SURFACE('',#19639,2.159E0); +#19641=ORIENTED_EDGE('',*,*,#17857,.T.); +#19642=ORIENTED_EDGE('',*,*,#19620,.T.); +#19643=ORIENTED_EDGE('',*,*,#17861,.F.); +#19645=ORIENTED_EDGE('',*,*,#19644,.F.); +#19646=EDGE_LOOP('',(#19641,#19642,#19643,#19645)); +#19647=FACE_OUTER_BOUND('',#19646,.F.); +#19649=CARTESIAN_POINT('',(8.35025E0,0.E0,0.E0)); +#19650=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19651=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19652=AXIS2_PLACEMENT_3D('',#19649,#19650,#19651); +#19653=PLANE('',#19652); +#19654=ORIENTED_EDGE('',*,*,#17859,.F.); +#19655=ORIENTED_EDGE('',*,*,#19644,.T.); +#19656=EDGE_LOOP('',(#19654,#19655)); +#19657=FACE_OUTER_BOUND('',#19656,.F.); +#19659=CARTESIAN_POINT('',(8.35025E0,0.E0,0.E0)); +#19660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19662=AXIS2_PLACEMENT_3D('',#19659,#19660,#19661); +#19663=PLANE('',#19662); +#19664=ORIENTED_EDGE('',*,*,#18225,.F.); +#19666=ORIENTED_EDGE('',*,*,#19665,.T.); +#19667=EDGE_LOOP('',(#19664,#19666)); +#19668=FACE_OUTER_BOUND('',#19667,.F.); +#19670=CARTESIAN_POINT('',(6.88975E0,-2.4384E0,-3.556E0)); +#19671=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19673=AXIS2_PLACEMENT_3D('',#19670,#19671,#19672); +#19674=CYLINDRICAL_SURFACE('',#19673,2.159E0); +#19675=ORIENTED_EDGE('',*,*,#18223,.T.); +#19676=ORIENTED_EDGE('',*,*,#19631,.T.); +#19677=ORIENTED_EDGE('',*,*,#18227,.F.); +#19678=ORIENTED_EDGE('',*,*,#19665,.F.); +#19679=EDGE_LOOP('',(#19675,#19676,#19677,#19678)); +#19680=FACE_OUTER_BOUND('',#19679,.F.); +#19682=CARTESIAN_POINT('',(9.42975E0,0.E0,0.E0)); +#19683=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19684=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19685=AXIS2_PLACEMENT_3D('',#19682,#19683,#19684); +#19686=PLANE('',#19685); +#19687=ORIENTED_EDGE('',*,*,#17865,.F.); +#19689=ORIENTED_EDGE('',*,*,#19688,.F.); +#19690=EDGE_LOOP('',(#19687,#19689)); +#19691=FACE_OUTER_BOUND('',#19690,.F.); +#19693=CARTESIAN_POINT('',(9.42975E0,0.E0,0.E0)); +#19694=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19696=AXIS2_PLACEMENT_3D('',#19693,#19694,#19695); +#19697=PLANE('',#19696); +#19698=ORIENTED_EDGE('',*,*,#18231,.F.); +#19700=ORIENTED_EDGE('',*,*,#19699,.F.); +#19701=EDGE_LOOP('',(#19698,#19700)); +#19702=FACE_OUTER_BOUND('',#19701,.F.); +#19704=CARTESIAN_POINT('',(9.42975E0,2.4384E0,-3.556E0)); +#19705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19706=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19707=AXIS2_PLACEMENT_3D('',#19704,#19705,#19706); +#19708=CYLINDRICAL_SURFACE('',#19707,2.159E0); +#19709=ORIENTED_EDGE('',*,*,#17867,.T.); +#19710=ORIENTED_EDGE('',*,*,#19688,.T.); +#19711=ORIENTED_EDGE('',*,*,#17871,.F.); +#19713=ORIENTED_EDGE('',*,*,#19712,.F.); +#19714=EDGE_LOOP('',(#19709,#19710,#19711,#19713)); +#19715=FACE_OUTER_BOUND('',#19714,.F.); +#19717=CARTESIAN_POINT('',(1.089025E1,0.E0,0.E0)); +#19718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19719=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19720=AXIS2_PLACEMENT_3D('',#19717,#19718,#19719); +#19721=PLANE('',#19720); +#19722=ORIENTED_EDGE('',*,*,#17869,.F.); +#19723=ORIENTED_EDGE('',*,*,#19712,.T.); +#19724=EDGE_LOOP('',(#19722,#19723)); +#19725=FACE_OUTER_BOUND('',#19724,.F.); +#19727=CARTESIAN_POINT('',(1.089025E1,0.E0,0.E0)); +#19728=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19729=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19730=AXIS2_PLACEMENT_3D('',#19727,#19728,#19729); +#19731=PLANE('',#19730); +#19732=ORIENTED_EDGE('',*,*,#18235,.F.); +#19734=ORIENTED_EDGE('',*,*,#19733,.T.); +#19735=EDGE_LOOP('',(#19732,#19734)); +#19736=FACE_OUTER_BOUND('',#19735,.F.); +#19738=CARTESIAN_POINT('',(9.42975E0,-2.4384E0,-3.556E0)); +#19739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19741=AXIS2_PLACEMENT_3D('',#19738,#19739,#19740); +#19742=CYLINDRICAL_SURFACE('',#19741,2.159E0); +#19743=ORIENTED_EDGE('',*,*,#18233,.T.); +#19744=ORIENTED_EDGE('',*,*,#19699,.T.); +#19745=ORIENTED_EDGE('',*,*,#18237,.F.); +#19746=ORIENTED_EDGE('',*,*,#19733,.F.); +#19747=EDGE_LOOP('',(#19743,#19744,#19745,#19746)); +#19748=FACE_OUTER_BOUND('',#19747,.F.); +#19750=CARTESIAN_POINT('',(1.196975E1,0.E0,0.E0)); +#19751=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19752=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19753=AXIS2_PLACEMENT_3D('',#19750,#19751,#19752); +#19754=PLANE('',#19753); +#19755=ORIENTED_EDGE('',*,*,#17875,.F.); +#19757=ORIENTED_EDGE('',*,*,#19756,.F.); +#19758=EDGE_LOOP('',(#19755,#19757)); +#19759=FACE_OUTER_BOUND('',#19758,.F.); +#19761=CARTESIAN_POINT('',(1.196975E1,0.E0,0.E0)); +#19762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19763=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19764=AXIS2_PLACEMENT_3D('',#19761,#19762,#19763); +#19765=PLANE('',#19764); +#19766=ORIENTED_EDGE('',*,*,#18241,.F.); +#19768=ORIENTED_EDGE('',*,*,#19767,.F.); +#19769=EDGE_LOOP('',(#19766,#19768)); +#19770=FACE_OUTER_BOUND('',#19769,.F.); +#19772=CARTESIAN_POINT('',(1.196975E1,2.4384E0,-3.556E0)); +#19773=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19774=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19775=AXIS2_PLACEMENT_3D('',#19772,#19773,#19774); +#19776=CYLINDRICAL_SURFACE('',#19775,2.159E0); +#19777=ORIENTED_EDGE('',*,*,#17877,.T.); +#19778=ORIENTED_EDGE('',*,*,#19756,.T.); +#19779=ORIENTED_EDGE('',*,*,#17881,.F.); +#19781=ORIENTED_EDGE('',*,*,#19780,.F.); +#19782=EDGE_LOOP('',(#19777,#19778,#19779,#19781)); +#19783=FACE_OUTER_BOUND('',#19782,.F.); +#19785=CARTESIAN_POINT('',(1.343025E1,0.E0,0.E0)); +#19786=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19787=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19788=AXIS2_PLACEMENT_3D('',#19785,#19786,#19787); +#19789=PLANE('',#19788); +#19790=ORIENTED_EDGE('',*,*,#17879,.F.); +#19791=ORIENTED_EDGE('',*,*,#19780,.T.); +#19792=EDGE_LOOP('',(#19790,#19791)); +#19793=FACE_OUTER_BOUND('',#19792,.F.); +#19795=CARTESIAN_POINT('',(1.343025E1,0.E0,0.E0)); +#19796=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19797=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19798=AXIS2_PLACEMENT_3D('',#19795,#19796,#19797); +#19799=PLANE('',#19798); +#19800=ORIENTED_EDGE('',*,*,#18245,.F.); +#19802=ORIENTED_EDGE('',*,*,#19801,.T.); +#19803=EDGE_LOOP('',(#19800,#19802)); +#19804=FACE_OUTER_BOUND('',#19803,.F.); +#19806=CARTESIAN_POINT('',(1.196975E1,-2.4384E0,-3.556E0)); +#19807=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19808=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19809=AXIS2_PLACEMENT_3D('',#19806,#19807,#19808); +#19810=CYLINDRICAL_SURFACE('',#19809,2.159E0); +#19811=ORIENTED_EDGE('',*,*,#18243,.T.); +#19812=ORIENTED_EDGE('',*,*,#19767,.T.); +#19813=ORIENTED_EDGE('',*,*,#18247,.F.); +#19814=ORIENTED_EDGE('',*,*,#19801,.F.); +#19815=EDGE_LOOP('',(#19811,#19812,#19813,#19814)); +#19816=FACE_OUTER_BOUND('',#19815,.F.); +#19818=CARTESIAN_POINT('',(1.450975E1,0.E0,0.E0)); +#19819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19821=AXIS2_PLACEMENT_3D('',#19818,#19819,#19820); +#19822=PLANE('',#19821); +#19823=ORIENTED_EDGE('',*,*,#17885,.F.); +#19825=ORIENTED_EDGE('',*,*,#19824,.F.); +#19826=EDGE_LOOP('',(#19823,#19825)); +#19827=FACE_OUTER_BOUND('',#19826,.F.); +#19829=CARTESIAN_POINT('',(1.450975E1,0.E0,0.E0)); +#19830=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19832=AXIS2_PLACEMENT_3D('',#19829,#19830,#19831); +#19833=PLANE('',#19832); +#19834=ORIENTED_EDGE('',*,*,#18251,.F.); +#19836=ORIENTED_EDGE('',*,*,#19835,.F.); +#19837=EDGE_LOOP('',(#19834,#19836)); +#19838=FACE_OUTER_BOUND('',#19837,.F.); +#19840=CARTESIAN_POINT('',(1.450975E1,2.4384E0,-3.556E0)); +#19841=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19842=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19843=AXIS2_PLACEMENT_3D('',#19840,#19841,#19842); +#19844=CYLINDRICAL_SURFACE('',#19843,2.159E0); +#19845=ORIENTED_EDGE('',*,*,#17887,.T.); +#19846=ORIENTED_EDGE('',*,*,#19824,.T.); +#19847=ORIENTED_EDGE('',*,*,#17891,.F.); +#19849=ORIENTED_EDGE('',*,*,#19848,.F.); +#19850=EDGE_LOOP('',(#19845,#19846,#19847,#19849)); +#19851=FACE_OUTER_BOUND('',#19850,.F.); +#19853=CARTESIAN_POINT('',(1.597025E1,0.E0,0.E0)); +#19854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19855=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19856=AXIS2_PLACEMENT_3D('',#19853,#19854,#19855); +#19857=PLANE('',#19856); +#19858=ORIENTED_EDGE('',*,*,#17889,.F.); +#19859=ORIENTED_EDGE('',*,*,#19848,.T.); +#19860=EDGE_LOOP('',(#19858,#19859)); +#19861=FACE_OUTER_BOUND('',#19860,.F.); +#19863=CARTESIAN_POINT('',(1.597025E1,0.E0,0.E0)); +#19864=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19865=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19866=AXIS2_PLACEMENT_3D('',#19863,#19864,#19865); +#19867=PLANE('',#19866); +#19868=ORIENTED_EDGE('',*,*,#18255,.F.); +#19870=ORIENTED_EDGE('',*,*,#19869,.T.); +#19871=EDGE_LOOP('',(#19868,#19870)); +#19872=FACE_OUTER_BOUND('',#19871,.F.); +#19874=CARTESIAN_POINT('',(1.450975E1,-2.4384E0,-3.556E0)); +#19875=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19876=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19877=AXIS2_PLACEMENT_3D('',#19874,#19875,#19876); +#19878=CYLINDRICAL_SURFACE('',#19877,2.159E0); +#19879=ORIENTED_EDGE('',*,*,#18253,.T.); +#19880=ORIENTED_EDGE('',*,*,#19835,.T.); +#19881=ORIENTED_EDGE('',*,*,#18257,.F.); +#19882=ORIENTED_EDGE('',*,*,#19869,.F.); +#19883=EDGE_LOOP('',(#19879,#19880,#19881,#19882)); +#19884=FACE_OUTER_BOUND('',#19883,.F.); +#19886=CARTESIAN_POINT('',(1.704975E1,0.E0,0.E0)); +#19887=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19889=AXIS2_PLACEMENT_3D('',#19886,#19887,#19888); +#19890=PLANE('',#19889); +#19891=ORIENTED_EDGE('',*,*,#17895,.F.); +#19893=ORIENTED_EDGE('',*,*,#19892,.F.); +#19894=EDGE_LOOP('',(#19891,#19893)); +#19895=FACE_OUTER_BOUND('',#19894,.F.); +#19897=CARTESIAN_POINT('',(1.704975E1,0.E0,0.E0)); +#19898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19899=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19900=AXIS2_PLACEMENT_3D('',#19897,#19898,#19899); +#19901=PLANE('',#19900); +#19902=ORIENTED_EDGE('',*,*,#18261,.F.); +#19904=ORIENTED_EDGE('',*,*,#19903,.F.); +#19905=EDGE_LOOP('',(#19902,#19904)); +#19906=FACE_OUTER_BOUND('',#19905,.F.); +#19908=CARTESIAN_POINT('',(1.704975E1,2.4384E0,-3.556E0)); +#19909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19911=AXIS2_PLACEMENT_3D('',#19908,#19909,#19910); +#19912=CYLINDRICAL_SURFACE('',#19911,2.159E0); +#19913=ORIENTED_EDGE('',*,*,#17897,.T.); +#19914=ORIENTED_EDGE('',*,*,#19892,.T.); +#19915=ORIENTED_EDGE('',*,*,#17901,.F.); +#19917=ORIENTED_EDGE('',*,*,#19916,.F.); +#19918=EDGE_LOOP('',(#19913,#19914,#19915,#19917)); +#19919=FACE_OUTER_BOUND('',#19918,.F.); +#19921=CARTESIAN_POINT('',(1.851025E1,0.E0,0.E0)); +#19922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19923=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19924=AXIS2_PLACEMENT_3D('',#19921,#19922,#19923); +#19925=PLANE('',#19924); +#19926=ORIENTED_EDGE('',*,*,#17899,.F.); +#19927=ORIENTED_EDGE('',*,*,#19916,.T.); +#19928=EDGE_LOOP('',(#19926,#19927)); +#19929=FACE_OUTER_BOUND('',#19928,.F.); +#19931=CARTESIAN_POINT('',(1.851025E1,0.E0,0.E0)); +#19932=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19934=AXIS2_PLACEMENT_3D('',#19931,#19932,#19933); +#19935=PLANE('',#19934); +#19936=ORIENTED_EDGE('',*,*,#18265,.F.); +#19938=ORIENTED_EDGE('',*,*,#19937,.T.); +#19939=EDGE_LOOP('',(#19936,#19938)); +#19940=FACE_OUTER_BOUND('',#19939,.F.); +#19942=CARTESIAN_POINT('',(1.704975E1,-2.4384E0,-3.556E0)); +#19943=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19944=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19945=AXIS2_PLACEMENT_3D('',#19942,#19943,#19944); +#19946=CYLINDRICAL_SURFACE('',#19945,2.159E0); +#19947=ORIENTED_EDGE('',*,*,#18263,.T.); +#19948=ORIENTED_EDGE('',*,*,#19903,.T.); +#19949=ORIENTED_EDGE('',*,*,#18267,.F.); +#19950=ORIENTED_EDGE('',*,*,#19937,.F.); +#19951=EDGE_LOOP('',(#19947,#19948,#19949,#19950)); +#19952=FACE_OUTER_BOUND('',#19951,.F.); +#19954=CARTESIAN_POINT('',(1.958975E1,0.E0,0.E0)); +#19955=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19957=AXIS2_PLACEMENT_3D('',#19954,#19955,#19956); +#19958=PLANE('',#19957); +#19959=ORIENTED_EDGE('',*,*,#17905,.F.); +#19961=ORIENTED_EDGE('',*,*,#19960,.F.); +#19962=EDGE_LOOP('',(#19959,#19961)); +#19963=FACE_OUTER_BOUND('',#19962,.F.); +#19965=CARTESIAN_POINT('',(1.958975E1,0.E0,0.E0)); +#19966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19967=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19968=AXIS2_PLACEMENT_3D('',#19965,#19966,#19967); +#19969=PLANE('',#19968); +#19970=ORIENTED_EDGE('',*,*,#18271,.F.); +#19972=ORIENTED_EDGE('',*,*,#19971,.F.); +#19973=EDGE_LOOP('',(#19970,#19972)); +#19974=FACE_OUTER_BOUND('',#19973,.F.); +#19976=CARTESIAN_POINT('',(1.958975E1,2.4384E0,-3.556E0)); +#19977=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19979=AXIS2_PLACEMENT_3D('',#19976,#19977,#19978); +#19980=CYLINDRICAL_SURFACE('',#19979,2.159E0); +#19981=ORIENTED_EDGE('',*,*,#17907,.T.); +#19982=ORIENTED_EDGE('',*,*,#19960,.T.); +#19983=ORIENTED_EDGE('',*,*,#17911,.F.); +#19985=ORIENTED_EDGE('',*,*,#19984,.F.); +#19986=EDGE_LOOP('',(#19981,#19982,#19983,#19985)); +#19987=FACE_OUTER_BOUND('',#19986,.F.); +#19989=CARTESIAN_POINT('',(2.105025E1,0.E0,0.E0)); +#19990=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19991=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19992=AXIS2_PLACEMENT_3D('',#19989,#19990,#19991); +#19993=PLANE('',#19992); +#19994=ORIENTED_EDGE('',*,*,#17909,.F.); +#19995=ORIENTED_EDGE('',*,*,#19984,.T.); +#19996=EDGE_LOOP('',(#19994,#19995)); +#19997=FACE_OUTER_BOUND('',#19996,.F.); +#19999=CARTESIAN_POINT('',(2.105025E1,0.E0,0.E0)); +#20000=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20002=AXIS2_PLACEMENT_3D('',#19999,#20000,#20001); +#20003=PLANE('',#20002); +#20004=ORIENTED_EDGE('',*,*,#18275,.F.); +#20006=ORIENTED_EDGE('',*,*,#20005,.T.); +#20007=EDGE_LOOP('',(#20004,#20006)); +#20008=FACE_OUTER_BOUND('',#20007,.F.); +#20010=CARTESIAN_POINT('',(1.958975E1,-2.4384E0,-3.556E0)); +#20011=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20013=AXIS2_PLACEMENT_3D('',#20010,#20011,#20012); +#20014=CYLINDRICAL_SURFACE('',#20013,2.159E0); +#20015=ORIENTED_EDGE('',*,*,#18273,.T.); +#20016=ORIENTED_EDGE('',*,*,#19971,.T.); +#20017=ORIENTED_EDGE('',*,*,#18277,.F.); +#20018=ORIENTED_EDGE('',*,*,#20005,.F.); +#20019=EDGE_LOOP('',(#20015,#20016,#20017,#20018)); +#20020=FACE_OUTER_BOUND('',#20019,.F.); +#20022=CARTESIAN_POINT('',(2.212975E1,0.E0,0.E0)); +#20023=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20024=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20025=AXIS2_PLACEMENT_3D('',#20022,#20023,#20024); +#20026=PLANE('',#20025); +#20027=ORIENTED_EDGE('',*,*,#17915,.F.); +#20029=ORIENTED_EDGE('',*,*,#20028,.F.); +#20030=EDGE_LOOP('',(#20027,#20029)); +#20031=FACE_OUTER_BOUND('',#20030,.F.); +#20033=CARTESIAN_POINT('',(2.212975E1,0.E0,0.E0)); +#20034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20035=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20036=AXIS2_PLACEMENT_3D('',#20033,#20034,#20035); +#20037=PLANE('',#20036); +#20038=ORIENTED_EDGE('',*,*,#18281,.F.); +#20040=ORIENTED_EDGE('',*,*,#20039,.F.); +#20041=EDGE_LOOP('',(#20038,#20040)); +#20042=FACE_OUTER_BOUND('',#20041,.F.); +#20044=CARTESIAN_POINT('',(2.212975E1,2.4384E0,-3.556E0)); +#20045=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20047=AXIS2_PLACEMENT_3D('',#20044,#20045,#20046); +#20048=CYLINDRICAL_SURFACE('',#20047,2.159E0); +#20049=ORIENTED_EDGE('',*,*,#17917,.T.); +#20050=ORIENTED_EDGE('',*,*,#20028,.T.); +#20051=ORIENTED_EDGE('',*,*,#17921,.F.); +#20053=ORIENTED_EDGE('',*,*,#20052,.F.); +#20054=EDGE_LOOP('',(#20049,#20050,#20051,#20053)); +#20055=FACE_OUTER_BOUND('',#20054,.F.); +#20057=CARTESIAN_POINT('',(2.359025E1,0.E0,0.E0)); +#20058=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20059=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20060=AXIS2_PLACEMENT_3D('',#20057,#20058,#20059); +#20061=PLANE('',#20060); +#20062=ORIENTED_EDGE('',*,*,#17919,.F.); +#20063=ORIENTED_EDGE('',*,*,#20052,.T.); +#20064=EDGE_LOOP('',(#20062,#20063)); +#20065=FACE_OUTER_BOUND('',#20064,.F.); +#20067=CARTESIAN_POINT('',(2.359025E1,0.E0,0.E0)); +#20068=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20070=AXIS2_PLACEMENT_3D('',#20067,#20068,#20069); +#20071=PLANE('',#20070); +#20072=ORIENTED_EDGE('',*,*,#18285,.F.); +#20074=ORIENTED_EDGE('',*,*,#20073,.T.); +#20075=EDGE_LOOP('',(#20072,#20074)); +#20076=FACE_OUTER_BOUND('',#20075,.F.); +#20078=CARTESIAN_POINT('',(2.212975E1,-2.4384E0,-3.556E0)); +#20079=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20080=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20081=AXIS2_PLACEMENT_3D('',#20078,#20079,#20080); +#20082=CYLINDRICAL_SURFACE('',#20081,2.159E0); +#20083=ORIENTED_EDGE('',*,*,#18283,.T.); +#20084=ORIENTED_EDGE('',*,*,#20039,.T.); +#20085=ORIENTED_EDGE('',*,*,#18287,.F.); +#20086=ORIENTED_EDGE('',*,*,#20073,.F.); +#20087=EDGE_LOOP('',(#20083,#20084,#20085,#20086)); +#20088=FACE_OUTER_BOUND('',#20087,.F.); +#20090=CARTESIAN_POINT('',(2.466975E1,0.E0,0.E0)); +#20091=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20092=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20093=AXIS2_PLACEMENT_3D('',#20090,#20091,#20092); +#20094=PLANE('',#20093); +#20095=ORIENTED_EDGE('',*,*,#17925,.F.); +#20097=ORIENTED_EDGE('',*,*,#20096,.F.); +#20098=EDGE_LOOP('',(#20095,#20097)); +#20099=FACE_OUTER_BOUND('',#20098,.F.); +#20101=CARTESIAN_POINT('',(2.466975E1,0.E0,0.E0)); +#20102=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20103=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20104=AXIS2_PLACEMENT_3D('',#20101,#20102,#20103); +#20105=PLANE('',#20104); +#20106=ORIENTED_EDGE('',*,*,#18291,.F.); +#20108=ORIENTED_EDGE('',*,*,#20107,.F.); +#20109=EDGE_LOOP('',(#20106,#20108)); +#20110=FACE_OUTER_BOUND('',#20109,.F.); +#20112=CARTESIAN_POINT('',(2.466975E1,2.4384E0,-3.556E0)); +#20113=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20114=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20115=AXIS2_PLACEMENT_3D('',#20112,#20113,#20114); +#20116=CYLINDRICAL_SURFACE('',#20115,2.159E0); +#20117=ORIENTED_EDGE('',*,*,#17927,.T.); +#20118=ORIENTED_EDGE('',*,*,#20096,.T.); +#20119=ORIENTED_EDGE('',*,*,#17931,.F.); +#20121=ORIENTED_EDGE('',*,*,#20120,.F.); +#20122=EDGE_LOOP('',(#20117,#20118,#20119,#20121)); +#20123=FACE_OUTER_BOUND('',#20122,.F.); +#20125=CARTESIAN_POINT('',(2.613025E1,0.E0,0.E0)); +#20126=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20127=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20128=AXIS2_PLACEMENT_3D('',#20125,#20126,#20127); +#20129=PLANE('',#20128); +#20130=ORIENTED_EDGE('',*,*,#17929,.F.); +#20131=ORIENTED_EDGE('',*,*,#20120,.T.); +#20132=EDGE_LOOP('',(#20130,#20131)); +#20133=FACE_OUTER_BOUND('',#20132,.F.); +#20135=CARTESIAN_POINT('',(2.613025E1,0.E0,0.E0)); +#20136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20137=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20138=AXIS2_PLACEMENT_3D('',#20135,#20136,#20137); +#20139=PLANE('',#20138); +#20140=ORIENTED_EDGE('',*,*,#18295,.F.); +#20142=ORIENTED_EDGE('',*,*,#20141,.T.); +#20143=EDGE_LOOP('',(#20140,#20142)); +#20144=FACE_OUTER_BOUND('',#20143,.F.); +#20146=CARTESIAN_POINT('',(2.466975E1,-2.4384E0,-3.556E0)); +#20147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20149=AXIS2_PLACEMENT_3D('',#20146,#20147,#20148); +#20150=CYLINDRICAL_SURFACE('',#20149,2.159E0); +#20151=ORIENTED_EDGE('',*,*,#18293,.T.); +#20152=ORIENTED_EDGE('',*,*,#20107,.T.); +#20153=ORIENTED_EDGE('',*,*,#18297,.F.); +#20154=ORIENTED_EDGE('',*,*,#20141,.F.); +#20155=EDGE_LOOP('',(#20151,#20152,#20153,#20154)); +#20156=FACE_OUTER_BOUND('',#20155,.F.); +#20158=CARTESIAN_POINT('',(2.720975E1,0.E0,0.E0)); +#20159=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20161=AXIS2_PLACEMENT_3D('',#20158,#20159,#20160); +#20162=PLANE('',#20161); +#20163=ORIENTED_EDGE('',*,*,#17935,.F.); +#20165=ORIENTED_EDGE('',*,*,#20164,.F.); +#20166=EDGE_LOOP('',(#20163,#20165)); +#20167=FACE_OUTER_BOUND('',#20166,.F.); +#20169=CARTESIAN_POINT('',(2.720975E1,0.E0,0.E0)); +#20170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20171=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20172=AXIS2_PLACEMENT_3D('',#20169,#20170,#20171); +#20173=PLANE('',#20172); +#20174=ORIENTED_EDGE('',*,*,#18301,.F.); +#20176=ORIENTED_EDGE('',*,*,#20175,.F.); +#20177=EDGE_LOOP('',(#20174,#20176)); +#20178=FACE_OUTER_BOUND('',#20177,.F.); +#20180=CARTESIAN_POINT('',(2.720975E1,2.4384E0,-3.556E0)); +#20181=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20183=AXIS2_PLACEMENT_3D('',#20180,#20181,#20182); +#20184=CYLINDRICAL_SURFACE('',#20183,2.159E0); +#20185=ORIENTED_EDGE('',*,*,#17937,.T.); +#20186=ORIENTED_EDGE('',*,*,#20164,.T.); +#20187=ORIENTED_EDGE('',*,*,#17941,.F.); +#20189=ORIENTED_EDGE('',*,*,#20188,.F.); +#20190=EDGE_LOOP('',(#20185,#20186,#20187,#20189)); +#20191=FACE_OUTER_BOUND('',#20190,.F.); +#20193=CARTESIAN_POINT('',(2.867025E1,0.E0,0.E0)); +#20194=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20195=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20196=AXIS2_PLACEMENT_3D('',#20193,#20194,#20195); +#20197=PLANE('',#20196); +#20198=ORIENTED_EDGE('',*,*,#17939,.F.); +#20199=ORIENTED_EDGE('',*,*,#20188,.T.); +#20200=EDGE_LOOP('',(#20198,#20199)); +#20201=FACE_OUTER_BOUND('',#20200,.F.); +#20203=CARTESIAN_POINT('',(2.867025E1,0.E0,0.E0)); +#20204=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20206=AXIS2_PLACEMENT_3D('',#20203,#20204,#20205); +#20207=PLANE('',#20206); +#20208=ORIENTED_EDGE('',*,*,#18305,.F.); +#20210=ORIENTED_EDGE('',*,*,#20209,.T.); +#20211=EDGE_LOOP('',(#20208,#20210)); +#20212=FACE_OUTER_BOUND('',#20211,.F.); +#20214=CARTESIAN_POINT('',(2.720975E1,-2.4384E0,-3.556E0)); +#20215=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20216=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20217=AXIS2_PLACEMENT_3D('',#20214,#20215,#20216); +#20218=CYLINDRICAL_SURFACE('',#20217,2.159E0); +#20219=ORIENTED_EDGE('',*,*,#18303,.T.); +#20220=ORIENTED_EDGE('',*,*,#20175,.T.); +#20221=ORIENTED_EDGE('',*,*,#18307,.F.); +#20222=ORIENTED_EDGE('',*,*,#20209,.F.); +#20223=EDGE_LOOP('',(#20219,#20220,#20221,#20222)); +#20224=FACE_OUTER_BOUND('',#20223,.F.); +#20226=CARTESIAN_POINT('',(2.974975E1,0.E0,0.E0)); +#20227=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20228=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20229=AXIS2_PLACEMENT_3D('',#20226,#20227,#20228); +#20230=PLANE('',#20229); +#20231=ORIENTED_EDGE('',*,*,#17945,.F.); +#20233=ORIENTED_EDGE('',*,*,#20232,.F.); +#20234=EDGE_LOOP('',(#20231,#20233)); +#20235=FACE_OUTER_BOUND('',#20234,.F.); +#20237=CARTESIAN_POINT('',(2.974975E1,0.E0,0.E0)); +#20238=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20239=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20240=AXIS2_PLACEMENT_3D('',#20237,#20238,#20239); +#20241=PLANE('',#20240); +#20242=ORIENTED_EDGE('',*,*,#18311,.F.); +#20244=ORIENTED_EDGE('',*,*,#20243,.F.); +#20245=EDGE_LOOP('',(#20242,#20244)); +#20246=FACE_OUTER_BOUND('',#20245,.F.); +#20248=CARTESIAN_POINT('',(2.974975E1,2.4384E0,-3.556E0)); +#20249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20250=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20251=AXIS2_PLACEMENT_3D('',#20248,#20249,#20250); +#20252=CYLINDRICAL_SURFACE('',#20251,2.159E0); +#20253=ORIENTED_EDGE('',*,*,#17947,.T.); +#20254=ORIENTED_EDGE('',*,*,#20232,.T.); +#20255=ORIENTED_EDGE('',*,*,#17951,.F.); +#20257=ORIENTED_EDGE('',*,*,#20256,.F.); +#20258=EDGE_LOOP('',(#20253,#20254,#20255,#20257)); +#20259=FACE_OUTER_BOUND('',#20258,.F.); +#20261=CARTESIAN_POINT('',(3.121025E1,0.E0,0.E0)); +#20262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20263=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20264=AXIS2_PLACEMENT_3D('',#20261,#20262,#20263); +#20265=PLANE('',#20264); +#20266=ORIENTED_EDGE('',*,*,#17949,.F.); +#20267=ORIENTED_EDGE('',*,*,#20256,.T.); +#20268=EDGE_LOOP('',(#20266,#20267)); +#20269=FACE_OUTER_BOUND('',#20268,.F.); +#20271=CARTESIAN_POINT('',(3.121025E1,0.E0,0.E0)); +#20272=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20273=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20274=AXIS2_PLACEMENT_3D('',#20271,#20272,#20273); +#20275=PLANE('',#20274); +#20276=ORIENTED_EDGE('',*,*,#18315,.F.); +#20278=ORIENTED_EDGE('',*,*,#20277,.T.); +#20279=EDGE_LOOP('',(#20276,#20278)); +#20280=FACE_OUTER_BOUND('',#20279,.F.); +#20282=CARTESIAN_POINT('',(2.974975E1,-2.4384E0,-3.556E0)); +#20283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20285=AXIS2_PLACEMENT_3D('',#20282,#20283,#20284); +#20286=CYLINDRICAL_SURFACE('',#20285,2.159E0); +#20287=ORIENTED_EDGE('',*,*,#18313,.T.); +#20288=ORIENTED_EDGE('',*,*,#20243,.T.); +#20289=ORIENTED_EDGE('',*,*,#18317,.F.); +#20290=ORIENTED_EDGE('',*,*,#20277,.F.); +#20291=EDGE_LOOP('',(#20287,#20288,#20289,#20290)); +#20292=FACE_OUTER_BOUND('',#20291,.F.); +#20294=CARTESIAN_POINT('',(3.228975E1,0.E0,0.E0)); +#20295=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20296=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20297=AXIS2_PLACEMENT_3D('',#20294,#20295,#20296); +#20298=PLANE('',#20297); +#20299=ORIENTED_EDGE('',*,*,#17955,.F.); +#20301=ORIENTED_EDGE('',*,*,#20300,.F.); +#20302=EDGE_LOOP('',(#20299,#20301)); +#20303=FACE_OUTER_BOUND('',#20302,.F.); +#20305=CARTESIAN_POINT('',(3.228975E1,0.E0,0.E0)); +#20306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20307=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20308=AXIS2_PLACEMENT_3D('',#20305,#20306,#20307); +#20309=PLANE('',#20308); +#20310=ORIENTED_EDGE('',*,*,#18321,.F.); +#20312=ORIENTED_EDGE('',*,*,#20311,.F.); +#20313=EDGE_LOOP('',(#20310,#20312)); +#20314=FACE_OUTER_BOUND('',#20313,.F.); +#20316=CARTESIAN_POINT('',(3.228975E1,2.4384E0,-3.556E0)); +#20317=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20318=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20319=AXIS2_PLACEMENT_3D('',#20316,#20317,#20318); +#20320=CYLINDRICAL_SURFACE('',#20319,2.159E0); +#20321=ORIENTED_EDGE('',*,*,#17957,.T.); +#20322=ORIENTED_EDGE('',*,*,#20300,.T.); +#20323=ORIENTED_EDGE('',*,*,#17961,.F.); +#20325=ORIENTED_EDGE('',*,*,#20324,.F.); +#20326=EDGE_LOOP('',(#20321,#20322,#20323,#20325)); +#20327=FACE_OUTER_BOUND('',#20326,.F.); +#20329=CARTESIAN_POINT('',(3.375025E1,0.E0,0.E0)); +#20330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20332=AXIS2_PLACEMENT_3D('',#20329,#20330,#20331); +#20333=PLANE('',#20332); +#20334=ORIENTED_EDGE('',*,*,#17959,.F.); +#20335=ORIENTED_EDGE('',*,*,#20324,.T.); +#20336=EDGE_LOOP('',(#20334,#20335)); +#20337=FACE_OUTER_BOUND('',#20336,.F.); +#20339=CARTESIAN_POINT('',(3.375025E1,0.E0,0.E0)); +#20340=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20341=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20342=AXIS2_PLACEMENT_3D('',#20339,#20340,#20341); +#20343=PLANE('',#20342); +#20344=ORIENTED_EDGE('',*,*,#18325,.F.); +#20346=ORIENTED_EDGE('',*,*,#20345,.T.); +#20347=EDGE_LOOP('',(#20344,#20346)); +#20348=FACE_OUTER_BOUND('',#20347,.F.); +#20350=CARTESIAN_POINT('',(3.228975E1,-2.4384E0,-3.556E0)); +#20351=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20352=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20353=AXIS2_PLACEMENT_3D('',#20350,#20351,#20352); +#20354=CYLINDRICAL_SURFACE('',#20353,2.159E0); +#20355=ORIENTED_EDGE('',*,*,#18323,.T.); +#20356=ORIENTED_EDGE('',*,*,#20311,.T.); +#20357=ORIENTED_EDGE('',*,*,#18327,.F.); +#20358=ORIENTED_EDGE('',*,*,#20345,.F.); +#20359=EDGE_LOOP('',(#20355,#20356,#20357,#20358)); +#20360=FACE_OUTER_BOUND('',#20359,.F.); +#20362=CARTESIAN_POINT('',(3.482975E1,0.E0,0.E0)); +#20363=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20364=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20365=AXIS2_PLACEMENT_3D('',#20362,#20363,#20364); +#20366=PLANE('',#20365); +#20367=ORIENTED_EDGE('',*,*,#17965,.F.); +#20369=ORIENTED_EDGE('',*,*,#20368,.F.); +#20370=EDGE_LOOP('',(#20367,#20369)); +#20371=FACE_OUTER_BOUND('',#20370,.F.); +#20373=CARTESIAN_POINT('',(3.482975E1,0.E0,0.E0)); +#20374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20375=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20376=AXIS2_PLACEMENT_3D('',#20373,#20374,#20375); +#20377=PLANE('',#20376); +#20378=ORIENTED_EDGE('',*,*,#18331,.F.); +#20380=ORIENTED_EDGE('',*,*,#20379,.F.); +#20381=EDGE_LOOP('',(#20378,#20380)); +#20382=FACE_OUTER_BOUND('',#20381,.F.); +#20384=CARTESIAN_POINT('',(3.482975E1,2.4384E0,-3.556E0)); +#20385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20386=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20387=AXIS2_PLACEMENT_3D('',#20384,#20385,#20386); +#20388=CYLINDRICAL_SURFACE('',#20387,2.159E0); +#20389=ORIENTED_EDGE('',*,*,#17967,.T.); +#20390=ORIENTED_EDGE('',*,*,#20368,.T.); +#20391=ORIENTED_EDGE('',*,*,#17971,.F.); +#20393=ORIENTED_EDGE('',*,*,#20392,.F.); +#20394=EDGE_LOOP('',(#20389,#20390,#20391,#20393)); +#20395=FACE_OUTER_BOUND('',#20394,.F.); +#20397=CARTESIAN_POINT('',(3.629025E1,0.E0,0.E0)); +#20398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20399=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20400=AXIS2_PLACEMENT_3D('',#20397,#20398,#20399); +#20401=PLANE('',#20400); +#20402=ORIENTED_EDGE('',*,*,#17969,.F.); +#20403=ORIENTED_EDGE('',*,*,#20392,.T.); +#20404=EDGE_LOOP('',(#20402,#20403)); +#20405=FACE_OUTER_BOUND('',#20404,.F.); +#20407=CARTESIAN_POINT('',(3.629025E1,0.E0,0.E0)); +#20408=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20410=AXIS2_PLACEMENT_3D('',#20407,#20408,#20409); +#20411=PLANE('',#20410); +#20412=ORIENTED_EDGE('',*,*,#18335,.F.); +#20414=ORIENTED_EDGE('',*,*,#20413,.T.); +#20415=EDGE_LOOP('',(#20412,#20414)); +#20416=FACE_OUTER_BOUND('',#20415,.F.); +#20418=CARTESIAN_POINT('',(3.482975E1,-2.4384E0,-3.556E0)); +#20419=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20421=AXIS2_PLACEMENT_3D('',#20418,#20419,#20420); +#20422=CYLINDRICAL_SURFACE('',#20421,2.159E0); +#20423=ORIENTED_EDGE('',*,*,#18333,.T.); +#20424=ORIENTED_EDGE('',*,*,#20379,.T.); +#20425=ORIENTED_EDGE('',*,*,#18337,.F.); +#20426=ORIENTED_EDGE('',*,*,#20413,.F.); +#20427=EDGE_LOOP('',(#20423,#20424,#20425,#20426)); +#20428=FACE_OUTER_BOUND('',#20427,.F.); +#20430=CARTESIAN_POINT('',(3.736975E1,0.E0,0.E0)); +#20431=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20432=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20433=AXIS2_PLACEMENT_3D('',#20430,#20431,#20432); +#20434=PLANE('',#20433); +#20435=ORIENTED_EDGE('',*,*,#17975,.F.); +#20437=ORIENTED_EDGE('',*,*,#20436,.F.); +#20438=EDGE_LOOP('',(#20435,#20437)); +#20439=FACE_OUTER_BOUND('',#20438,.F.); +#20441=CARTESIAN_POINT('',(3.736975E1,0.E0,0.E0)); +#20442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20443=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20444=AXIS2_PLACEMENT_3D('',#20441,#20442,#20443); +#20445=PLANE('',#20444); +#20446=ORIENTED_EDGE('',*,*,#18341,.F.); +#20448=ORIENTED_EDGE('',*,*,#20447,.F.); +#20449=EDGE_LOOP('',(#20446,#20448)); +#20450=FACE_OUTER_BOUND('',#20449,.F.); +#20452=CARTESIAN_POINT('',(3.736975E1,2.4384E0,-3.556E0)); +#20453=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20454=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20455=AXIS2_PLACEMENT_3D('',#20452,#20453,#20454); +#20456=CYLINDRICAL_SURFACE('',#20455,2.159E0); +#20457=ORIENTED_EDGE('',*,*,#17977,.T.); +#20458=ORIENTED_EDGE('',*,*,#20436,.T.); +#20459=ORIENTED_EDGE('',*,*,#17981,.F.); +#20461=ORIENTED_EDGE('',*,*,#20460,.F.); +#20462=EDGE_LOOP('',(#20457,#20458,#20459,#20461)); +#20463=FACE_OUTER_BOUND('',#20462,.F.); +#20465=CARTESIAN_POINT('',(3.883025E1,0.E0,0.E0)); +#20466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20468=AXIS2_PLACEMENT_3D('',#20465,#20466,#20467); +#20469=PLANE('',#20468); +#20470=ORIENTED_EDGE('',*,*,#17979,.F.); +#20471=ORIENTED_EDGE('',*,*,#20460,.T.); +#20472=EDGE_LOOP('',(#20470,#20471)); +#20473=FACE_OUTER_BOUND('',#20472,.F.); +#20475=CARTESIAN_POINT('',(3.883025E1,0.E0,0.E0)); +#20476=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20478=AXIS2_PLACEMENT_3D('',#20475,#20476,#20477); +#20479=PLANE('',#20478); +#20480=ORIENTED_EDGE('',*,*,#18345,.F.); +#20482=ORIENTED_EDGE('',*,*,#20481,.T.); +#20483=EDGE_LOOP('',(#20480,#20482)); +#20484=FACE_OUTER_BOUND('',#20483,.F.); +#20486=CARTESIAN_POINT('',(3.736975E1,-2.4384E0,-3.556E0)); +#20487=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20489=AXIS2_PLACEMENT_3D('',#20486,#20487,#20488); +#20490=CYLINDRICAL_SURFACE('',#20489,2.159E0); +#20491=ORIENTED_EDGE('',*,*,#18343,.T.); +#20492=ORIENTED_EDGE('',*,*,#20447,.T.); +#20493=ORIENTED_EDGE('',*,*,#18347,.F.); +#20494=ORIENTED_EDGE('',*,*,#20481,.F.); +#20495=EDGE_LOOP('',(#20491,#20492,#20493,#20494)); +#20496=FACE_OUTER_BOUND('',#20495,.F.); +#20498=CARTESIAN_POINT('',(-4.191E1,3.9116E0,1.315580046199E-2)); +#20499=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20500=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20501=AXIS2_PLACEMENT_3D('',#20498,#20499,#20500); +#20502=CYLINDRICAL_SURFACE('',#20501,7.62E-1); +#20503=ORIENTED_EDGE('',*,*,#9470,.T.); +#20505=ORIENTED_EDGE('',*,*,#20504,.F.); +#20506=ORIENTED_EDGE('',*,*,#17624,.T.); +#20507=ORIENTED_EDGE('',*,*,#9446,.T.); +#20508=EDGE_LOOP('',(#20503,#20505,#20506,#20507)); +#20509=FACE_OUTER_BOUND('',#20508,.F.); +#20511=CARTESIAN_POINT('',(-4.2672E1,4.6736E0,-8.89E0)); +#20512=DIRECTION('',(0.E0,1.E0,0.E0)); +#20513=DIRECTION('',(0.E0,0.E0,1.E0)); +#20514=AXIS2_PLACEMENT_3D('',#20511,#20512,#20513); +#20515=PLANE('',#20514); +#20516=ORIENTED_EDGE('',*,*,#20504,.T.); +#20517=ORIENTED_EDGE('',*,*,#9468,.T.); +#20519=ORIENTED_EDGE('',*,*,#20518,.T.); +#20520=ORIENTED_EDGE('',*,*,#17626,.F.); +#20521=EDGE_LOOP('',(#20516,#20517,#20519,#20520)); +#20522=FACE_OUTER_BOUND('',#20521,.F.); +#20524=CARTESIAN_POINT('',(4.191E1,3.9116E0,-8.903414373130E0)); +#20525=DIRECTION('',(0.E0,0.E0,1.E0)); +#20526=DIRECTION('',(1.E0,0.E0,0.E0)); +#20527=AXIS2_PLACEMENT_3D('',#20524,#20525,#20526); +#20528=CYLINDRICAL_SURFACE('',#20527,7.62E-1); +#20529=ORIENTED_EDGE('',*,*,#17628,.T.); +#20530=ORIENTED_EDGE('',*,*,#20518,.F.); +#20531=ORIENTED_EDGE('',*,*,#9466,.T.); +#20532=ORIENTED_EDGE('',*,*,#9593,.T.); +#20533=EDGE_LOOP('',(#20529,#20530,#20531,#20532)); +#20534=FACE_OUTER_BOUND('',#20533,.F.); +#20536=CARTESIAN_POINT('',(-4.191E1,3.048E0,2.523233432086E-2)); +#20537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#20538=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20539=AXIS2_PLACEMENT_3D('',#20536,#20537,#20538); +#20540=CYLINDRICAL_SURFACE('',#20539,7.62E-1); +#20541=ORIENTED_EDGE('',*,*,#9473,.T.); +#20542=ORIENTED_EDGE('',*,*,#9442,.T.); +#20543=ORIENTED_EDGE('',*,*,#9572,.T.); +#20544=ORIENTED_EDGE('',*,*,#9511,.F.); +#20545=EDGE_LOOP('',(#20541,#20542,#20543,#20544)); +#20546=FACE_OUTER_BOUND('',#20545,.F.); +#20548=CLOSED_SHELL('',(#9454,#9477,#9500,#9531,#9554,#9576,#9597,#9609,#9622, +#9650,#9664,#9690,#9703,#9718,#9734,#9751,#10472,#10488,#10504,#10520,#10533, +#10557,#10570,#10584,#10597,#10609,#10622,#10634,#10647,#10660,#10673,#10686, +#10698,#10713,#10727,#10741,#10755,#10769,#10783,#10796,#10811,#10875,#10889, +#10902,#10915,#10928,#10941,#10954,#10967,#10980,#10993,#11006,#11019,#11032, +#11045,#11058,#11071,#11084,#11097,#11110,#11123,#11136,#11149,#11162,#11175, +#11188,#11201,#11214,#11227,#11239,#11258,#11273,#11286,#11303,#11317,#11329, +#11345,#11357,#11372,#11386,#11402,#11416,#11431,#11443,#11462,#11477,#11490, +#11507,#11523,#11536,#11551,#11565,#11581,#11595,#11610,#11622,#11635,#11647, +#11666,#11681,#11694,#11711,#11727,#11740,#11755,#11769,#11785,#11799,#11814, +#11826,#11839,#11851,#11870,#11885,#11898,#11915,#11931,#11944,#11959,#11973, +#11989,#12003,#12018,#12030,#12043,#12055,#12074,#12089,#12102,#12119,#12135, +#12148,#12163,#12177,#12193,#12207,#12222,#12234,#12247,#12259,#12278,#12293, +#12306,#12323,#12339,#12352,#12367,#12381,#12397,#12411,#12426,#12438,#12451, +#12463,#12482,#12497,#12510,#12527,#12543,#12556,#12571,#12585,#12601,#12615, +#12630,#12642,#12655,#12667,#12686,#12701,#12714,#12731,#12747,#12760,#12775, +#12789,#12805,#12819,#12834,#12846,#12859,#12871,#12890,#12905,#12918,#12935, +#12951,#12964,#12979,#12993,#13009,#13023,#13038,#13050,#13063,#13075,#13094, +#13109,#13122,#13139,#13155,#13168,#13183,#13197,#13213,#13227,#13242,#13254, +#13267,#13279,#13298,#13313,#13326,#13343,#13359,#13372,#13387,#13401,#13417, +#13431,#13446,#13458,#13471,#13483,#13502,#13517,#13530,#13547,#13563,#13576, +#13591,#13605,#13621,#13635,#13650,#13662,#13675,#13687,#13706,#13721,#13734, +#13751,#13767,#13780,#13795,#13809,#13825,#13839,#13854,#13866,#13879,#13891, +#13910,#13925,#13938,#13955,#13971,#13984,#13999,#14013,#14029,#14043,#14058, +#14070,#14083,#14095,#14114,#14129,#14142,#14159,#14175,#14188,#14203,#14217, +#14233,#14247,#14262,#14274,#14287,#14299,#14318,#14333,#14346,#14363,#14379, +#14392,#14407,#14421,#14437,#14451,#14466,#14478,#14491,#14503,#14522,#14537, +#14550,#14567,#14583,#14596,#14611,#14625,#14641,#14655,#14670,#14682,#14695, +#14707,#14726,#14741,#14754,#14771,#14787,#14800,#14815,#14829,#14845,#14859, +#14874,#14886,#14899,#14911,#14930,#14945,#14958,#14975,#14991,#15004,#15019, +#15033,#15049,#15063,#15078,#15090,#15103,#15115,#15134,#15149,#15162,#15179, +#15195,#15208,#15223,#15237,#15253,#15267,#15282,#15294,#15307,#15319,#15338, +#15353,#15366,#15383,#15399,#15412,#15427,#15441,#15457,#15471,#15486,#15498, +#15511,#15523,#15542,#15557,#15570,#15587,#15603,#15616,#15631,#15645,#15661, +#15675,#15690,#15702,#15715,#15727,#15746,#15761,#15774,#15791,#15807,#15820, +#15835,#15849,#15865,#15879,#15894,#15906,#15919,#15931,#15950,#15965,#15978, +#15995,#16011,#16024,#16039,#16053,#16069,#16083,#16098,#16110,#16123,#16135, +#16154,#16169,#16182,#16199,#16215,#16228,#16243,#16257,#16273,#16287,#16302, +#16314,#16327,#16339,#16358,#16373,#16386,#16403,#16419,#16432,#16447,#16461, +#16477,#16491,#16506,#16518,#16531,#16543,#16562,#16577,#16590,#16607,#16623, +#16636,#16651,#16665,#16681,#16695,#16710,#16722,#16735,#16747,#16766,#16781, +#16794,#16811,#16827,#16840,#16855,#16869,#16885,#16899,#16914,#16926,#16939, +#16951,#16970,#16985,#16998,#17015,#17031,#17044,#17059,#17073,#17089,#17103, +#17118,#17130,#17143,#17155,#17174,#17189,#17202,#17219,#17235,#17248,#17263, +#17277,#17293,#17307,#17322,#17334,#17347,#17359,#17378,#17393,#17406,#17423, +#17439,#17452,#17467,#17481,#17497,#17511,#17526,#17538,#17551,#17563,#17575, +#17589,#17603,#17616,#17645,#17660,#17985,#18000,#18013,#18027,#18351,#18365, +#18377,#18389,#18400,#18411,#18424,#18434,#18445,#18457,#18468,#18479,#18492, +#18502,#18513,#18525,#18536,#18547,#18560,#18570,#18581,#18593,#18604,#18615, +#18628,#18638,#18649,#18661,#18672,#18683,#18696,#18706,#18717,#18729,#18740, +#18751,#18764,#18774,#18785,#18797,#18808,#18819,#18832,#18842,#18853,#18865, +#18876,#18887,#18900,#18910,#18921,#18933,#18944,#18955,#18968,#18978,#18989, +#19001,#19012,#19023,#19036,#19046,#19057,#19069,#19080,#19091,#19104,#19114, +#19125,#19137,#19148,#19159,#19172,#19182,#19193,#19205,#19216,#19227,#19240, +#19250,#19261,#19273,#19284,#19295,#19308,#19318,#19329,#19341,#19352,#19363, +#19376,#19386,#19397,#19409,#19420,#19431,#19444,#19454,#19465,#19477,#19488, +#19499,#19512,#19522,#19533,#19545,#19556,#19567,#19580,#19590,#19601,#19613, +#19624,#19635,#19648,#19658,#19669,#19681,#19692,#19703,#19716,#19726,#19737, +#19749,#19760,#19771,#19784,#19794,#19805,#19817,#19828,#19839,#19852,#19862, +#19873,#19885,#19896,#19907,#19920,#19930,#19941,#19953,#19964,#19975,#19988, +#19998,#20009,#20021,#20032,#20043,#20056,#20066,#20077,#20089,#20100,#20111, +#20124,#20134,#20145,#20157,#20168,#20179,#20192,#20202,#20213,#20225,#20236, +#20247,#20260,#20270,#20281,#20293,#20304,#20315,#20328,#20338,#20349,#20361, +#20372,#20383,#20396,#20406,#20417,#20429,#20440,#20451,#20464,#20474,#20485, +#20497,#20510,#20523,#20535,#20547)); +#20549=MANIFOLD_SOLID_BREP('',#20548); +#20552=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#20551); +#20553=(CONVERSION_BASED_UNIT('DEGREE',#20552)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#20555=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(8.785717100606E-4),#20550, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#20558=APPLICATION_CONTEXT('automotive_design'); +#20559=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#20558); +#20560=PRODUCT_DEFINITION_CONTEXT('part definition',#20558,'design'); +#20561=PRODUCT_CONTEXT('',#20558,'mechanical'); +#20562=PRODUCT('C-6-5530843-5','C-6-5530843-5','NOT SPECIFIED',(#20561)); +#20563=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#20562); +#20571=DERIVED_UNIT_ELEMENT(#20570,2.E0); +#20572=DERIVED_UNIT((#20571)); +#20573=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +6.243957862328E3),#20572); +#20578=DERIVED_UNIT_ELEMENT(#20577,3.E0); +#20579=DERIVED_UNIT((#20578)); +#20580=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +9.275394997092E3),#20579); +#20584=CARTESIAN_POINT('centre point',(-2.256921443398E-4,2.310724454841E-6, +-7.117530972996E0)); +#20589=DERIVED_UNIT_ELEMENT(#20588,2.E0); +#20590=DERIVED_UNIT((#20589)); +#20591=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +6.243957862328E3),#20590); +#20596=DERIVED_UNIT_ELEMENT(#20595,3.E0); +#20597=DERIVED_UNIT((#20596)); +#20598=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +9.275394997092E3),#20597); +#20602=CARTESIAN_POINT('centre point',(-2.256921443398E-4,2.310724454841E-6, +-7.117530972996E0)); +#20607=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#20562)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#2=COLOUR_RGB('',1.E0,0.E0,2.E-1); +#31=CIRCLE('',#30,7.62E-1); +#36=CIRCLE('',#35,7.62E-1); +#49=CIRCLE('',#48,7.62E-1); +#58=CIRCLE('',#57,7.62E-1); +#87=CIRCLE('',#86,7.62E-1); +#100=CIRCLE('',#99,7.62E-1); +#109=CIRCLE('',#108,7.62E-1); +#122=CIRCLE('',#121,7.62E-1); +#147=CIRCLE('',#146,7.62E-1); +#152=CIRCLE('',#151,7.62E-1); +#181=CIRCLE('',#180,7.62E-1); +#194=CIRCLE('',#193,6.35E-1); +#199=CIRCLE('',#198,6.35E-1); +#224=CIRCLE('',#223,7.62E-1); +#229=CIRCLE('',#228,7.62E-1); +#238=CIRCLE('',#237,6.35E-1); +#267=CIRCLE('',#266,6.35E-1); +#968=CIRCLE('',#967,6.35E-1); +#973=CIRCLE('',#972,6.35E-1); +#990=CIRCLE('',#989,7.62E-1); +#1007=CIRCLE('',#1006,7.62E-1); +#1020=CIRCLE('',#1019,6.35E-1); +#1025=CIRCLE('',#1024,6.35E-1); +#1050=CIRCLE('',#1049,7.62E-1); +#1055=CIRCLE('',#1054,7.62E-1); +#1084=CIRCLE('',#1083,7.62E-1); +#5345=CIRCLE('',#5344,7.62E-1); +#5370=CIRCLE('',#5369,7.62E-1); +#5375=CIRCLE('',#5374,7.62E-1); +#5384=CIRCLE('',#5383,7.62E-1); +#5389=CIRCLE('',#5388,7.62E-1); +#5401=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5390,#5391,#5392,#5393,#5394,#5395,#5396, +#5397,#5398,#5399,#5400),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#5413=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5402,#5403,#5404,#5405,#5406,#5407,#5408, +#5409,#5410,#5411,#5412),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#5945=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5934,#5935,#5936,#5937,#5938,#5939,#5940, +#5941,#5942,#5943,#5944),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +1.25E-1,2.5E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#5957=B_SPLINE_CURVE_WITH_KNOTS('',3,(#5946,#5947,#5948,#5949,#5950,#5951,#5952, +#5953,#5954,#5955,#5956),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, +6.25E-2,1.25E-1,2.5E-1,3.75E-1,5.E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); +#6490=CIRCLE('',#6489,2.159E0); +#6495=CIRCLE('',#6494,2.159E0); +#6500=CIRCLE('',#6499,2.159E0); +#6505=CIRCLE('',#6504,2.159E0); +#6510=CIRCLE('',#6509,2.159E0); +#6515=CIRCLE('',#6514,2.159E0); +#6520=CIRCLE('',#6519,2.159E0); +#6525=CIRCLE('',#6524,2.159E0); +#6530=CIRCLE('',#6529,2.159E0); +#6535=CIRCLE('',#6534,2.159E0); +#6540=CIRCLE('',#6539,2.159E0); +#6545=CIRCLE('',#6544,2.159E0); +#6550=CIRCLE('',#6549,2.159E0); +#6555=CIRCLE('',#6554,2.159E0); +#6560=CIRCLE('',#6559,2.159E0); +#6565=CIRCLE('',#6564,2.159E0); +#6570=CIRCLE('',#6569,2.159E0); +#6575=CIRCLE('',#6574,2.159E0); +#6580=CIRCLE('',#6579,2.159E0); +#6585=CIRCLE('',#6584,2.159E0); +#6590=CIRCLE('',#6589,2.159E0); +#6595=CIRCLE('',#6594,2.159E0); +#6600=CIRCLE('',#6599,2.159E0); +#6605=CIRCLE('',#6604,2.159E0); +#6610=CIRCLE('',#6609,2.159E0); +#6615=CIRCLE('',#6614,2.159E0); +#6620=CIRCLE('',#6619,2.159E0); +#6625=CIRCLE('',#6624,2.159E0); +#6630=CIRCLE('',#6629,2.159E0); +#6635=CIRCLE('',#6634,2.159E0); +#6640=CIRCLE('',#6639,2.159E0); +#6645=CIRCLE('',#6644,2.159E0); +#6650=CIRCLE('',#6649,2.159E0); +#6655=CIRCLE('',#6654,2.159E0); +#6660=CIRCLE('',#6659,2.159E0); +#6665=CIRCLE('',#6664,2.159E0); +#6670=CIRCLE('',#6669,2.159E0); +#6675=CIRCLE('',#6674,2.159E0); +#6680=CIRCLE('',#6679,2.159E0); +#6685=CIRCLE('',#6684,2.159E0); +#6690=CIRCLE('',#6689,2.159E0); +#6695=CIRCLE('',#6694,2.159E0); +#6700=CIRCLE('',#6699,2.159E0); +#6705=CIRCLE('',#6704,2.159E0); +#6710=CIRCLE('',#6709,2.159E0); +#6715=CIRCLE('',#6714,2.159E0); +#6720=CIRCLE('',#6719,2.159E0); +#6725=CIRCLE('',#6724,2.159E0); +#6730=CIRCLE('',#6729,2.159E0); +#6735=CIRCLE('',#6734,2.159E0); +#6740=CIRCLE('',#6739,2.159E0); +#6745=CIRCLE('',#6744,2.159E0); +#6750=CIRCLE('',#6749,2.159E0); +#6755=CIRCLE('',#6754,2.159E0); +#6760=CIRCLE('',#6759,2.159E0); +#6765=CIRCLE('',#6764,2.159E0); +#6770=CIRCLE('',#6769,2.159E0); +#6775=CIRCLE('',#6774,2.159E0); +#6780=CIRCLE('',#6779,2.159E0); +#6785=CIRCLE('',#6784,2.159E0); +#6790=CIRCLE('',#6789,2.159E0); +#6795=CIRCLE('',#6794,2.159E0); +#6800=CIRCLE('',#6799,2.159E0); +#6805=CIRCLE('',#6804,2.159E0); +#6810=CIRCLE('',#6809,2.159E0); +#6815=CIRCLE('',#6814,2.159E0); +#6820=CIRCLE('',#6819,2.159E0); +#6825=CIRCLE('',#6824,2.159E0); +#6830=CIRCLE('',#6829,2.159E0); +#6835=CIRCLE('',#6834,2.159E0); +#6840=CIRCLE('',#6839,2.159E0); +#6845=CIRCLE('',#6844,2.159E0); +#6850=CIRCLE('',#6849,2.159E0); +#6855=CIRCLE('',#6854,2.159E0); +#6860=CIRCLE('',#6859,2.159E0); +#6865=CIRCLE('',#6864,2.159E0); +#6870=CIRCLE('',#6869,2.159E0); +#6875=CIRCLE('',#6874,2.159E0); +#6880=CIRCLE('',#6879,2.159E0); +#6885=CIRCLE('',#6884,2.159E0); +#6890=CIRCLE('',#6889,2.159E0); +#6895=CIRCLE('',#6894,2.159E0); +#6900=CIRCLE('',#6899,2.159E0); +#6905=CIRCLE('',#6904,2.159E0); +#6910=CIRCLE('',#6909,2.159E0); +#6915=CIRCLE('',#6914,2.159E0); +#6920=CIRCLE('',#6919,2.159E0); +#6925=CIRCLE('',#6924,2.159E0); +#6930=CIRCLE('',#6929,2.159E0); +#6935=CIRCLE('',#6934,2.159E0); +#6940=CIRCLE('',#6939,2.159E0); +#6945=CIRCLE('',#6944,2.159E0); +#6950=CIRCLE('',#6949,2.159E0); +#6955=CIRCLE('',#6954,2.159E0); +#6960=CIRCLE('',#6959,2.159E0); +#6965=CIRCLE('',#6964,2.159E0); +#6970=CIRCLE('',#6969,2.159E0); +#6975=CIRCLE('',#6974,2.159E0); +#6980=CIRCLE('',#6979,2.159E0); +#6985=CIRCLE('',#6984,2.159E0); +#6990=CIRCLE('',#6989,2.159E0); +#6995=CIRCLE('',#6994,2.159E0); +#7000=CIRCLE('',#6999,2.159E0); +#7005=CIRCLE('',#7004,2.159E0); +#7010=CIRCLE('',#7009,2.159E0); +#7015=CIRCLE('',#7014,2.159E0); +#7020=CIRCLE('',#7019,2.159E0); +#7025=CIRCLE('',#7024,2.159E0); +#7030=CIRCLE('',#7029,2.159E0); +#7035=CIRCLE('',#7034,2.159E0); +#7040=CIRCLE('',#7039,2.159E0); +#7045=CIRCLE('',#7044,2.159E0); +#7050=CIRCLE('',#7049,2.159E0); +#7055=CIRCLE('',#7054,2.159E0); +#7060=CIRCLE('',#7059,2.159E0); +#7065=CIRCLE('',#7064,2.159E0); +#7070=CIRCLE('',#7069,2.159E0); +#7075=CIRCLE('',#7074,2.159E0); +#7080=CIRCLE('',#7079,2.159E0); +#7085=CIRCLE('',#7084,2.159E0); +#7090=CIRCLE('',#7089,2.159E0); +#7095=CIRCLE('',#7094,2.159E0); +#7100=CIRCLE('',#7099,2.159E0); +#7105=CIRCLE('',#7104,2.159E0); +#7122=CIRCLE('',#7121,7.62E-1); +#9436=EDGE_CURVE('',#7917,#7870,#44,.T.); +#9438=EDGE_CURVE('',#7917,#7901,#6,.T.); +#9440=EDGE_CURVE('',#7901,#7902,#104,.T.); +#9442=EDGE_CURVE('',#7914,#7902,#10,.T.); +#9444=EDGE_CURVE('',#7873,#7914,#40,.T.); +#9446=EDGE_CURVE('',#7878,#7873,#14,.T.); +#9448=EDGE_CURVE('',#7878,#7865,#18,.T.); +#9450=EDGE_CURVE('',#7870,#7865,#22,.T.); +#9454=ADVANCED_FACE('',(#9453),#9435,.F.); +#9460=EDGE_CURVE('',#7922,#7913,#70,.T.); +#9462=EDGE_CURVE('',#7921,#7922,#147,.T.); +#9464=EDGE_CURVE('',#7921,#7894,#26,.T.); +#9466=EDGE_CURVE('',#7892,#7894,#31,.T.); +#9468=EDGE_CURVE('',#7874,#7892,#7113,.T.); +#9470=EDGE_CURVE('',#7873,#7874,#36,.T.); +#9473=EDGE_CURVE('',#7913,#7914,#7122,.T.); +#9477=ADVANCED_FACE('',(#9476),#9459,.T.); +#9483=EDGE_CURVE('',#7918,#7925,#164,.T.); +#9485=EDGE_CURVE('',#7917,#7918,#5345,.T.); +#9488=EDGE_CURVE('',#7868,#7870,#49,.T.); +#9490=EDGE_CURVE('',#7868,#7882,#53,.T.); +#9492=EDGE_CURVE('',#7881,#7882,#58,.T.); +#9494=EDGE_CURVE('',#7881,#7926,#62,.T.); +#9496=EDGE_CURVE('',#7925,#7926,#152,.T.); +#9500=ADVANCED_FACE('',(#9499),#9482,.T.); +#9506=EDGE_CURVE('',#7906,#7860,#91,.T.); +#9508=EDGE_CURVE('',#7922,#7906,#66,.T.); +#9511=EDGE_CURVE('',#7913,#7908,#74,.T.); +#9513=EDGE_CURVE('',#7858,#7908,#117,.T.); +#9515=EDGE_CURVE('',#8438,#7858,#1002,.T.); +#9517=EDGE_CURVE('',#8438,#7833,#994,.T.); +#9519=EDGE_CURVE('',#7845,#7833,#955,.T.); +#9521=EDGE_CURVE('',#7845,#7817,#315,.T.); +#9523=EDGE_CURVE('',#7800,#7817,#250,.T.); +#9525=EDGE_CURVE('',#8425,#7800,#78,.T.); +#9527=EDGE_CURVE('',#7860,#8425,#215,.T.); +#9531=ADVANCED_FACE('',(#9530),#9505,.T.); +#9537=EDGE_CURVE('',#7897,#7898,#82,.T.); +#9539=EDGE_CURVE('',#7906,#7897,#87,.T.); +#9542=EDGE_CURVE('',#7860,#7129,#224,.T.); +#9544=EDGE_CURVE('',#7129,#7130,#211,.T.); +#9546=EDGE_CURVE('',#7130,#7862,#181,.T.); +#9548=EDGE_CURVE('',#7862,#7904,#95,.T.); +#9550=EDGE_CURVE('',#7898,#7904,#100,.T.); +#9554=ADVANCED_FACE('',(#9553),#9536,.F.); +#9561=EDGE_CURVE('',#7910,#7901,#109,.T.); +#9563=EDGE_CURVE('',#7910,#7856,#113,.T.); +#9565=EDGE_CURVE('',#7856,#7126,#1050,.T.); +#9567=EDGE_CURVE('',#7125,#7126,#1037,.T.); +#9569=EDGE_CURVE('',#7125,#7858,#1007,.T.); +#9572=EDGE_CURVE('',#7902,#7908,#122,.T.); +#9576=ADVANCED_FACE('',(#9575),#9559,.F.); +#9583=EDGE_CURVE('',#7921,#7897,#126,.T.); +#9586=EDGE_CURVE('',#7926,#7898,#130,.T.); +#9589=EDGE_CURVE('',#7886,#7881,#134,.T.); +#9591=EDGE_CURVE('',#7889,#7886,#138,.T.); +#9593=EDGE_CURVE('',#7894,#7889,#142,.T.); +#9597=ADVANCED_FACE('',(#9596),#9581,.T.); +#9609=ADVANCED_FACE('',(#9608),#9602,.T.); +#9618=EDGE_CURVE('',#7925,#7904,#168,.T.); +#9622=ADVANCED_FACE('',(#9621),#9614,.T.); +#9629=EDGE_CURVE('',#8430,#7862,#176,.T.); +#9631=EDGE_CURVE('',#8430,#7811,#1088,.T.); +#9633=EDGE_CURVE('',#7822,#7811,#254,.T.); +#9635=EDGE_CURVE('',#7822,#7850,#291,.T.); +#9637=EDGE_CURVE('',#7840,#7850,#985,.T.); +#9639=EDGE_CURVE('',#8433,#7840,#156,.T.); +#9641=EDGE_CURVE('',#7856,#8433,#1041,.T.); +#9644=EDGE_CURVE('',#7918,#7910,#160,.T.); +#9650=ADVANCED_FACE('',(#9649),#9627,.T.); +#9656=EDGE_CURVE('',#7130,#8429,#172,.T.); +#9658=EDGE_CURVE('',#8429,#8430,#1084,.T.); +#9664=ADVANCED_FACE('',(#9663),#9655,.T.); +#9670=EDGE_CURVE('',#8426,#7129,#219,.T.); +#9672=EDGE_CURVE('',#7794,#8426,#185,.T.); +#9674=EDGE_CURVE('',#7793,#7794,#189,.T.); +#9676=EDGE_CURVE('',#7803,#7793,#194,.T.); +#9678=EDGE_CURVE('',#7803,#7804,#303,.T.); +#9680=EDGE_CURVE('',#7808,#7804,#199,.T.); +#9682=EDGE_CURVE('',#7807,#7808,#203,.T.); +#9684=EDGE_CURVE('',#8429,#7807,#207,.T.); +#9690=ADVANCED_FACE('',(#9689),#9669,.T.); +#9697=EDGE_CURVE('',#8425,#8426,#229,.T.); +#9703=ADVANCED_FACE('',(#9702),#9695,.T.); +#9711=EDGE_CURVE('',#7800,#7797,#246,.T.); +#9713=EDGE_CURVE('',#7797,#7794,#233,.T.); +#9718=ADVANCED_FACE('',(#9717),#9708,.T.); +#9724=EDGE_CURVE('',#7817,#7818,#311,.T.); +#9726=EDGE_CURVE('',#7818,#7798,#238,.T.); +#9728=EDGE_CURVE('',#7797,#7798,#242,.T.); +#9734=ADVANCED_FACE('',(#9733),#9723,.F.); +#9740=EDGE_CURVE('',#7821,#7822,#295,.T.); +#9743=EDGE_CURVE('',#7811,#7812,#258,.T.); +#9745=EDGE_CURVE('',#7812,#7814,#262,.T.); +#9747=EDGE_CURVE('',#7814,#7821,#267,.T.); +#9751=ADVANCED_FACE('',(#9750),#9739,.F.); +#9757=EDGE_CURVE('',#7845,#7846,#271,.T.); +#9759=EDGE_CURVE('',#7846,#7854,#275,.T.); +#9761=EDGE_CURVE('',#7853,#7854,#279,.T.); +#9763=EDGE_CURVE('',#7849,#7853,#283,.T.); +#9765=EDGE_CURVE('',#7849,#7850,#287,.T.); +#9769=EDGE_CURVE('',#7821,#7804,#299,.T.); +#9772=EDGE_CURVE('',#7818,#7803,#307,.T.); +#9778=EDGE_CURVE('',#7227,#7228,#319,.T.); +#9780=EDGE_CURVE('',#7228,#7230,#323,.T.); +#9782=EDGE_CURVE('',#7230,#7232,#327,.T.); +#9784=EDGE_CURVE('',#7232,#7234,#331,.T.); +#9786=EDGE_CURVE('',#7234,#7236,#335,.T.); +#9788=EDGE_CURVE('',#7236,#7238,#339,.T.); +#9790=EDGE_CURVE('',#7238,#7227,#343,.T.); +#9794=EDGE_CURVE('',#7241,#7242,#347,.T.); +#9796=EDGE_CURVE('',#7242,#7244,#351,.T.); +#9798=EDGE_CURVE('',#7244,#7246,#355,.T.); +#9800=EDGE_CURVE('',#7246,#7248,#359,.T.); +#9802=EDGE_CURVE('',#7248,#7250,#363,.T.); +#9804=EDGE_CURVE('',#7250,#7252,#367,.T.); +#9806=EDGE_CURVE('',#7252,#7254,#371,.T.); +#9808=EDGE_CURVE('',#7254,#7256,#375,.T.); +#9810=EDGE_CURVE('',#7256,#7258,#379,.T.); +#9812=EDGE_CURVE('',#7258,#7260,#383,.T.); +#9814=EDGE_CURVE('',#7260,#7262,#387,.T.); +#9816=EDGE_CURVE('',#7262,#7264,#391,.T.); +#9818=EDGE_CURVE('',#7264,#7266,#395,.T.); +#9820=EDGE_CURVE('',#7266,#7268,#399,.T.); +#9822=EDGE_CURVE('',#7268,#7270,#403,.T.); +#9824=EDGE_CURVE('',#7270,#7272,#407,.T.); +#9826=EDGE_CURVE('',#7272,#7274,#411,.T.); +#9828=EDGE_CURVE('',#7274,#7276,#415,.T.); +#9830=EDGE_CURVE('',#7276,#7278,#419,.T.); +#9832=EDGE_CURVE('',#7278,#7280,#423,.T.); +#9834=EDGE_CURVE('',#7280,#7282,#427,.T.); +#9836=EDGE_CURVE('',#7282,#7284,#431,.T.); +#9838=EDGE_CURVE('',#7284,#7286,#435,.T.); +#9840=EDGE_CURVE('',#7286,#7288,#439,.T.); +#9842=EDGE_CURVE('',#7288,#7290,#443,.T.); +#9844=EDGE_CURVE('',#7290,#7292,#447,.T.); +#9846=EDGE_CURVE('',#7292,#7294,#451,.T.); +#9848=EDGE_CURVE('',#7294,#7241,#455,.T.); +#9852=EDGE_CURVE('',#8446,#8442,#1380,.T.); +#9854=EDGE_CURVE('',#8445,#8446,#459,.T.); +#9856=EDGE_CURVE('',#8441,#8445,#1404,.T.); +#9858=EDGE_CURVE('',#8441,#8442,#463,.T.); +#9862=EDGE_CURVE('',#8458,#8462,#1468,.T.); +#9864=EDGE_CURVE('',#8457,#8458,#467,.T.); +#9866=EDGE_CURVE('',#8461,#8457,#1484,.T.); +#9868=EDGE_CURVE('',#8461,#8462,#471,.T.); +#9872=EDGE_CURVE('',#8477,#8474,#1508,.T.); +#9874=EDGE_CURVE('',#8485,#8477,#475,.T.); +#9876=EDGE_CURVE('',#8482,#8485,#1528,.T.); +#9878=EDGE_CURVE('',#8482,#8474,#479,.T.); +#9882=EDGE_CURVE('',#8489,#8494,#1584,.T.); +#9884=EDGE_CURVE('',#8497,#8489,#483,.T.); +#9886=EDGE_CURVE('',#8502,#8497,#1604,.T.); +#9888=EDGE_CURVE('',#8502,#8494,#487,.T.); +#9892=EDGE_CURVE('',#8509,#8506,#1636,.T.); +#9894=EDGE_CURVE('',#8517,#8509,#491,.T.); +#9896=EDGE_CURVE('',#8514,#8517,#1656,.T.); +#9898=EDGE_CURVE('',#8514,#8506,#495,.T.); +#9902=EDGE_CURVE('',#8521,#8526,#1712,.T.); +#9904=EDGE_CURVE('',#8529,#8521,#499,.T.); +#9906=EDGE_CURVE('',#8534,#8529,#1732,.T.); +#9908=EDGE_CURVE('',#8534,#8526,#503,.T.); +#9912=EDGE_CURVE('',#8541,#8538,#1764,.T.); +#9914=EDGE_CURVE('',#8549,#8541,#507,.T.); +#9916=EDGE_CURVE('',#8546,#8549,#1784,.T.); +#9918=EDGE_CURVE('',#8546,#8538,#511,.T.); +#9922=EDGE_CURVE('',#8553,#8558,#1840,.T.); +#9924=EDGE_CURVE('',#8561,#8553,#515,.T.); +#9926=EDGE_CURVE('',#8566,#8561,#1860,.T.); +#9928=EDGE_CURVE('',#8566,#8558,#519,.T.); +#9932=EDGE_CURVE('',#8573,#8570,#1892,.T.); +#9934=EDGE_CURVE('',#8581,#8573,#523,.T.); +#9936=EDGE_CURVE('',#8578,#8581,#1912,.T.); +#9938=EDGE_CURVE('',#8578,#8570,#527,.T.); +#9942=EDGE_CURVE('',#8585,#8590,#1968,.T.); +#9944=EDGE_CURVE('',#8593,#8585,#531,.T.); +#9946=EDGE_CURVE('',#8598,#8593,#1988,.T.); +#9948=EDGE_CURVE('',#8598,#8590,#535,.T.); +#9952=EDGE_CURVE('',#8605,#8602,#2020,.T.); +#9954=EDGE_CURVE('',#8613,#8605,#539,.T.); +#9956=EDGE_CURVE('',#8610,#8613,#2040,.T.); +#9958=EDGE_CURVE('',#8610,#8602,#543,.T.); +#9962=EDGE_CURVE('',#8617,#8622,#2096,.T.); +#9964=EDGE_CURVE('',#8625,#8617,#547,.T.); +#9966=EDGE_CURVE('',#8630,#8625,#2116,.T.); +#9968=EDGE_CURVE('',#8630,#8622,#551,.T.); +#9972=EDGE_CURVE('',#8637,#8634,#2148,.T.); +#9974=EDGE_CURVE('',#8645,#8637,#555,.T.); +#9976=EDGE_CURVE('',#8642,#8645,#2168,.T.); +#9978=EDGE_CURVE('',#8642,#8634,#559,.T.); +#9982=EDGE_CURVE('',#8649,#8654,#2224,.T.); +#9984=EDGE_CURVE('',#8657,#8649,#563,.T.); +#9986=EDGE_CURVE('',#8662,#8657,#2244,.T.); +#9988=EDGE_CURVE('',#8662,#8654,#567,.T.); +#9992=EDGE_CURVE('',#8669,#8666,#2276,.T.); +#9994=EDGE_CURVE('',#8677,#8669,#571,.T.); +#9996=EDGE_CURVE('',#8674,#8677,#2296,.T.); +#9998=EDGE_CURVE('',#8674,#8666,#575,.T.); +#10002=EDGE_CURVE('',#8681,#8686,#2352,.T.); +#10004=EDGE_CURVE('',#8689,#8681,#579,.T.); +#10006=EDGE_CURVE('',#8694,#8689,#2372,.T.); +#10008=EDGE_CURVE('',#8694,#8686,#583,.T.); +#10012=EDGE_CURVE('',#8701,#8698,#2404,.T.); +#10014=EDGE_CURVE('',#8709,#8701,#587,.T.); +#10016=EDGE_CURVE('',#8706,#8709,#2424,.T.); +#10018=EDGE_CURVE('',#8706,#8698,#591,.T.); +#10022=EDGE_CURVE('',#8713,#8718,#2480,.T.); +#10024=EDGE_CURVE('',#8721,#8713,#595,.T.); +#10026=EDGE_CURVE('',#8726,#8721,#2500,.T.); +#10028=EDGE_CURVE('',#8726,#8718,#599,.T.); +#10032=EDGE_CURVE('',#8733,#8730,#2532,.T.); +#10034=EDGE_CURVE('',#8741,#8733,#603,.T.); +#10036=EDGE_CURVE('',#8738,#8741,#2552,.T.); +#10038=EDGE_CURVE('',#8738,#8730,#607,.T.); +#10042=EDGE_CURVE('',#8745,#8750,#2608,.T.); +#10044=EDGE_CURVE('',#8753,#8745,#611,.T.); +#10046=EDGE_CURVE('',#8758,#8753,#2628,.T.); +#10048=EDGE_CURVE('',#8758,#8750,#615,.T.); +#10052=EDGE_CURVE('',#8765,#8762,#2660,.T.); +#10054=EDGE_CURVE('',#8773,#8765,#619,.T.); +#10056=EDGE_CURVE('',#8770,#8773,#2680,.T.); +#10058=EDGE_CURVE('',#8770,#8762,#623,.T.); +#10062=EDGE_CURVE('',#8777,#8782,#2736,.T.); +#10064=EDGE_CURVE('',#8785,#8777,#627,.T.); +#10066=EDGE_CURVE('',#8790,#8785,#2756,.T.); +#10068=EDGE_CURVE('',#8790,#8782,#631,.T.); +#10072=EDGE_CURVE('',#8797,#8794,#2788,.T.); +#10074=EDGE_CURVE('',#8805,#8797,#635,.T.); +#10076=EDGE_CURVE('',#8802,#8805,#2808,.T.); +#10078=EDGE_CURVE('',#8802,#8794,#639,.T.); +#10082=EDGE_CURVE('',#8809,#8814,#2864,.T.); +#10084=EDGE_CURVE('',#8817,#8809,#643,.T.); +#10086=EDGE_CURVE('',#8822,#8817,#2884,.T.); +#10088=EDGE_CURVE('',#8822,#8814,#647,.T.); +#10092=EDGE_CURVE('',#8829,#8826,#2916,.T.); +#10094=EDGE_CURVE('',#8837,#8829,#651,.T.); +#10096=EDGE_CURVE('',#8834,#8837,#2936,.T.); +#10098=EDGE_CURVE('',#8834,#8826,#655,.T.); +#10102=EDGE_CURVE('',#8841,#8846,#2992,.T.); +#10104=EDGE_CURVE('',#8849,#8841,#659,.T.); +#10106=EDGE_CURVE('',#8854,#8849,#3012,.T.); +#10108=EDGE_CURVE('',#8854,#8846,#663,.T.); +#10112=EDGE_CURVE('',#8861,#8858,#3044,.T.); +#10114=EDGE_CURVE('',#8869,#8861,#667,.T.); +#10116=EDGE_CURVE('',#8866,#8869,#3064,.T.); +#10118=EDGE_CURVE('',#8866,#8858,#671,.T.); +#10122=EDGE_CURVE('',#8873,#8878,#3120,.T.); +#10124=EDGE_CURVE('',#8881,#8873,#675,.T.); +#10126=EDGE_CURVE('',#8886,#8881,#3140,.T.); +#10128=EDGE_CURVE('',#8886,#8878,#679,.T.); +#10132=EDGE_CURVE('',#8893,#8890,#3172,.T.); +#10134=EDGE_CURVE('',#8901,#8893,#683,.T.); +#10136=EDGE_CURVE('',#8898,#8901,#3192,.T.); +#10138=EDGE_CURVE('',#8898,#8890,#687,.T.); +#10142=EDGE_CURVE('',#8905,#8910,#3248,.T.); +#10144=EDGE_CURVE('',#8913,#8905,#691,.T.); +#10146=EDGE_CURVE('',#8918,#8913,#3268,.T.); +#10148=EDGE_CURVE('',#8918,#8910,#695,.T.); +#10152=EDGE_CURVE('',#8925,#8922,#3300,.T.); +#10154=EDGE_CURVE('',#8933,#8925,#699,.T.); +#10156=EDGE_CURVE('',#8930,#8933,#3320,.T.); +#10158=EDGE_CURVE('',#8930,#8922,#703,.T.); +#10162=EDGE_CURVE('',#8937,#8942,#3376,.T.); +#10164=EDGE_CURVE('',#8945,#8937,#707,.T.); +#10166=EDGE_CURVE('',#8950,#8945,#3396,.T.); +#10168=EDGE_CURVE('',#8950,#8942,#711,.T.); +#10172=EDGE_CURVE('',#8957,#8954,#3428,.T.); +#10174=EDGE_CURVE('',#8965,#8957,#715,.T.); +#10176=EDGE_CURVE('',#8962,#8965,#3448,.T.); +#10178=EDGE_CURVE('',#8962,#8954,#719,.T.); +#10182=EDGE_CURVE('',#8969,#8974,#3504,.T.); +#10184=EDGE_CURVE('',#8977,#8969,#723,.T.); +#10186=EDGE_CURVE('',#8982,#8977,#3524,.T.); +#10188=EDGE_CURVE('',#8982,#8974,#727,.T.); +#10192=EDGE_CURVE('',#8989,#8986,#3556,.T.); +#10194=EDGE_CURVE('',#8997,#8989,#731,.T.); +#10196=EDGE_CURVE('',#8994,#8997,#3576,.T.); +#10198=EDGE_CURVE('',#8994,#8986,#735,.T.); +#10202=EDGE_CURVE('',#9001,#9006,#3632,.T.); +#10204=EDGE_CURVE('',#9009,#9001,#739,.T.); +#10206=EDGE_CURVE('',#9014,#9009,#3652,.T.); +#10208=EDGE_CURVE('',#9014,#9006,#743,.T.); +#10212=EDGE_CURVE('',#9021,#9018,#3684,.T.); +#10214=EDGE_CURVE('',#9029,#9021,#747,.T.); +#10216=EDGE_CURVE('',#9026,#9029,#3704,.T.); +#10218=EDGE_CURVE('',#9026,#9018,#751,.T.); +#10222=EDGE_CURVE('',#9033,#9038,#3760,.T.); +#10224=EDGE_CURVE('',#9041,#9033,#755,.T.); +#10226=EDGE_CURVE('',#9046,#9041,#3780,.T.); +#10228=EDGE_CURVE('',#9046,#9038,#759,.T.); +#10232=EDGE_CURVE('',#9053,#9050,#3812,.T.); +#10234=EDGE_CURVE('',#9061,#9053,#763,.T.); +#10236=EDGE_CURVE('',#9058,#9061,#3832,.T.); +#10238=EDGE_CURVE('',#9058,#9050,#767,.T.); +#10242=EDGE_CURVE('',#9065,#9070,#3888,.T.); +#10244=EDGE_CURVE('',#9073,#9065,#771,.T.); +#10246=EDGE_CURVE('',#9078,#9073,#3908,.T.); +#10248=EDGE_CURVE('',#9078,#9070,#775,.T.); +#10252=EDGE_CURVE('',#9085,#9082,#3940,.T.); +#10254=EDGE_CURVE('',#9093,#9085,#779,.T.); +#10256=EDGE_CURVE('',#9090,#9093,#3960,.T.); +#10258=EDGE_CURVE('',#9090,#9082,#783,.T.); +#10262=EDGE_CURVE('',#9097,#9102,#4016,.T.); +#10264=EDGE_CURVE('',#9105,#9097,#787,.T.); +#10266=EDGE_CURVE('',#9110,#9105,#4036,.T.); +#10268=EDGE_CURVE('',#9110,#9102,#791,.T.); +#10272=EDGE_CURVE('',#9117,#9114,#4068,.T.); +#10274=EDGE_CURVE('',#9125,#9117,#795,.T.); +#10276=EDGE_CURVE('',#9122,#9125,#4088,.T.); +#10278=EDGE_CURVE('',#9122,#9114,#799,.T.); +#10282=EDGE_CURVE('',#9129,#9134,#4144,.T.); +#10284=EDGE_CURVE('',#9137,#9129,#803,.T.); +#10286=EDGE_CURVE('',#9142,#9137,#4164,.T.); +#10288=EDGE_CURVE('',#9142,#9134,#807,.T.); +#10292=EDGE_CURVE('',#9149,#9146,#4196,.T.); +#10294=EDGE_CURVE('',#9157,#9149,#811,.T.); +#10296=EDGE_CURVE('',#9154,#9157,#4216,.T.); +#10298=EDGE_CURVE('',#9154,#9146,#815,.T.); +#10302=EDGE_CURVE('',#9161,#9166,#4272,.T.); +#10304=EDGE_CURVE('',#9169,#9161,#819,.T.); +#10306=EDGE_CURVE('',#9174,#9169,#4292,.T.); +#10308=EDGE_CURVE('',#9174,#9166,#823,.T.); +#10312=EDGE_CURVE('',#9181,#9178,#4324,.T.); +#10314=EDGE_CURVE('',#9189,#9181,#827,.T.); +#10316=EDGE_CURVE('',#9186,#9189,#4344,.T.); +#10318=EDGE_CURVE('',#9186,#9178,#831,.T.); +#10322=EDGE_CURVE('',#9193,#9198,#4400,.T.); +#10324=EDGE_CURVE('',#9201,#9193,#835,.T.); +#10326=EDGE_CURVE('',#9206,#9201,#4420,.T.); +#10328=EDGE_CURVE('',#9206,#9198,#839,.T.); +#10332=EDGE_CURVE('',#9213,#9210,#4452,.T.); +#10334=EDGE_CURVE('',#9221,#9213,#843,.T.); +#10336=EDGE_CURVE('',#9218,#9221,#4472,.T.); +#10338=EDGE_CURVE('',#9218,#9210,#847,.T.); +#10342=EDGE_CURVE('',#9225,#9230,#4528,.T.); +#10344=EDGE_CURVE('',#9233,#9225,#851,.T.); +#10346=EDGE_CURVE('',#9238,#9233,#4548,.T.); +#10348=EDGE_CURVE('',#9238,#9230,#855,.T.); +#10352=EDGE_CURVE('',#9245,#9242,#4580,.T.); +#10354=EDGE_CURVE('',#9253,#9245,#859,.T.); +#10356=EDGE_CURVE('',#9250,#9253,#4600,.T.); +#10358=EDGE_CURVE('',#9250,#9242,#863,.T.); +#10362=EDGE_CURVE('',#9257,#9262,#4656,.T.); +#10364=EDGE_CURVE('',#9265,#9257,#867,.T.); +#10366=EDGE_CURVE('',#9270,#9265,#4676,.T.); +#10368=EDGE_CURVE('',#9270,#9262,#871,.T.); +#10372=EDGE_CURVE('',#9277,#9274,#4708,.T.); +#10374=EDGE_CURVE('',#9285,#9277,#875,.T.); +#10376=EDGE_CURVE('',#9282,#9285,#4728,.T.); +#10378=EDGE_CURVE('',#9282,#9274,#879,.T.); +#10382=EDGE_CURVE('',#9289,#9294,#4784,.T.); +#10384=EDGE_CURVE('',#9297,#9289,#883,.T.); +#10386=EDGE_CURVE('',#9302,#9297,#4804,.T.); +#10388=EDGE_CURVE('',#9302,#9294,#887,.T.); +#10392=EDGE_CURVE('',#9309,#9306,#4836,.T.); +#10394=EDGE_CURVE('',#9317,#9309,#891,.T.); +#10396=EDGE_CURVE('',#9314,#9317,#4856,.T.); +#10398=EDGE_CURVE('',#9314,#9306,#895,.T.); +#10402=EDGE_CURVE('',#9321,#9326,#4912,.T.); +#10404=EDGE_CURVE('',#9329,#9321,#899,.T.); +#10406=EDGE_CURVE('',#9334,#9329,#4932,.T.); +#10408=EDGE_CURVE('',#9334,#9326,#903,.T.); +#10412=EDGE_CURVE('',#9341,#9338,#4964,.T.); +#10414=EDGE_CURVE('',#9349,#9341,#907,.T.); +#10416=EDGE_CURVE('',#9346,#9349,#4984,.T.); +#10418=EDGE_CURVE('',#9346,#9338,#911,.T.); +#10422=EDGE_CURVE('',#9353,#9358,#5040,.T.); +#10424=EDGE_CURVE('',#9361,#9353,#915,.T.); +#10426=EDGE_CURVE('',#9366,#9361,#5060,.T.); +#10428=EDGE_CURVE('',#9366,#9358,#919,.T.); +#10432=EDGE_CURVE('',#9373,#9370,#5092,.T.); +#10434=EDGE_CURVE('',#9381,#9373,#923,.T.); +#10436=EDGE_CURVE('',#9378,#9381,#5112,.T.); +#10438=EDGE_CURVE('',#9378,#9370,#927,.T.); +#10442=EDGE_CURVE('',#9385,#9390,#5168,.T.); +#10444=EDGE_CURVE('',#9393,#9385,#931,.T.); +#10446=EDGE_CURVE('',#9398,#9393,#5188,.T.); +#10448=EDGE_CURVE('',#9398,#9390,#935,.T.); +#10452=EDGE_CURVE('',#9405,#9402,#5220,.T.); +#10454=EDGE_CURVE('',#9413,#9405,#939,.T.); +#10456=EDGE_CURVE('',#9410,#9413,#5240,.T.); +#10458=EDGE_CURVE('',#9410,#9402,#943,.T.); +#10462=EDGE_CURVE('',#9417,#9422,#5296,.T.); +#10464=EDGE_CURVE('',#9425,#9417,#947,.T.); +#10466=EDGE_CURVE('',#9430,#9425,#5316,.T.); +#10468=EDGE_CURVE('',#9430,#9422,#951,.T.); +#10472=ADVANCED_FACE('',(#9777,#9793,#9851,#9861,#9871,#9881,#9891,#9901,#9911, +#9921,#9931,#9941,#9951,#9961,#9971,#9981,#9991,#10001,#10011,#10021,#10031, +#10041,#10051,#10061,#10071,#10081,#10091,#10101,#10111,#10121,#10131,#10141, +#10151,#10161,#10171,#10181,#10191,#10201,#10211,#10221,#10231,#10241,#10251, +#10261,#10271,#10281,#10291,#10301,#10311,#10321,#10331,#10341,#10351,#10361, +#10371,#10381,#10391,#10401,#10411,#10421,#10431,#10441,#10451,#10461,#10471), +#9756,.T.); +#10480=EDGE_CURVE('',#7833,#7834,#959,.T.); +#10482=EDGE_CURVE('',#7834,#7836,#963,.T.); +#10484=EDGE_CURVE('',#7836,#7846,#968,.T.); +#10488=ADVANCED_FACE('',(#10487),#10477,.F.); +#10495=EDGE_CURVE('',#7849,#7842,#973,.T.); +#10497=EDGE_CURVE('',#7842,#7839,#977,.T.); +#10499=EDGE_CURVE('',#7839,#7840,#981,.T.); +#10504=ADVANCED_FACE('',(#10503),#10493,.F.); +#10510=EDGE_CURVE('',#8437,#8438,#990,.T.); +#10512=EDGE_CURVE('',#8437,#7825,#1033,.T.); +#10514=EDGE_CURVE('',#7825,#7834,#1071,.T.); +#10520=ADVANCED_FACE('',(#10519),#10509,.T.); +#10526=EDGE_CURVE('',#7125,#8437,#998,.T.); +#10533=ADVANCED_FACE('',(#10532),#10525,.T.); +#10539=EDGE_CURVE('',#8434,#7126,#1045,.T.); +#10541=EDGE_CURVE('',#7830,#8434,#1011,.T.); +#10543=EDGE_CURVE('',#7829,#7830,#1015,.T.); +#10545=EDGE_CURVE('',#7853,#7829,#1020,.T.); +#10548=EDGE_CURVE('',#7826,#7854,#1025,.T.); +#10550=EDGE_CURVE('',#7825,#7826,#1029,.T.); +#10557=ADVANCED_FACE('',(#10556),#10538,.T.); +#10564=EDGE_CURVE('',#8433,#8434,#1055,.T.); +#10570=ADVANCED_FACE('',(#10569),#10562,.T.); +#10579=EDGE_CURVE('',#7830,#7839,#1059,.T.); +#10584=ADVANCED_FACE('',(#10583),#10575,.T.); +#10593=EDGE_CURVE('',#7829,#7842,#1063,.T.); +#10597=ADVANCED_FACE('',(#10596),#10589,.T.); +#10609=ADVANCED_FACE('',(#10608),#10602,.F.); +#10617=EDGE_CURVE('',#7826,#7836,#1067,.T.); +#10622=ADVANCED_FACE('',(#10621),#10614,.F.); +#10634=ADVANCED_FACE('',(#10633),#10627,.T.); +#10642=EDGE_CURVE('',#7808,#7814,#1075,.T.); +#10647=ADVANCED_FACE('',(#10646),#10639,.F.); +#10656=EDGE_CURVE('',#7807,#7812,#1079,.T.); +#10660=ADVANCED_FACE('',(#10659),#10652,.T.); +#10673=ADVANCED_FACE('',(#10672),#10665,.T.); +#10681=EDGE_CURVE('',#7793,#7798,#1092,.T.); +#10686=ADVANCED_FACE('',(#10685),#10678,.F.); +#10698=ADVANCED_FACE('',(#10697),#10691,.T.); +#10705=EDGE_CURVE('',#7227,#7157,#1096,.T.); +#10707=EDGE_CURVE('',#7157,#7158,#1124,.T.); +#10709=EDGE_CURVE('',#7228,#7158,#1120,.T.); +#10713=ADVANCED_FACE('',(#10712),#10703,.T.); +#10720=EDGE_CURVE('',#7238,#7168,#1100,.T.); +#10722=EDGE_CURVE('',#7168,#7157,#1128,.T.); +#10727=ADVANCED_FACE('',(#10726),#10718,.T.); +#10734=EDGE_CURVE('',#7236,#7166,#1104,.T.); +#10736=EDGE_CURVE('',#7166,#7168,#1132,.T.); +#10741=ADVANCED_FACE('',(#10740),#10732,.T.); +#10748=EDGE_CURVE('',#7234,#7164,#1108,.T.); +#10750=EDGE_CURVE('',#7164,#7166,#1136,.T.); +#10755=ADVANCED_FACE('',(#10754),#10746,.T.); +#10762=EDGE_CURVE('',#7232,#7162,#1112,.T.); +#10764=EDGE_CURVE('',#7162,#7164,#1140,.T.); +#10769=ADVANCED_FACE('',(#10768),#10760,.T.); +#10776=EDGE_CURVE('',#7230,#7160,#1116,.T.); +#10778=EDGE_CURVE('',#7160,#7162,#1144,.T.); +#10783=ADVANCED_FACE('',(#10782),#10774,.T.); +#10791=EDGE_CURVE('',#7158,#7160,#1148,.T.); +#10796=ADVANCED_FACE('',(#10795),#10788,.T.); +#10811=ADVANCED_FACE('',(#10810),#10801,.T.); +#10817=EDGE_CURVE('',#7171,#7172,#1152,.T.); +#10819=EDGE_CURVE('',#7224,#7171,#1156,.T.); +#10821=EDGE_CURVE('',#7222,#7224,#1160,.T.); +#10823=EDGE_CURVE('',#7220,#7222,#1164,.T.); +#10825=EDGE_CURVE('',#7218,#7220,#1168,.T.); +#10827=EDGE_CURVE('',#7216,#7218,#1172,.T.); +#10829=EDGE_CURVE('',#7214,#7216,#1176,.T.); +#10831=EDGE_CURVE('',#7212,#7214,#1180,.T.); +#10833=EDGE_CURVE('',#7210,#7212,#1184,.T.); +#10835=EDGE_CURVE('',#7208,#7210,#1188,.T.); +#10837=EDGE_CURVE('',#7206,#7208,#1192,.T.); +#10839=EDGE_CURVE('',#7204,#7206,#1196,.T.); +#10841=EDGE_CURVE('',#7202,#7204,#1200,.T.); +#10843=EDGE_CURVE('',#7200,#7202,#1204,.T.); +#10845=EDGE_CURVE('',#7198,#7200,#1208,.T.); +#10847=EDGE_CURVE('',#7196,#7198,#1212,.T.); +#10849=EDGE_CURVE('',#7194,#7196,#1216,.T.); +#10851=EDGE_CURVE('',#7192,#7194,#1220,.T.); +#10853=EDGE_CURVE('',#7190,#7192,#1224,.T.); +#10855=EDGE_CURVE('',#7188,#7190,#1228,.T.); +#10857=EDGE_CURVE('',#7186,#7188,#1232,.T.); +#10859=EDGE_CURVE('',#7184,#7186,#1236,.T.); +#10861=EDGE_CURVE('',#7182,#7184,#1240,.T.); +#10863=EDGE_CURVE('',#7180,#7182,#1244,.T.); +#10865=EDGE_CURVE('',#7178,#7180,#1248,.T.); +#10867=EDGE_CURVE('',#7176,#7178,#1252,.T.); +#10869=EDGE_CURVE('',#7174,#7176,#1256,.T.); +#10871=EDGE_CURVE('',#7172,#7174,#1260,.T.); +#10875=ADVANCED_FACE('',(#10874),#10816,.T.); +#10882=EDGE_CURVE('',#7241,#7171,#1264,.T.); +#10885=EDGE_CURVE('',#7242,#7172,#1372,.T.); +#10889=ADVANCED_FACE('',(#10888),#10880,.T.); +#10896=EDGE_CURVE('',#7294,#7224,#1268,.T.); +#10902=ADVANCED_FACE('',(#10901),#10894,.T.); +#10909=EDGE_CURVE('',#7292,#7222,#1272,.T.); +#10915=ADVANCED_FACE('',(#10914),#10907,.T.); +#10922=EDGE_CURVE('',#7290,#7220,#1276,.T.); +#10928=ADVANCED_FACE('',(#10927),#10920,.T.); +#10935=EDGE_CURVE('',#7288,#7218,#1280,.T.); +#10941=ADVANCED_FACE('',(#10940),#10933,.T.); +#10948=EDGE_CURVE('',#7286,#7216,#1284,.T.); +#10954=ADVANCED_FACE('',(#10953),#10946,.T.); +#10961=EDGE_CURVE('',#7284,#7214,#1288,.T.); +#10967=ADVANCED_FACE('',(#10966),#10959,.T.); +#10974=EDGE_CURVE('',#7282,#7212,#1292,.T.); +#10980=ADVANCED_FACE('',(#10979),#10972,.T.); +#10987=EDGE_CURVE('',#7280,#7210,#1296,.T.); +#10993=ADVANCED_FACE('',(#10992),#10985,.T.); +#11000=EDGE_CURVE('',#7278,#7208,#1300,.T.); +#11006=ADVANCED_FACE('',(#11005),#10998,.T.); +#11013=EDGE_CURVE('',#7276,#7206,#1304,.T.); +#11019=ADVANCED_FACE('',(#11018),#11011,.T.); +#11026=EDGE_CURVE('',#7274,#7204,#1308,.T.); +#11032=ADVANCED_FACE('',(#11031),#11024,.T.); +#11039=EDGE_CURVE('',#7272,#7202,#1312,.T.); +#11045=ADVANCED_FACE('',(#11044),#11037,.T.); +#11052=EDGE_CURVE('',#7270,#7200,#1316,.T.); +#11058=ADVANCED_FACE('',(#11057),#11050,.T.); +#11065=EDGE_CURVE('',#7268,#7198,#1320,.T.); +#11071=ADVANCED_FACE('',(#11070),#11063,.T.); +#11078=EDGE_CURVE('',#7266,#7196,#1324,.T.); +#11084=ADVANCED_FACE('',(#11083),#11076,.T.); +#11091=EDGE_CURVE('',#7264,#7194,#1328,.T.); +#11097=ADVANCED_FACE('',(#11096),#11089,.T.); +#11104=EDGE_CURVE('',#7262,#7192,#1332,.T.); +#11110=ADVANCED_FACE('',(#11109),#11102,.T.); +#11117=EDGE_CURVE('',#7260,#7190,#1336,.T.); +#11123=ADVANCED_FACE('',(#11122),#11115,.T.); +#11130=EDGE_CURVE('',#7258,#7188,#1340,.T.); +#11136=ADVANCED_FACE('',(#11135),#11128,.T.); +#11143=EDGE_CURVE('',#7256,#7186,#1344,.T.); +#11149=ADVANCED_FACE('',(#11148),#11141,.T.); +#11156=EDGE_CURVE('',#7254,#7184,#1348,.T.); +#11162=ADVANCED_FACE('',(#11161),#11154,.T.); +#11169=EDGE_CURVE('',#7252,#7182,#1352,.T.); +#11175=ADVANCED_FACE('',(#11174),#11167,.T.); +#11182=EDGE_CURVE('',#7250,#7180,#1356,.T.); +#11188=ADVANCED_FACE('',(#11187),#11180,.T.); +#11195=EDGE_CURVE('',#7248,#7178,#1360,.T.); +#11201=ADVANCED_FACE('',(#11200),#11193,.T.); +#11208=EDGE_CURVE('',#7246,#7176,#1364,.T.); +#11214=ADVANCED_FACE('',(#11213),#11206,.T.); +#11221=EDGE_CURVE('',#7244,#7174,#1368,.T.); +#11227=ADVANCED_FACE('',(#11226),#11219,.T.); +#11239=ADVANCED_FACE('',(#11238),#11232,.T.); +#11245=EDGE_CURVE('',#8449,#7402,#1396,.T.); +#11247=EDGE_CURVE('',#8446,#8449,#1376,.T.); +#11250=EDGE_CURVE('',#8454,#8442,#1384,.T.); +#11252=EDGE_CURVE('',#8454,#7401,#1388,.T.); +#11254=EDGE_CURVE('',#7401,#7402,#1440,.T.); +#11258=ADVANCED_FACE('',(#11257),#11244,.T.); +#11264=EDGE_CURVE('',#8449,#8450,#1392,.T.); +#11267=EDGE_CURVE('',#7402,#7404,#1452,.T.); +#11269=EDGE_CURVE('',#8450,#7404,#1412,.T.); +#11273=ADVANCED_FACE('',(#11272),#11263,.T.); +#11282=EDGE_CURVE('',#8445,#8450,#1408,.T.); +#11286=ADVANCED_FACE('',(#11285),#11278,.T.); +#11292=EDGE_CURVE('',#8453,#7406,#1420,.T.); +#11294=EDGE_CURVE('',#8453,#8441,#1400,.T.); +#11299=EDGE_CURVE('',#7404,#7406,#1448,.T.); +#11303=ADVANCED_FACE('',(#11302),#11291,.T.); +#11309=EDGE_CURVE('',#8453,#8454,#1416,.T.); +#11312=EDGE_CURVE('',#7406,#7401,#1444,.T.); +#11317=ADVANCED_FACE('',(#11316),#11308,.T.); +#11329=ADVANCED_FACE('',(#11328),#11322,.T.); +#11335=EDGE_CURVE('',#7393,#7394,#1424,.T.); +#11337=EDGE_CURVE('',#7398,#7393,#1428,.T.); +#11339=EDGE_CURVE('',#7396,#7398,#1432,.T.); +#11341=EDGE_CURVE('',#7394,#7396,#1436,.T.); +#11345=ADVANCED_FACE('',(#11344),#11334,.T.); +#11357=ADVANCED_FACE('',(#11356),#11350,.T.); +#11363=EDGE_CURVE('',#8465,#8466,#1456,.T.); +#11365=EDGE_CURVE('',#8465,#7393,#1460,.T.); +#11368=EDGE_CURVE('',#8466,#7394,#1492,.T.); +#11372=ADVANCED_FACE('',(#11371),#11362,.T.); +#11379=EDGE_CURVE('',#8458,#8465,#1464,.T.); +#11382=EDGE_CURVE('',#8457,#8466,#1488,.T.); +#11386=ADVANCED_FACE('',(#11385),#11377,.T.); +#11395=EDGE_CURVE('',#8470,#8462,#1472,.T.); +#11397=EDGE_CURVE('',#8470,#7398,#1476,.T.); +#11402=ADVANCED_FACE('',(#11401),#11391,.T.); +#11409=EDGE_CURVE('',#8469,#8461,#1480,.T.); +#11411=EDGE_CURVE('',#8469,#8470,#1496,.T.); +#11416=ADVANCED_FACE('',(#11415),#11407,.T.); +#11422=EDGE_CURVE('',#8469,#7396,#1500,.T.); +#11431=ADVANCED_FACE('',(#11430),#11421,.T.); +#11443=ADVANCED_FACE('',(#11442),#11436,.T.); +#11449=EDGE_CURVE('',#8478,#7418,#1524,.T.); +#11451=EDGE_CURVE('',#8477,#8478,#1504,.T.); +#11454=EDGE_CURVE('',#8473,#8474,#1512,.T.); +#11456=EDGE_CURVE('',#8473,#7417,#1516,.T.); +#11458=EDGE_CURVE('',#7417,#7418,#1560,.T.); +#11462=ADVANCED_FACE('',(#11461),#11448,.T.); +#11468=EDGE_CURVE('',#8478,#8486,#1520,.T.); +#11471=EDGE_CURVE('',#7418,#7420,#1572,.T.); +#11473=EDGE_CURVE('',#8486,#7420,#1536,.T.); +#11477=ADVANCED_FACE('',(#11476),#11467,.T.); +#11485=EDGE_CURVE('',#8485,#8486,#1532,.T.); +#11490=ADVANCED_FACE('',(#11489),#11482,.T.); +#11499=EDGE_CURVE('',#7420,#7422,#1568,.T.); +#11501=EDGE_CURVE('',#8481,#7422,#1628,.T.); +#11503=EDGE_CURVE('',#8481,#8482,#1540,.T.); +#11507=ADVANCED_FACE('',(#11506),#11495,.T.); +#11513=EDGE_CURVE('',#7409,#7410,#1544,.T.); +#11515=EDGE_CURVE('',#7414,#7409,#1548,.T.); +#11517=EDGE_CURVE('',#7412,#7414,#1552,.T.); +#11519=EDGE_CURVE('',#7410,#7412,#1556,.T.); +#11523=ADVANCED_FACE('',(#11522),#11512,.T.); +#11530=EDGE_CURVE('',#7422,#7417,#1564,.T.); +#11536=ADVANCED_FACE('',(#11535),#11528,.T.); +#11542=EDGE_CURVE('',#8490,#8498,#1576,.T.); +#11544=EDGE_CURVE('',#8490,#7409,#1580,.T.); +#11547=EDGE_CURVE('',#8498,#7410,#1612,.T.); +#11551=ADVANCED_FACE('',(#11550),#11541,.T.); +#11557=EDGE_CURVE('',#8489,#8490,#1596,.T.); +#11560=EDGE_CURVE('',#8497,#8498,#1608,.T.); +#11565=ADVANCED_FACE('',(#11564),#11556,.T.); +#11572=EDGE_CURVE('',#8493,#8494,#1588,.T.); +#11574=EDGE_CURVE('',#8493,#7414,#1592,.T.); +#11581=ADVANCED_FACE('',(#11580),#11570,.T.); +#11589=EDGE_CURVE('',#8501,#8502,#1600,.T.); +#11591=EDGE_CURVE('',#8501,#8493,#1616,.T.); +#11595=ADVANCED_FACE('',(#11594),#11586,.T.); +#11601=EDGE_CURVE('',#8501,#7412,#1620,.T.); +#11610=ADVANCED_FACE('',(#11609),#11600,.T.); +#11622=ADVANCED_FACE('',(#11621),#11615,.T.); +#11628=EDGE_CURVE('',#8481,#8473,#1624,.T.); +#11635=ADVANCED_FACE('',(#11634),#11627,.T.); +#11647=ADVANCED_FACE('',(#11646),#11640,.T.); +#11653=EDGE_CURVE('',#8510,#7434,#1652,.T.); +#11655=EDGE_CURVE('',#8509,#8510,#1632,.T.); +#11658=EDGE_CURVE('',#8505,#8506,#1640,.T.); +#11660=EDGE_CURVE('',#8505,#7433,#1644,.T.); +#11662=EDGE_CURVE('',#7433,#7434,#1688,.T.); +#11666=ADVANCED_FACE('',(#11665),#11652,.T.); +#11672=EDGE_CURVE('',#8510,#8518,#1648,.T.); +#11675=EDGE_CURVE('',#7434,#7436,#1700,.T.); +#11677=EDGE_CURVE('',#8518,#7436,#1664,.T.); +#11681=ADVANCED_FACE('',(#11680),#11671,.T.); +#11689=EDGE_CURVE('',#8517,#8518,#1660,.T.); +#11694=ADVANCED_FACE('',(#11693),#11686,.T.); +#11703=EDGE_CURVE('',#7436,#7438,#1696,.T.); +#11705=EDGE_CURVE('',#8513,#7438,#1756,.T.); +#11707=EDGE_CURVE('',#8513,#8514,#1668,.T.); +#11711=ADVANCED_FACE('',(#11710),#11699,.T.); +#11717=EDGE_CURVE('',#7425,#7426,#1672,.T.); +#11719=EDGE_CURVE('',#7430,#7425,#1676,.T.); +#11721=EDGE_CURVE('',#7428,#7430,#1680,.T.); +#11723=EDGE_CURVE('',#7426,#7428,#1684,.T.); +#11727=ADVANCED_FACE('',(#11726),#11716,.T.); +#11734=EDGE_CURVE('',#7438,#7433,#1692,.T.); +#11740=ADVANCED_FACE('',(#11739),#11732,.T.); +#11746=EDGE_CURVE('',#8522,#8530,#1704,.T.); +#11748=EDGE_CURVE('',#8522,#7425,#1708,.T.); +#11751=EDGE_CURVE('',#8530,#7426,#1740,.T.); +#11755=ADVANCED_FACE('',(#11754),#11745,.T.); +#11761=EDGE_CURVE('',#8521,#8522,#1724,.T.); +#11764=EDGE_CURVE('',#8529,#8530,#1736,.T.); +#11769=ADVANCED_FACE('',(#11768),#11760,.T.); +#11776=EDGE_CURVE('',#8525,#8526,#1716,.T.); +#11778=EDGE_CURVE('',#8525,#7430,#1720,.T.); +#11785=ADVANCED_FACE('',(#11784),#11774,.T.); +#11793=EDGE_CURVE('',#8533,#8534,#1728,.T.); +#11795=EDGE_CURVE('',#8533,#8525,#1744,.T.); +#11799=ADVANCED_FACE('',(#11798),#11790,.T.); +#11805=EDGE_CURVE('',#8533,#7428,#1748,.T.); +#11814=ADVANCED_FACE('',(#11813),#11804,.T.); +#11826=ADVANCED_FACE('',(#11825),#11819,.T.); +#11832=EDGE_CURVE('',#8513,#8505,#1752,.T.); +#11839=ADVANCED_FACE('',(#11838),#11831,.T.); +#11851=ADVANCED_FACE('',(#11850),#11844,.T.); +#11857=EDGE_CURVE('',#8542,#7450,#1780,.T.); +#11859=EDGE_CURVE('',#8541,#8542,#1760,.T.); +#11862=EDGE_CURVE('',#8537,#8538,#1768,.T.); +#11864=EDGE_CURVE('',#8537,#7449,#1772,.T.); +#11866=EDGE_CURVE('',#7449,#7450,#1816,.T.); +#11870=ADVANCED_FACE('',(#11869),#11856,.T.); +#11876=EDGE_CURVE('',#8542,#8550,#1776,.T.); +#11879=EDGE_CURVE('',#7450,#7452,#1828,.T.); +#11881=EDGE_CURVE('',#8550,#7452,#1792,.T.); +#11885=ADVANCED_FACE('',(#11884),#11875,.T.); +#11893=EDGE_CURVE('',#8549,#8550,#1788,.T.); +#11898=ADVANCED_FACE('',(#11897),#11890,.T.); +#11907=EDGE_CURVE('',#7452,#7454,#1824,.T.); +#11909=EDGE_CURVE('',#8545,#7454,#1884,.T.); +#11911=EDGE_CURVE('',#8545,#8546,#1796,.T.); +#11915=ADVANCED_FACE('',(#11914),#11903,.T.); +#11921=EDGE_CURVE('',#7441,#7442,#1800,.T.); +#11923=EDGE_CURVE('',#7446,#7441,#1804,.T.); +#11925=EDGE_CURVE('',#7444,#7446,#1808,.T.); +#11927=EDGE_CURVE('',#7442,#7444,#1812,.T.); +#11931=ADVANCED_FACE('',(#11930),#11920,.T.); +#11938=EDGE_CURVE('',#7454,#7449,#1820,.T.); +#11944=ADVANCED_FACE('',(#11943),#11936,.T.); +#11950=EDGE_CURVE('',#8554,#8562,#1832,.T.); +#11952=EDGE_CURVE('',#8554,#7441,#1836,.T.); +#11955=EDGE_CURVE('',#8562,#7442,#1868,.T.); +#11959=ADVANCED_FACE('',(#11958),#11949,.T.); +#11965=EDGE_CURVE('',#8553,#8554,#1852,.T.); +#11968=EDGE_CURVE('',#8561,#8562,#1864,.T.); +#11973=ADVANCED_FACE('',(#11972),#11964,.T.); +#11980=EDGE_CURVE('',#8557,#8558,#1844,.T.); +#11982=EDGE_CURVE('',#8557,#7446,#1848,.T.); +#11989=ADVANCED_FACE('',(#11988),#11978,.T.); +#11997=EDGE_CURVE('',#8565,#8566,#1856,.T.); +#11999=EDGE_CURVE('',#8565,#8557,#1872,.T.); +#12003=ADVANCED_FACE('',(#12002),#11994,.T.); +#12009=EDGE_CURVE('',#8565,#7444,#1876,.T.); +#12018=ADVANCED_FACE('',(#12017),#12008,.T.); +#12030=ADVANCED_FACE('',(#12029),#12023,.T.); +#12036=EDGE_CURVE('',#8545,#8537,#1880,.T.); +#12043=ADVANCED_FACE('',(#12042),#12035,.T.); +#12055=ADVANCED_FACE('',(#12054),#12048,.T.); +#12061=EDGE_CURVE('',#8574,#7466,#1908,.T.); +#12063=EDGE_CURVE('',#8573,#8574,#1888,.T.); +#12066=EDGE_CURVE('',#8569,#8570,#1896,.T.); +#12068=EDGE_CURVE('',#8569,#7465,#1900,.T.); +#12070=EDGE_CURVE('',#7465,#7466,#1944,.T.); +#12074=ADVANCED_FACE('',(#12073),#12060,.T.); +#12080=EDGE_CURVE('',#8574,#8582,#1904,.T.); +#12083=EDGE_CURVE('',#7466,#7468,#1956,.T.); +#12085=EDGE_CURVE('',#8582,#7468,#1920,.T.); +#12089=ADVANCED_FACE('',(#12088),#12079,.T.); +#12097=EDGE_CURVE('',#8581,#8582,#1916,.T.); +#12102=ADVANCED_FACE('',(#12101),#12094,.T.); +#12111=EDGE_CURVE('',#7468,#7470,#1952,.T.); +#12113=EDGE_CURVE('',#8577,#7470,#2012,.T.); +#12115=EDGE_CURVE('',#8577,#8578,#1924,.T.); +#12119=ADVANCED_FACE('',(#12118),#12107,.T.); +#12125=EDGE_CURVE('',#7457,#7458,#1928,.T.); +#12127=EDGE_CURVE('',#7462,#7457,#1932,.T.); +#12129=EDGE_CURVE('',#7460,#7462,#1936,.T.); +#12131=EDGE_CURVE('',#7458,#7460,#1940,.T.); +#12135=ADVANCED_FACE('',(#12134),#12124,.T.); +#12142=EDGE_CURVE('',#7470,#7465,#1948,.T.); +#12148=ADVANCED_FACE('',(#12147),#12140,.T.); +#12154=EDGE_CURVE('',#8586,#8594,#1960,.T.); +#12156=EDGE_CURVE('',#8586,#7457,#1964,.T.); +#12159=EDGE_CURVE('',#8594,#7458,#1996,.T.); +#12163=ADVANCED_FACE('',(#12162),#12153,.T.); +#12169=EDGE_CURVE('',#8585,#8586,#1980,.T.); +#12172=EDGE_CURVE('',#8593,#8594,#1992,.T.); +#12177=ADVANCED_FACE('',(#12176),#12168,.T.); +#12184=EDGE_CURVE('',#8589,#8590,#1972,.T.); +#12186=EDGE_CURVE('',#8589,#7462,#1976,.T.); +#12193=ADVANCED_FACE('',(#12192),#12182,.T.); +#12201=EDGE_CURVE('',#8597,#8598,#1984,.T.); +#12203=EDGE_CURVE('',#8597,#8589,#2000,.T.); +#12207=ADVANCED_FACE('',(#12206),#12198,.T.); +#12213=EDGE_CURVE('',#8597,#7460,#2004,.T.); +#12222=ADVANCED_FACE('',(#12221),#12212,.T.); +#12234=ADVANCED_FACE('',(#12233),#12227,.T.); +#12240=EDGE_CURVE('',#8577,#8569,#2008,.T.); +#12247=ADVANCED_FACE('',(#12246),#12239,.T.); +#12259=ADVANCED_FACE('',(#12258),#12252,.T.); +#12265=EDGE_CURVE('',#8606,#7482,#2036,.T.); +#12267=EDGE_CURVE('',#8605,#8606,#2016,.T.); +#12270=EDGE_CURVE('',#8601,#8602,#2024,.T.); +#12272=EDGE_CURVE('',#8601,#7481,#2028,.T.); +#12274=EDGE_CURVE('',#7481,#7482,#2072,.T.); +#12278=ADVANCED_FACE('',(#12277),#12264,.T.); +#12284=EDGE_CURVE('',#8606,#8614,#2032,.T.); +#12287=EDGE_CURVE('',#7482,#7484,#2084,.T.); +#12289=EDGE_CURVE('',#8614,#7484,#2048,.T.); +#12293=ADVANCED_FACE('',(#12292),#12283,.T.); +#12301=EDGE_CURVE('',#8613,#8614,#2044,.T.); +#12306=ADVANCED_FACE('',(#12305),#12298,.T.); +#12315=EDGE_CURVE('',#7484,#7486,#2080,.T.); +#12317=EDGE_CURVE('',#8609,#7486,#2140,.T.); +#12319=EDGE_CURVE('',#8609,#8610,#2052,.T.); +#12323=ADVANCED_FACE('',(#12322),#12311,.T.); +#12329=EDGE_CURVE('',#7473,#7474,#2056,.T.); +#12331=EDGE_CURVE('',#7478,#7473,#2060,.T.); +#12333=EDGE_CURVE('',#7476,#7478,#2064,.T.); +#12335=EDGE_CURVE('',#7474,#7476,#2068,.T.); +#12339=ADVANCED_FACE('',(#12338),#12328,.T.); +#12346=EDGE_CURVE('',#7486,#7481,#2076,.T.); +#12352=ADVANCED_FACE('',(#12351),#12344,.T.); +#12358=EDGE_CURVE('',#8618,#8626,#2088,.T.); +#12360=EDGE_CURVE('',#8618,#7473,#2092,.T.); +#12363=EDGE_CURVE('',#8626,#7474,#2124,.T.); +#12367=ADVANCED_FACE('',(#12366),#12357,.T.); +#12373=EDGE_CURVE('',#8617,#8618,#2108,.T.); +#12376=EDGE_CURVE('',#8625,#8626,#2120,.T.); +#12381=ADVANCED_FACE('',(#12380),#12372,.T.); +#12388=EDGE_CURVE('',#8621,#8622,#2100,.T.); +#12390=EDGE_CURVE('',#8621,#7478,#2104,.T.); +#12397=ADVANCED_FACE('',(#12396),#12386,.T.); +#12405=EDGE_CURVE('',#8629,#8630,#2112,.T.); +#12407=EDGE_CURVE('',#8629,#8621,#2128,.T.); +#12411=ADVANCED_FACE('',(#12410),#12402,.T.); +#12417=EDGE_CURVE('',#8629,#7476,#2132,.T.); +#12426=ADVANCED_FACE('',(#12425),#12416,.T.); +#12438=ADVANCED_FACE('',(#12437),#12431,.T.); +#12444=EDGE_CURVE('',#8609,#8601,#2136,.T.); +#12451=ADVANCED_FACE('',(#12450),#12443,.T.); +#12463=ADVANCED_FACE('',(#12462),#12456,.T.); +#12469=EDGE_CURVE('',#8638,#7498,#2164,.T.); +#12471=EDGE_CURVE('',#8637,#8638,#2144,.T.); +#12474=EDGE_CURVE('',#8633,#8634,#2152,.T.); +#12476=EDGE_CURVE('',#8633,#7497,#2156,.T.); +#12478=EDGE_CURVE('',#7497,#7498,#2200,.T.); +#12482=ADVANCED_FACE('',(#12481),#12468,.T.); +#12488=EDGE_CURVE('',#8638,#8646,#2160,.T.); +#12491=EDGE_CURVE('',#7498,#7500,#2212,.T.); +#12493=EDGE_CURVE('',#8646,#7500,#2176,.T.); +#12497=ADVANCED_FACE('',(#12496),#12487,.T.); +#12505=EDGE_CURVE('',#8645,#8646,#2172,.T.); +#12510=ADVANCED_FACE('',(#12509),#12502,.T.); +#12519=EDGE_CURVE('',#7500,#7502,#2208,.T.); +#12521=EDGE_CURVE('',#8641,#7502,#2268,.T.); +#12523=EDGE_CURVE('',#8641,#8642,#2180,.T.); +#12527=ADVANCED_FACE('',(#12526),#12515,.T.); +#12533=EDGE_CURVE('',#7489,#7490,#2184,.T.); +#12535=EDGE_CURVE('',#7494,#7489,#2188,.T.); +#12537=EDGE_CURVE('',#7492,#7494,#2192,.T.); +#12539=EDGE_CURVE('',#7490,#7492,#2196,.T.); +#12543=ADVANCED_FACE('',(#12542),#12532,.T.); +#12550=EDGE_CURVE('',#7502,#7497,#2204,.T.); +#12556=ADVANCED_FACE('',(#12555),#12548,.T.); +#12562=EDGE_CURVE('',#8650,#8658,#2216,.T.); +#12564=EDGE_CURVE('',#8650,#7489,#2220,.T.); +#12567=EDGE_CURVE('',#8658,#7490,#2252,.T.); +#12571=ADVANCED_FACE('',(#12570),#12561,.T.); +#12577=EDGE_CURVE('',#8649,#8650,#2236,.T.); +#12580=EDGE_CURVE('',#8657,#8658,#2248,.T.); +#12585=ADVANCED_FACE('',(#12584),#12576,.T.); +#12592=EDGE_CURVE('',#8653,#8654,#2228,.T.); +#12594=EDGE_CURVE('',#8653,#7494,#2232,.T.); +#12601=ADVANCED_FACE('',(#12600),#12590,.T.); +#12609=EDGE_CURVE('',#8661,#8662,#2240,.T.); +#12611=EDGE_CURVE('',#8661,#8653,#2256,.T.); +#12615=ADVANCED_FACE('',(#12614),#12606,.T.); +#12621=EDGE_CURVE('',#8661,#7492,#2260,.T.); +#12630=ADVANCED_FACE('',(#12629),#12620,.T.); +#12642=ADVANCED_FACE('',(#12641),#12635,.T.); +#12648=EDGE_CURVE('',#8641,#8633,#2264,.T.); +#12655=ADVANCED_FACE('',(#12654),#12647,.T.); +#12667=ADVANCED_FACE('',(#12666),#12660,.T.); +#12673=EDGE_CURVE('',#8670,#7514,#2292,.T.); +#12675=EDGE_CURVE('',#8669,#8670,#2272,.T.); +#12678=EDGE_CURVE('',#8665,#8666,#2280,.T.); +#12680=EDGE_CURVE('',#8665,#7513,#2284,.T.); +#12682=EDGE_CURVE('',#7513,#7514,#2328,.T.); +#12686=ADVANCED_FACE('',(#12685),#12672,.T.); +#12692=EDGE_CURVE('',#8670,#8678,#2288,.T.); +#12695=EDGE_CURVE('',#7514,#7516,#2340,.T.); +#12697=EDGE_CURVE('',#8678,#7516,#2304,.T.); +#12701=ADVANCED_FACE('',(#12700),#12691,.T.); +#12709=EDGE_CURVE('',#8677,#8678,#2300,.T.); +#12714=ADVANCED_FACE('',(#12713),#12706,.T.); +#12723=EDGE_CURVE('',#7516,#7518,#2336,.T.); +#12725=EDGE_CURVE('',#8673,#7518,#2396,.T.); +#12727=EDGE_CURVE('',#8673,#8674,#2308,.T.); +#12731=ADVANCED_FACE('',(#12730),#12719,.T.); +#12737=EDGE_CURVE('',#7505,#7506,#2312,.T.); +#12739=EDGE_CURVE('',#7510,#7505,#2316,.T.); +#12741=EDGE_CURVE('',#7508,#7510,#2320,.T.); +#12743=EDGE_CURVE('',#7506,#7508,#2324,.T.); +#12747=ADVANCED_FACE('',(#12746),#12736,.T.); +#12754=EDGE_CURVE('',#7518,#7513,#2332,.T.); +#12760=ADVANCED_FACE('',(#12759),#12752,.T.); +#12766=EDGE_CURVE('',#8682,#8690,#2344,.T.); +#12768=EDGE_CURVE('',#8682,#7505,#2348,.T.); +#12771=EDGE_CURVE('',#8690,#7506,#2380,.T.); +#12775=ADVANCED_FACE('',(#12774),#12765,.T.); +#12781=EDGE_CURVE('',#8681,#8682,#2364,.T.); +#12784=EDGE_CURVE('',#8689,#8690,#2376,.T.); +#12789=ADVANCED_FACE('',(#12788),#12780,.T.); +#12796=EDGE_CURVE('',#8685,#8686,#2356,.T.); +#12798=EDGE_CURVE('',#8685,#7510,#2360,.T.); +#12805=ADVANCED_FACE('',(#12804),#12794,.T.); +#12813=EDGE_CURVE('',#8693,#8694,#2368,.T.); +#12815=EDGE_CURVE('',#8693,#8685,#2384,.T.); +#12819=ADVANCED_FACE('',(#12818),#12810,.T.); +#12825=EDGE_CURVE('',#8693,#7508,#2388,.T.); +#12834=ADVANCED_FACE('',(#12833),#12824,.T.); +#12846=ADVANCED_FACE('',(#12845),#12839,.T.); +#12852=EDGE_CURVE('',#8673,#8665,#2392,.T.); +#12859=ADVANCED_FACE('',(#12858),#12851,.T.); +#12871=ADVANCED_FACE('',(#12870),#12864,.T.); +#12877=EDGE_CURVE('',#8702,#7530,#2420,.T.); +#12879=EDGE_CURVE('',#8701,#8702,#2400,.T.); +#12882=EDGE_CURVE('',#8697,#8698,#2408,.T.); +#12884=EDGE_CURVE('',#8697,#7529,#2412,.T.); +#12886=EDGE_CURVE('',#7529,#7530,#2456,.T.); +#12890=ADVANCED_FACE('',(#12889),#12876,.T.); +#12896=EDGE_CURVE('',#8702,#8710,#2416,.T.); +#12899=EDGE_CURVE('',#7530,#7532,#2468,.T.); +#12901=EDGE_CURVE('',#8710,#7532,#2432,.T.); +#12905=ADVANCED_FACE('',(#12904),#12895,.T.); +#12913=EDGE_CURVE('',#8709,#8710,#2428,.T.); +#12918=ADVANCED_FACE('',(#12917),#12910,.T.); +#12927=EDGE_CURVE('',#7532,#7534,#2464,.T.); +#12929=EDGE_CURVE('',#8705,#7534,#2524,.T.); +#12931=EDGE_CURVE('',#8705,#8706,#2436,.T.); +#12935=ADVANCED_FACE('',(#12934),#12923,.T.); +#12941=EDGE_CURVE('',#7521,#7522,#2440,.T.); +#12943=EDGE_CURVE('',#7526,#7521,#2444,.T.); +#12945=EDGE_CURVE('',#7524,#7526,#2448,.T.); +#12947=EDGE_CURVE('',#7522,#7524,#2452,.T.); +#12951=ADVANCED_FACE('',(#12950),#12940,.T.); +#12958=EDGE_CURVE('',#7534,#7529,#2460,.T.); +#12964=ADVANCED_FACE('',(#12963),#12956,.T.); +#12970=EDGE_CURVE('',#8714,#8722,#2472,.T.); +#12972=EDGE_CURVE('',#8714,#7521,#2476,.T.); +#12975=EDGE_CURVE('',#8722,#7522,#2508,.T.); +#12979=ADVANCED_FACE('',(#12978),#12969,.T.); +#12985=EDGE_CURVE('',#8713,#8714,#2492,.T.); +#12988=EDGE_CURVE('',#8721,#8722,#2504,.T.); +#12993=ADVANCED_FACE('',(#12992),#12984,.T.); +#13000=EDGE_CURVE('',#8717,#8718,#2484,.T.); +#13002=EDGE_CURVE('',#8717,#7526,#2488,.T.); +#13009=ADVANCED_FACE('',(#13008),#12998,.T.); +#13017=EDGE_CURVE('',#8725,#8726,#2496,.T.); +#13019=EDGE_CURVE('',#8725,#8717,#2512,.T.); +#13023=ADVANCED_FACE('',(#13022),#13014,.T.); +#13029=EDGE_CURVE('',#8725,#7524,#2516,.T.); +#13038=ADVANCED_FACE('',(#13037),#13028,.T.); +#13050=ADVANCED_FACE('',(#13049),#13043,.T.); +#13056=EDGE_CURVE('',#8705,#8697,#2520,.T.); +#13063=ADVANCED_FACE('',(#13062),#13055,.T.); +#13075=ADVANCED_FACE('',(#13074),#13068,.T.); +#13081=EDGE_CURVE('',#8734,#7546,#2548,.T.); +#13083=EDGE_CURVE('',#8733,#8734,#2528,.T.); +#13086=EDGE_CURVE('',#8729,#8730,#2536,.T.); +#13088=EDGE_CURVE('',#8729,#7545,#2540,.T.); +#13090=EDGE_CURVE('',#7545,#7546,#2584,.T.); +#13094=ADVANCED_FACE('',(#13093),#13080,.T.); +#13100=EDGE_CURVE('',#8734,#8742,#2544,.T.); +#13103=EDGE_CURVE('',#7546,#7548,#2596,.T.); +#13105=EDGE_CURVE('',#8742,#7548,#2560,.T.); +#13109=ADVANCED_FACE('',(#13108),#13099,.T.); +#13117=EDGE_CURVE('',#8741,#8742,#2556,.T.); +#13122=ADVANCED_FACE('',(#13121),#13114,.T.); +#13131=EDGE_CURVE('',#7548,#7550,#2592,.T.); +#13133=EDGE_CURVE('',#8737,#7550,#2652,.T.); +#13135=EDGE_CURVE('',#8737,#8738,#2564,.T.); +#13139=ADVANCED_FACE('',(#13138),#13127,.T.); +#13145=EDGE_CURVE('',#7537,#7538,#2568,.T.); +#13147=EDGE_CURVE('',#7542,#7537,#2572,.T.); +#13149=EDGE_CURVE('',#7540,#7542,#2576,.T.); +#13151=EDGE_CURVE('',#7538,#7540,#2580,.T.); +#13155=ADVANCED_FACE('',(#13154),#13144,.T.); +#13162=EDGE_CURVE('',#7550,#7545,#2588,.T.); +#13168=ADVANCED_FACE('',(#13167),#13160,.T.); +#13174=EDGE_CURVE('',#8746,#8754,#2600,.T.); +#13176=EDGE_CURVE('',#8746,#7537,#2604,.T.); +#13179=EDGE_CURVE('',#8754,#7538,#2636,.T.); +#13183=ADVANCED_FACE('',(#13182),#13173,.T.); +#13189=EDGE_CURVE('',#8745,#8746,#2620,.T.); +#13192=EDGE_CURVE('',#8753,#8754,#2632,.T.); +#13197=ADVANCED_FACE('',(#13196),#13188,.T.); +#13204=EDGE_CURVE('',#8749,#8750,#2612,.T.); +#13206=EDGE_CURVE('',#8749,#7542,#2616,.T.); +#13213=ADVANCED_FACE('',(#13212),#13202,.T.); +#13221=EDGE_CURVE('',#8757,#8758,#2624,.T.); +#13223=EDGE_CURVE('',#8757,#8749,#2640,.T.); +#13227=ADVANCED_FACE('',(#13226),#13218,.T.); +#13233=EDGE_CURVE('',#8757,#7540,#2644,.T.); +#13242=ADVANCED_FACE('',(#13241),#13232,.T.); +#13254=ADVANCED_FACE('',(#13253),#13247,.T.); +#13260=EDGE_CURVE('',#8737,#8729,#2648,.T.); +#13267=ADVANCED_FACE('',(#13266),#13259,.T.); +#13279=ADVANCED_FACE('',(#13278),#13272,.T.); +#13285=EDGE_CURVE('',#8766,#7562,#2676,.T.); +#13287=EDGE_CURVE('',#8765,#8766,#2656,.T.); +#13290=EDGE_CURVE('',#8761,#8762,#2664,.T.); +#13292=EDGE_CURVE('',#8761,#7561,#2668,.T.); +#13294=EDGE_CURVE('',#7561,#7562,#2712,.T.); +#13298=ADVANCED_FACE('',(#13297),#13284,.T.); +#13304=EDGE_CURVE('',#8766,#8774,#2672,.T.); +#13307=EDGE_CURVE('',#7562,#7564,#2724,.T.); +#13309=EDGE_CURVE('',#8774,#7564,#2688,.T.); +#13313=ADVANCED_FACE('',(#13312),#13303,.T.); +#13321=EDGE_CURVE('',#8773,#8774,#2684,.T.); +#13326=ADVANCED_FACE('',(#13325),#13318,.T.); +#13335=EDGE_CURVE('',#7564,#7566,#2720,.T.); +#13337=EDGE_CURVE('',#8769,#7566,#2780,.T.); +#13339=EDGE_CURVE('',#8769,#8770,#2692,.T.); +#13343=ADVANCED_FACE('',(#13342),#13331,.T.); +#13349=EDGE_CURVE('',#7553,#7554,#2696,.T.); +#13351=EDGE_CURVE('',#7558,#7553,#2700,.T.); +#13353=EDGE_CURVE('',#7556,#7558,#2704,.T.); +#13355=EDGE_CURVE('',#7554,#7556,#2708,.T.); +#13359=ADVANCED_FACE('',(#13358),#13348,.T.); +#13366=EDGE_CURVE('',#7566,#7561,#2716,.T.); +#13372=ADVANCED_FACE('',(#13371),#13364,.T.); +#13378=EDGE_CURVE('',#8778,#8786,#2728,.T.); +#13380=EDGE_CURVE('',#8778,#7553,#2732,.T.); +#13383=EDGE_CURVE('',#8786,#7554,#2764,.T.); +#13387=ADVANCED_FACE('',(#13386),#13377,.T.); +#13393=EDGE_CURVE('',#8777,#8778,#2748,.T.); +#13396=EDGE_CURVE('',#8785,#8786,#2760,.T.); +#13401=ADVANCED_FACE('',(#13400),#13392,.T.); +#13408=EDGE_CURVE('',#8781,#8782,#2740,.T.); +#13410=EDGE_CURVE('',#8781,#7558,#2744,.T.); +#13417=ADVANCED_FACE('',(#13416),#13406,.T.); +#13425=EDGE_CURVE('',#8789,#8790,#2752,.T.); +#13427=EDGE_CURVE('',#8789,#8781,#2768,.T.); +#13431=ADVANCED_FACE('',(#13430),#13422,.T.); +#13437=EDGE_CURVE('',#8789,#7556,#2772,.T.); +#13446=ADVANCED_FACE('',(#13445),#13436,.T.); +#13458=ADVANCED_FACE('',(#13457),#13451,.T.); +#13464=EDGE_CURVE('',#8769,#8761,#2776,.T.); +#13471=ADVANCED_FACE('',(#13470),#13463,.T.); +#13483=ADVANCED_FACE('',(#13482),#13476,.T.); +#13489=EDGE_CURVE('',#8798,#7578,#2804,.T.); +#13491=EDGE_CURVE('',#8797,#8798,#2784,.T.); +#13494=EDGE_CURVE('',#8793,#8794,#2792,.T.); +#13496=EDGE_CURVE('',#8793,#7577,#2796,.T.); +#13498=EDGE_CURVE('',#7577,#7578,#2840,.T.); +#13502=ADVANCED_FACE('',(#13501),#13488,.T.); +#13508=EDGE_CURVE('',#8798,#8806,#2800,.T.); +#13511=EDGE_CURVE('',#7578,#7580,#2852,.T.); +#13513=EDGE_CURVE('',#8806,#7580,#2816,.T.); +#13517=ADVANCED_FACE('',(#13516),#13507,.T.); +#13525=EDGE_CURVE('',#8805,#8806,#2812,.T.); +#13530=ADVANCED_FACE('',(#13529),#13522,.T.); +#13539=EDGE_CURVE('',#7580,#7582,#2848,.T.); +#13541=EDGE_CURVE('',#8801,#7582,#2908,.T.); +#13543=EDGE_CURVE('',#8801,#8802,#2820,.T.); +#13547=ADVANCED_FACE('',(#13546),#13535,.T.); +#13553=EDGE_CURVE('',#7569,#7570,#2824,.T.); +#13555=EDGE_CURVE('',#7574,#7569,#2828,.T.); +#13557=EDGE_CURVE('',#7572,#7574,#2832,.T.); +#13559=EDGE_CURVE('',#7570,#7572,#2836,.T.); +#13563=ADVANCED_FACE('',(#13562),#13552,.T.); +#13570=EDGE_CURVE('',#7582,#7577,#2844,.T.); +#13576=ADVANCED_FACE('',(#13575),#13568,.T.); +#13582=EDGE_CURVE('',#8810,#8818,#2856,.T.); +#13584=EDGE_CURVE('',#8810,#7569,#2860,.T.); +#13587=EDGE_CURVE('',#8818,#7570,#2892,.T.); +#13591=ADVANCED_FACE('',(#13590),#13581,.T.); +#13597=EDGE_CURVE('',#8809,#8810,#2876,.T.); +#13600=EDGE_CURVE('',#8817,#8818,#2888,.T.); +#13605=ADVANCED_FACE('',(#13604),#13596,.T.); +#13612=EDGE_CURVE('',#8813,#8814,#2868,.T.); +#13614=EDGE_CURVE('',#8813,#7574,#2872,.T.); +#13621=ADVANCED_FACE('',(#13620),#13610,.T.); +#13629=EDGE_CURVE('',#8821,#8822,#2880,.T.); +#13631=EDGE_CURVE('',#8821,#8813,#2896,.T.); +#13635=ADVANCED_FACE('',(#13634),#13626,.T.); +#13641=EDGE_CURVE('',#8821,#7572,#2900,.T.); +#13650=ADVANCED_FACE('',(#13649),#13640,.T.); +#13662=ADVANCED_FACE('',(#13661),#13655,.T.); +#13668=EDGE_CURVE('',#8801,#8793,#2904,.T.); +#13675=ADVANCED_FACE('',(#13674),#13667,.T.); +#13687=ADVANCED_FACE('',(#13686),#13680,.T.); +#13693=EDGE_CURVE('',#8830,#7594,#2932,.T.); +#13695=EDGE_CURVE('',#8829,#8830,#2912,.T.); +#13698=EDGE_CURVE('',#8825,#8826,#2920,.T.); +#13700=EDGE_CURVE('',#8825,#7593,#2924,.T.); +#13702=EDGE_CURVE('',#7593,#7594,#2968,.T.); +#13706=ADVANCED_FACE('',(#13705),#13692,.T.); +#13712=EDGE_CURVE('',#8830,#8838,#2928,.T.); +#13715=EDGE_CURVE('',#7594,#7596,#2980,.T.); +#13717=EDGE_CURVE('',#8838,#7596,#2944,.T.); +#13721=ADVANCED_FACE('',(#13720),#13711,.T.); +#13729=EDGE_CURVE('',#8837,#8838,#2940,.T.); +#13734=ADVANCED_FACE('',(#13733),#13726,.T.); +#13743=EDGE_CURVE('',#7596,#7598,#2976,.T.); +#13745=EDGE_CURVE('',#8833,#7598,#3036,.T.); +#13747=EDGE_CURVE('',#8833,#8834,#2948,.T.); +#13751=ADVANCED_FACE('',(#13750),#13739,.T.); +#13757=EDGE_CURVE('',#7585,#7586,#2952,.T.); +#13759=EDGE_CURVE('',#7590,#7585,#2956,.T.); +#13761=EDGE_CURVE('',#7588,#7590,#2960,.T.); +#13763=EDGE_CURVE('',#7586,#7588,#2964,.T.); +#13767=ADVANCED_FACE('',(#13766),#13756,.T.); +#13774=EDGE_CURVE('',#7598,#7593,#2972,.T.); +#13780=ADVANCED_FACE('',(#13779),#13772,.T.); +#13786=EDGE_CURVE('',#8842,#8850,#2984,.T.); +#13788=EDGE_CURVE('',#8842,#7585,#2988,.T.); +#13791=EDGE_CURVE('',#8850,#7586,#3020,.T.); +#13795=ADVANCED_FACE('',(#13794),#13785,.T.); +#13801=EDGE_CURVE('',#8841,#8842,#3004,.T.); +#13804=EDGE_CURVE('',#8849,#8850,#3016,.T.); +#13809=ADVANCED_FACE('',(#13808),#13800,.T.); +#13816=EDGE_CURVE('',#8845,#8846,#2996,.T.); +#13818=EDGE_CURVE('',#8845,#7590,#3000,.T.); +#13825=ADVANCED_FACE('',(#13824),#13814,.T.); +#13833=EDGE_CURVE('',#8853,#8854,#3008,.T.); +#13835=EDGE_CURVE('',#8853,#8845,#3024,.T.); +#13839=ADVANCED_FACE('',(#13838),#13830,.T.); +#13845=EDGE_CURVE('',#8853,#7588,#3028,.T.); +#13854=ADVANCED_FACE('',(#13853),#13844,.T.); +#13866=ADVANCED_FACE('',(#13865),#13859,.T.); +#13872=EDGE_CURVE('',#8833,#8825,#3032,.T.); +#13879=ADVANCED_FACE('',(#13878),#13871,.T.); +#13891=ADVANCED_FACE('',(#13890),#13884,.T.); +#13897=EDGE_CURVE('',#8862,#7610,#3060,.T.); +#13899=EDGE_CURVE('',#8861,#8862,#3040,.T.); +#13902=EDGE_CURVE('',#8857,#8858,#3048,.T.); +#13904=EDGE_CURVE('',#8857,#7609,#3052,.T.); +#13906=EDGE_CURVE('',#7609,#7610,#3096,.T.); +#13910=ADVANCED_FACE('',(#13909),#13896,.T.); +#13916=EDGE_CURVE('',#8862,#8870,#3056,.T.); +#13919=EDGE_CURVE('',#7610,#7612,#3108,.T.); +#13921=EDGE_CURVE('',#8870,#7612,#3072,.T.); +#13925=ADVANCED_FACE('',(#13924),#13915,.T.); +#13933=EDGE_CURVE('',#8869,#8870,#3068,.T.); +#13938=ADVANCED_FACE('',(#13937),#13930,.T.); +#13947=EDGE_CURVE('',#7612,#7614,#3104,.T.); +#13949=EDGE_CURVE('',#8865,#7614,#3164,.T.); +#13951=EDGE_CURVE('',#8865,#8866,#3076,.T.); +#13955=ADVANCED_FACE('',(#13954),#13943,.T.); +#13961=EDGE_CURVE('',#7601,#7602,#3080,.T.); +#13963=EDGE_CURVE('',#7606,#7601,#3084,.T.); +#13965=EDGE_CURVE('',#7604,#7606,#3088,.T.); +#13967=EDGE_CURVE('',#7602,#7604,#3092,.T.); +#13971=ADVANCED_FACE('',(#13970),#13960,.T.); +#13978=EDGE_CURVE('',#7614,#7609,#3100,.T.); +#13984=ADVANCED_FACE('',(#13983),#13976,.T.); +#13990=EDGE_CURVE('',#8874,#8882,#3112,.T.); +#13992=EDGE_CURVE('',#8874,#7601,#3116,.T.); +#13995=EDGE_CURVE('',#8882,#7602,#3148,.T.); +#13999=ADVANCED_FACE('',(#13998),#13989,.T.); +#14005=EDGE_CURVE('',#8873,#8874,#3132,.T.); +#14008=EDGE_CURVE('',#8881,#8882,#3144,.T.); +#14013=ADVANCED_FACE('',(#14012),#14004,.T.); +#14020=EDGE_CURVE('',#8877,#8878,#3124,.T.); +#14022=EDGE_CURVE('',#8877,#7606,#3128,.T.); +#14029=ADVANCED_FACE('',(#14028),#14018,.T.); +#14037=EDGE_CURVE('',#8885,#8886,#3136,.T.); +#14039=EDGE_CURVE('',#8885,#8877,#3152,.T.); +#14043=ADVANCED_FACE('',(#14042),#14034,.T.); +#14049=EDGE_CURVE('',#8885,#7604,#3156,.T.); +#14058=ADVANCED_FACE('',(#14057),#14048,.T.); +#14070=ADVANCED_FACE('',(#14069),#14063,.T.); +#14076=EDGE_CURVE('',#8865,#8857,#3160,.T.); +#14083=ADVANCED_FACE('',(#14082),#14075,.T.); +#14095=ADVANCED_FACE('',(#14094),#14088,.T.); +#14101=EDGE_CURVE('',#8894,#7626,#3188,.T.); +#14103=EDGE_CURVE('',#8893,#8894,#3168,.T.); +#14106=EDGE_CURVE('',#8889,#8890,#3176,.T.); +#14108=EDGE_CURVE('',#8889,#7625,#3180,.T.); +#14110=EDGE_CURVE('',#7625,#7626,#3224,.T.); +#14114=ADVANCED_FACE('',(#14113),#14100,.T.); +#14120=EDGE_CURVE('',#8894,#8902,#3184,.T.); +#14123=EDGE_CURVE('',#7626,#7628,#3236,.T.); +#14125=EDGE_CURVE('',#8902,#7628,#3200,.T.); +#14129=ADVANCED_FACE('',(#14128),#14119,.T.); +#14137=EDGE_CURVE('',#8901,#8902,#3196,.T.); +#14142=ADVANCED_FACE('',(#14141),#14134,.T.); +#14151=EDGE_CURVE('',#7628,#7630,#3232,.T.); +#14153=EDGE_CURVE('',#8897,#7630,#3292,.T.); +#14155=EDGE_CURVE('',#8897,#8898,#3204,.T.); +#14159=ADVANCED_FACE('',(#14158),#14147,.T.); +#14165=EDGE_CURVE('',#7617,#7618,#3208,.T.); +#14167=EDGE_CURVE('',#7622,#7617,#3212,.T.); +#14169=EDGE_CURVE('',#7620,#7622,#3216,.T.); +#14171=EDGE_CURVE('',#7618,#7620,#3220,.T.); +#14175=ADVANCED_FACE('',(#14174),#14164,.T.); +#14182=EDGE_CURVE('',#7630,#7625,#3228,.T.); +#14188=ADVANCED_FACE('',(#14187),#14180,.T.); +#14194=EDGE_CURVE('',#8906,#8914,#3240,.T.); +#14196=EDGE_CURVE('',#8906,#7617,#3244,.T.); +#14199=EDGE_CURVE('',#8914,#7618,#3276,.T.); +#14203=ADVANCED_FACE('',(#14202),#14193,.T.); +#14209=EDGE_CURVE('',#8905,#8906,#3260,.T.); +#14212=EDGE_CURVE('',#8913,#8914,#3272,.T.); +#14217=ADVANCED_FACE('',(#14216),#14208,.T.); +#14224=EDGE_CURVE('',#8909,#8910,#3252,.T.); +#14226=EDGE_CURVE('',#8909,#7622,#3256,.T.); +#14233=ADVANCED_FACE('',(#14232),#14222,.T.); +#14241=EDGE_CURVE('',#8917,#8918,#3264,.T.); +#14243=EDGE_CURVE('',#8917,#8909,#3280,.T.); +#14247=ADVANCED_FACE('',(#14246),#14238,.T.); +#14253=EDGE_CURVE('',#8917,#7620,#3284,.T.); +#14262=ADVANCED_FACE('',(#14261),#14252,.T.); +#14274=ADVANCED_FACE('',(#14273),#14267,.T.); +#14280=EDGE_CURVE('',#8897,#8889,#3288,.T.); +#14287=ADVANCED_FACE('',(#14286),#14279,.T.); +#14299=ADVANCED_FACE('',(#14298),#14292,.T.); +#14305=EDGE_CURVE('',#8926,#7642,#3316,.T.); +#14307=EDGE_CURVE('',#8925,#8926,#3296,.T.); +#14310=EDGE_CURVE('',#8921,#8922,#3304,.T.); +#14312=EDGE_CURVE('',#8921,#7641,#3308,.T.); +#14314=EDGE_CURVE('',#7641,#7642,#3352,.T.); +#14318=ADVANCED_FACE('',(#14317),#14304,.T.); +#14324=EDGE_CURVE('',#8926,#8934,#3312,.T.); +#14327=EDGE_CURVE('',#7642,#7644,#3364,.T.); +#14329=EDGE_CURVE('',#8934,#7644,#3328,.T.); +#14333=ADVANCED_FACE('',(#14332),#14323,.T.); +#14341=EDGE_CURVE('',#8933,#8934,#3324,.T.); +#14346=ADVANCED_FACE('',(#14345),#14338,.T.); +#14355=EDGE_CURVE('',#7644,#7646,#3360,.T.); +#14357=EDGE_CURVE('',#8929,#7646,#3420,.T.); +#14359=EDGE_CURVE('',#8929,#8930,#3332,.T.); +#14363=ADVANCED_FACE('',(#14362),#14351,.T.); +#14369=EDGE_CURVE('',#7633,#7634,#3336,.T.); +#14371=EDGE_CURVE('',#7638,#7633,#3340,.T.); +#14373=EDGE_CURVE('',#7636,#7638,#3344,.T.); +#14375=EDGE_CURVE('',#7634,#7636,#3348,.T.); +#14379=ADVANCED_FACE('',(#14378),#14368,.T.); +#14386=EDGE_CURVE('',#7646,#7641,#3356,.T.); +#14392=ADVANCED_FACE('',(#14391),#14384,.T.); +#14398=EDGE_CURVE('',#8938,#8946,#3368,.T.); +#14400=EDGE_CURVE('',#8938,#7633,#3372,.T.); +#14403=EDGE_CURVE('',#8946,#7634,#3404,.T.); +#14407=ADVANCED_FACE('',(#14406),#14397,.T.); +#14413=EDGE_CURVE('',#8937,#8938,#3388,.T.); +#14416=EDGE_CURVE('',#8945,#8946,#3400,.T.); +#14421=ADVANCED_FACE('',(#14420),#14412,.T.); +#14428=EDGE_CURVE('',#8941,#8942,#3380,.T.); +#14430=EDGE_CURVE('',#8941,#7638,#3384,.T.); +#14437=ADVANCED_FACE('',(#14436),#14426,.T.); +#14445=EDGE_CURVE('',#8949,#8950,#3392,.T.); +#14447=EDGE_CURVE('',#8949,#8941,#3408,.T.); +#14451=ADVANCED_FACE('',(#14450),#14442,.T.); +#14457=EDGE_CURVE('',#8949,#7636,#3412,.T.); +#14466=ADVANCED_FACE('',(#14465),#14456,.T.); +#14478=ADVANCED_FACE('',(#14477),#14471,.T.); +#14484=EDGE_CURVE('',#8929,#8921,#3416,.T.); +#14491=ADVANCED_FACE('',(#14490),#14483,.T.); +#14503=ADVANCED_FACE('',(#14502),#14496,.T.); +#14509=EDGE_CURVE('',#8958,#7658,#3444,.T.); +#14511=EDGE_CURVE('',#8957,#8958,#3424,.T.); +#14514=EDGE_CURVE('',#8953,#8954,#3432,.T.); +#14516=EDGE_CURVE('',#8953,#7657,#3436,.T.); +#14518=EDGE_CURVE('',#7657,#7658,#3480,.T.); +#14522=ADVANCED_FACE('',(#14521),#14508,.T.); +#14528=EDGE_CURVE('',#8958,#8966,#3440,.T.); +#14531=EDGE_CURVE('',#7658,#7660,#3492,.T.); +#14533=EDGE_CURVE('',#8966,#7660,#3456,.T.); +#14537=ADVANCED_FACE('',(#14536),#14527,.T.); +#14545=EDGE_CURVE('',#8965,#8966,#3452,.T.); +#14550=ADVANCED_FACE('',(#14549),#14542,.T.); +#14559=EDGE_CURVE('',#7660,#7662,#3488,.T.); +#14561=EDGE_CURVE('',#8961,#7662,#3548,.T.); +#14563=EDGE_CURVE('',#8961,#8962,#3460,.T.); +#14567=ADVANCED_FACE('',(#14566),#14555,.T.); +#14573=EDGE_CURVE('',#7649,#7650,#3464,.T.); +#14575=EDGE_CURVE('',#7654,#7649,#3468,.T.); +#14577=EDGE_CURVE('',#7652,#7654,#3472,.T.); +#14579=EDGE_CURVE('',#7650,#7652,#3476,.T.); +#14583=ADVANCED_FACE('',(#14582),#14572,.T.); +#14590=EDGE_CURVE('',#7662,#7657,#3484,.T.); +#14596=ADVANCED_FACE('',(#14595),#14588,.T.); +#14602=EDGE_CURVE('',#8970,#8978,#3496,.T.); +#14604=EDGE_CURVE('',#8970,#7649,#3500,.T.); +#14607=EDGE_CURVE('',#8978,#7650,#3532,.T.); +#14611=ADVANCED_FACE('',(#14610),#14601,.T.); +#14617=EDGE_CURVE('',#8969,#8970,#3516,.T.); +#14620=EDGE_CURVE('',#8977,#8978,#3528,.T.); +#14625=ADVANCED_FACE('',(#14624),#14616,.T.); +#14632=EDGE_CURVE('',#8973,#8974,#3508,.T.); +#14634=EDGE_CURVE('',#8973,#7654,#3512,.T.); +#14641=ADVANCED_FACE('',(#14640),#14630,.T.); +#14649=EDGE_CURVE('',#8981,#8982,#3520,.T.); +#14651=EDGE_CURVE('',#8981,#8973,#3536,.T.); +#14655=ADVANCED_FACE('',(#14654),#14646,.T.); +#14661=EDGE_CURVE('',#8981,#7652,#3540,.T.); +#14670=ADVANCED_FACE('',(#14669),#14660,.T.); +#14682=ADVANCED_FACE('',(#14681),#14675,.T.); +#14688=EDGE_CURVE('',#8961,#8953,#3544,.T.); +#14695=ADVANCED_FACE('',(#14694),#14687,.T.); +#14707=ADVANCED_FACE('',(#14706),#14700,.T.); +#14713=EDGE_CURVE('',#8990,#7674,#3572,.T.); +#14715=EDGE_CURVE('',#8989,#8990,#3552,.T.); +#14718=EDGE_CURVE('',#8985,#8986,#3560,.T.); +#14720=EDGE_CURVE('',#8985,#7673,#3564,.T.); +#14722=EDGE_CURVE('',#7673,#7674,#3608,.T.); +#14726=ADVANCED_FACE('',(#14725),#14712,.T.); +#14732=EDGE_CURVE('',#8990,#8998,#3568,.T.); +#14735=EDGE_CURVE('',#7674,#7676,#3620,.T.); +#14737=EDGE_CURVE('',#8998,#7676,#3584,.T.); +#14741=ADVANCED_FACE('',(#14740),#14731,.T.); +#14749=EDGE_CURVE('',#8997,#8998,#3580,.T.); +#14754=ADVANCED_FACE('',(#14753),#14746,.T.); +#14763=EDGE_CURVE('',#7676,#7678,#3616,.T.); +#14765=EDGE_CURVE('',#8993,#7678,#3676,.T.); +#14767=EDGE_CURVE('',#8993,#8994,#3588,.T.); +#14771=ADVANCED_FACE('',(#14770),#14759,.T.); +#14777=EDGE_CURVE('',#7665,#7666,#3592,.T.); +#14779=EDGE_CURVE('',#7670,#7665,#3596,.T.); +#14781=EDGE_CURVE('',#7668,#7670,#3600,.T.); +#14783=EDGE_CURVE('',#7666,#7668,#3604,.T.); +#14787=ADVANCED_FACE('',(#14786),#14776,.T.); +#14794=EDGE_CURVE('',#7678,#7673,#3612,.T.); +#14800=ADVANCED_FACE('',(#14799),#14792,.T.); +#14806=EDGE_CURVE('',#9002,#9010,#3624,.T.); +#14808=EDGE_CURVE('',#9002,#7665,#3628,.T.); +#14811=EDGE_CURVE('',#9010,#7666,#3660,.T.); +#14815=ADVANCED_FACE('',(#14814),#14805,.T.); +#14821=EDGE_CURVE('',#9001,#9002,#3644,.T.); +#14824=EDGE_CURVE('',#9009,#9010,#3656,.T.); +#14829=ADVANCED_FACE('',(#14828),#14820,.T.); +#14836=EDGE_CURVE('',#9005,#9006,#3636,.T.); +#14838=EDGE_CURVE('',#9005,#7670,#3640,.T.); +#14845=ADVANCED_FACE('',(#14844),#14834,.T.); +#14853=EDGE_CURVE('',#9013,#9014,#3648,.T.); +#14855=EDGE_CURVE('',#9013,#9005,#3664,.T.); +#14859=ADVANCED_FACE('',(#14858),#14850,.T.); +#14865=EDGE_CURVE('',#9013,#7668,#3668,.T.); +#14874=ADVANCED_FACE('',(#14873),#14864,.T.); +#14886=ADVANCED_FACE('',(#14885),#14879,.T.); +#14892=EDGE_CURVE('',#8993,#8985,#3672,.T.); +#14899=ADVANCED_FACE('',(#14898),#14891,.T.); +#14911=ADVANCED_FACE('',(#14910),#14904,.T.); +#14917=EDGE_CURVE('',#9022,#7690,#3700,.T.); +#14919=EDGE_CURVE('',#9021,#9022,#3680,.T.); +#14922=EDGE_CURVE('',#9017,#9018,#3688,.T.); +#14924=EDGE_CURVE('',#9017,#7689,#3692,.T.); +#14926=EDGE_CURVE('',#7689,#7690,#3736,.T.); +#14930=ADVANCED_FACE('',(#14929),#14916,.T.); +#14936=EDGE_CURVE('',#9022,#9030,#3696,.T.); +#14939=EDGE_CURVE('',#7690,#7692,#3748,.T.); +#14941=EDGE_CURVE('',#9030,#7692,#3712,.T.); +#14945=ADVANCED_FACE('',(#14944),#14935,.T.); +#14953=EDGE_CURVE('',#9029,#9030,#3708,.T.); +#14958=ADVANCED_FACE('',(#14957),#14950,.T.); +#14967=EDGE_CURVE('',#7692,#7694,#3744,.T.); +#14969=EDGE_CURVE('',#9025,#7694,#3804,.T.); +#14971=EDGE_CURVE('',#9025,#9026,#3716,.T.); +#14975=ADVANCED_FACE('',(#14974),#14963,.T.); +#14981=EDGE_CURVE('',#7681,#7682,#3720,.T.); +#14983=EDGE_CURVE('',#7686,#7681,#3724,.T.); +#14985=EDGE_CURVE('',#7684,#7686,#3728,.T.); +#14987=EDGE_CURVE('',#7682,#7684,#3732,.T.); +#14991=ADVANCED_FACE('',(#14990),#14980,.T.); +#14998=EDGE_CURVE('',#7694,#7689,#3740,.T.); +#15004=ADVANCED_FACE('',(#15003),#14996,.T.); +#15010=EDGE_CURVE('',#9034,#9042,#3752,.T.); +#15012=EDGE_CURVE('',#9034,#7681,#3756,.T.); +#15015=EDGE_CURVE('',#9042,#7682,#3788,.T.); +#15019=ADVANCED_FACE('',(#15018),#15009,.T.); +#15025=EDGE_CURVE('',#9033,#9034,#3772,.T.); +#15028=EDGE_CURVE('',#9041,#9042,#3784,.T.); +#15033=ADVANCED_FACE('',(#15032),#15024,.T.); +#15040=EDGE_CURVE('',#9037,#9038,#3764,.T.); +#15042=EDGE_CURVE('',#9037,#7686,#3768,.T.); +#15049=ADVANCED_FACE('',(#15048),#15038,.T.); +#15057=EDGE_CURVE('',#9045,#9046,#3776,.T.); +#15059=EDGE_CURVE('',#9045,#9037,#3792,.T.); +#15063=ADVANCED_FACE('',(#15062),#15054,.T.); +#15069=EDGE_CURVE('',#9045,#7684,#3796,.T.); +#15078=ADVANCED_FACE('',(#15077),#15068,.T.); +#15090=ADVANCED_FACE('',(#15089),#15083,.T.); +#15096=EDGE_CURVE('',#9025,#9017,#3800,.T.); +#15103=ADVANCED_FACE('',(#15102),#15095,.T.); +#15115=ADVANCED_FACE('',(#15114),#15108,.T.); +#15121=EDGE_CURVE('',#9054,#7706,#3828,.T.); +#15123=EDGE_CURVE('',#9053,#9054,#3808,.T.); +#15126=EDGE_CURVE('',#9049,#9050,#3816,.T.); +#15128=EDGE_CURVE('',#9049,#7705,#3820,.T.); +#15130=EDGE_CURVE('',#7705,#7706,#3864,.T.); +#15134=ADVANCED_FACE('',(#15133),#15120,.T.); +#15140=EDGE_CURVE('',#9054,#9062,#3824,.T.); +#15143=EDGE_CURVE('',#7706,#7708,#3876,.T.); +#15145=EDGE_CURVE('',#9062,#7708,#3840,.T.); +#15149=ADVANCED_FACE('',(#15148),#15139,.T.); +#15157=EDGE_CURVE('',#9061,#9062,#3836,.T.); +#15162=ADVANCED_FACE('',(#15161),#15154,.T.); +#15171=EDGE_CURVE('',#7708,#7710,#3872,.T.); +#15173=EDGE_CURVE('',#9057,#7710,#3932,.T.); +#15175=EDGE_CURVE('',#9057,#9058,#3844,.T.); +#15179=ADVANCED_FACE('',(#15178),#15167,.T.); +#15185=EDGE_CURVE('',#7697,#7698,#3848,.T.); +#15187=EDGE_CURVE('',#7702,#7697,#3852,.T.); +#15189=EDGE_CURVE('',#7700,#7702,#3856,.T.); +#15191=EDGE_CURVE('',#7698,#7700,#3860,.T.); +#15195=ADVANCED_FACE('',(#15194),#15184,.T.); +#15202=EDGE_CURVE('',#7710,#7705,#3868,.T.); +#15208=ADVANCED_FACE('',(#15207),#15200,.T.); +#15214=EDGE_CURVE('',#9066,#9074,#3880,.T.); +#15216=EDGE_CURVE('',#9066,#7697,#3884,.T.); +#15219=EDGE_CURVE('',#9074,#7698,#3916,.T.); +#15223=ADVANCED_FACE('',(#15222),#15213,.T.); +#15229=EDGE_CURVE('',#9065,#9066,#3900,.T.); +#15232=EDGE_CURVE('',#9073,#9074,#3912,.T.); +#15237=ADVANCED_FACE('',(#15236),#15228,.T.); +#15244=EDGE_CURVE('',#9069,#9070,#3892,.T.); +#15246=EDGE_CURVE('',#9069,#7702,#3896,.T.); +#15253=ADVANCED_FACE('',(#15252),#15242,.T.); +#15261=EDGE_CURVE('',#9077,#9078,#3904,.T.); +#15263=EDGE_CURVE('',#9077,#9069,#3920,.T.); +#15267=ADVANCED_FACE('',(#15266),#15258,.T.); +#15273=EDGE_CURVE('',#9077,#7700,#3924,.T.); +#15282=ADVANCED_FACE('',(#15281),#15272,.T.); +#15294=ADVANCED_FACE('',(#15293),#15287,.T.); +#15300=EDGE_CURVE('',#9057,#9049,#3928,.T.); +#15307=ADVANCED_FACE('',(#15306),#15299,.T.); +#15319=ADVANCED_FACE('',(#15318),#15312,.T.); +#15325=EDGE_CURVE('',#9086,#7722,#3956,.T.); +#15327=EDGE_CURVE('',#9085,#9086,#3936,.T.); +#15330=EDGE_CURVE('',#9081,#9082,#3944,.T.); +#15332=EDGE_CURVE('',#9081,#7721,#3948,.T.); +#15334=EDGE_CURVE('',#7721,#7722,#3992,.T.); +#15338=ADVANCED_FACE('',(#15337),#15324,.T.); +#15344=EDGE_CURVE('',#9086,#9094,#3952,.T.); +#15347=EDGE_CURVE('',#7722,#7724,#4004,.T.); +#15349=EDGE_CURVE('',#9094,#7724,#3968,.T.); +#15353=ADVANCED_FACE('',(#15352),#15343,.T.); +#15361=EDGE_CURVE('',#9093,#9094,#3964,.T.); +#15366=ADVANCED_FACE('',(#15365),#15358,.T.); +#15375=EDGE_CURVE('',#7724,#7726,#4000,.T.); +#15377=EDGE_CURVE('',#9089,#7726,#4060,.T.); +#15379=EDGE_CURVE('',#9089,#9090,#3972,.T.); +#15383=ADVANCED_FACE('',(#15382),#15371,.T.); +#15389=EDGE_CURVE('',#7713,#7714,#3976,.T.); +#15391=EDGE_CURVE('',#7718,#7713,#3980,.T.); +#15393=EDGE_CURVE('',#7716,#7718,#3984,.T.); +#15395=EDGE_CURVE('',#7714,#7716,#3988,.T.); +#15399=ADVANCED_FACE('',(#15398),#15388,.T.); +#15406=EDGE_CURVE('',#7726,#7721,#3996,.T.); +#15412=ADVANCED_FACE('',(#15411),#15404,.T.); +#15418=EDGE_CURVE('',#9098,#9106,#4008,.T.); +#15420=EDGE_CURVE('',#9098,#7713,#4012,.T.); +#15423=EDGE_CURVE('',#9106,#7714,#4044,.T.); +#15427=ADVANCED_FACE('',(#15426),#15417,.T.); +#15433=EDGE_CURVE('',#9097,#9098,#4028,.T.); +#15436=EDGE_CURVE('',#9105,#9106,#4040,.T.); +#15441=ADVANCED_FACE('',(#15440),#15432,.T.); +#15448=EDGE_CURVE('',#9101,#9102,#4020,.T.); +#15450=EDGE_CURVE('',#9101,#7718,#4024,.T.); +#15457=ADVANCED_FACE('',(#15456),#15446,.T.); +#15465=EDGE_CURVE('',#9109,#9110,#4032,.T.); +#15467=EDGE_CURVE('',#9109,#9101,#4048,.T.); +#15471=ADVANCED_FACE('',(#15470),#15462,.T.); +#15477=EDGE_CURVE('',#9109,#7716,#4052,.T.); +#15486=ADVANCED_FACE('',(#15485),#15476,.T.); +#15498=ADVANCED_FACE('',(#15497),#15491,.T.); +#15504=EDGE_CURVE('',#9089,#9081,#4056,.T.); +#15511=ADVANCED_FACE('',(#15510),#15503,.T.); +#15523=ADVANCED_FACE('',(#15522),#15516,.T.); +#15529=EDGE_CURVE('',#9118,#7738,#4084,.T.); +#15531=EDGE_CURVE('',#9117,#9118,#4064,.T.); +#15534=EDGE_CURVE('',#9113,#9114,#4072,.T.); +#15536=EDGE_CURVE('',#9113,#7737,#4076,.T.); +#15538=EDGE_CURVE('',#7737,#7738,#4120,.T.); +#15542=ADVANCED_FACE('',(#15541),#15528,.T.); +#15548=EDGE_CURVE('',#9118,#9126,#4080,.T.); +#15551=EDGE_CURVE('',#7738,#7740,#4132,.T.); +#15553=EDGE_CURVE('',#9126,#7740,#4096,.T.); +#15557=ADVANCED_FACE('',(#15556),#15547,.T.); +#15565=EDGE_CURVE('',#9125,#9126,#4092,.T.); +#15570=ADVANCED_FACE('',(#15569),#15562,.T.); +#15579=EDGE_CURVE('',#7740,#7742,#4128,.T.); +#15581=EDGE_CURVE('',#9121,#7742,#4188,.T.); +#15583=EDGE_CURVE('',#9121,#9122,#4100,.T.); +#15587=ADVANCED_FACE('',(#15586),#15575,.T.); +#15593=EDGE_CURVE('',#7729,#7730,#4104,.T.); +#15595=EDGE_CURVE('',#7734,#7729,#4108,.T.); +#15597=EDGE_CURVE('',#7732,#7734,#4112,.T.); +#15599=EDGE_CURVE('',#7730,#7732,#4116,.T.); +#15603=ADVANCED_FACE('',(#15602),#15592,.T.); +#15610=EDGE_CURVE('',#7742,#7737,#4124,.T.); +#15616=ADVANCED_FACE('',(#15615),#15608,.T.); +#15622=EDGE_CURVE('',#9130,#9138,#4136,.T.); +#15624=EDGE_CURVE('',#9130,#7729,#4140,.T.); +#15627=EDGE_CURVE('',#9138,#7730,#4172,.T.); +#15631=ADVANCED_FACE('',(#15630),#15621,.T.); +#15637=EDGE_CURVE('',#9129,#9130,#4156,.T.); +#15640=EDGE_CURVE('',#9137,#9138,#4168,.T.); +#15645=ADVANCED_FACE('',(#15644),#15636,.T.); +#15652=EDGE_CURVE('',#9133,#9134,#4148,.T.); +#15654=EDGE_CURVE('',#9133,#7734,#4152,.T.); +#15661=ADVANCED_FACE('',(#15660),#15650,.T.); +#15669=EDGE_CURVE('',#9141,#9142,#4160,.T.); +#15671=EDGE_CURVE('',#9141,#9133,#4176,.T.); +#15675=ADVANCED_FACE('',(#15674),#15666,.T.); +#15681=EDGE_CURVE('',#9141,#7732,#4180,.T.); +#15690=ADVANCED_FACE('',(#15689),#15680,.T.); +#15702=ADVANCED_FACE('',(#15701),#15695,.T.); +#15708=EDGE_CURVE('',#9121,#9113,#4184,.T.); +#15715=ADVANCED_FACE('',(#15714),#15707,.T.); +#15727=ADVANCED_FACE('',(#15726),#15720,.T.); +#15733=EDGE_CURVE('',#9150,#7754,#4212,.T.); +#15735=EDGE_CURVE('',#9149,#9150,#4192,.T.); +#15738=EDGE_CURVE('',#9145,#9146,#4200,.T.); +#15740=EDGE_CURVE('',#9145,#7753,#4204,.T.); +#15742=EDGE_CURVE('',#7753,#7754,#4248,.T.); +#15746=ADVANCED_FACE('',(#15745),#15732,.T.); +#15752=EDGE_CURVE('',#9150,#9158,#4208,.T.); +#15755=EDGE_CURVE('',#7754,#7756,#4260,.T.); +#15757=EDGE_CURVE('',#9158,#7756,#4224,.T.); +#15761=ADVANCED_FACE('',(#15760),#15751,.T.); +#15769=EDGE_CURVE('',#9157,#9158,#4220,.T.); +#15774=ADVANCED_FACE('',(#15773),#15766,.T.); +#15783=EDGE_CURVE('',#7756,#7758,#4256,.T.); +#15785=EDGE_CURVE('',#9153,#7758,#4316,.T.); +#15787=EDGE_CURVE('',#9153,#9154,#4228,.T.); +#15791=ADVANCED_FACE('',(#15790),#15779,.T.); +#15797=EDGE_CURVE('',#7745,#7746,#4232,.T.); +#15799=EDGE_CURVE('',#7750,#7745,#4236,.T.); +#15801=EDGE_CURVE('',#7748,#7750,#4240,.T.); +#15803=EDGE_CURVE('',#7746,#7748,#4244,.T.); +#15807=ADVANCED_FACE('',(#15806),#15796,.T.); +#15814=EDGE_CURVE('',#7758,#7753,#4252,.T.); +#15820=ADVANCED_FACE('',(#15819),#15812,.T.); +#15826=EDGE_CURVE('',#9162,#9170,#4264,.T.); +#15828=EDGE_CURVE('',#9162,#7745,#4268,.T.); +#15831=EDGE_CURVE('',#9170,#7746,#4300,.T.); +#15835=ADVANCED_FACE('',(#15834),#15825,.T.); +#15841=EDGE_CURVE('',#9161,#9162,#4284,.T.); +#15844=EDGE_CURVE('',#9169,#9170,#4296,.T.); +#15849=ADVANCED_FACE('',(#15848),#15840,.T.); +#15856=EDGE_CURVE('',#9165,#9166,#4276,.T.); +#15858=EDGE_CURVE('',#9165,#7750,#4280,.T.); +#15865=ADVANCED_FACE('',(#15864),#15854,.T.); +#15873=EDGE_CURVE('',#9173,#9174,#4288,.T.); +#15875=EDGE_CURVE('',#9173,#9165,#4304,.T.); +#15879=ADVANCED_FACE('',(#15878),#15870,.T.); +#15885=EDGE_CURVE('',#9173,#7748,#4308,.T.); +#15894=ADVANCED_FACE('',(#15893),#15884,.T.); +#15906=ADVANCED_FACE('',(#15905),#15899,.T.); +#15912=EDGE_CURVE('',#9153,#9145,#4312,.T.); +#15919=ADVANCED_FACE('',(#15918),#15911,.T.); +#15931=ADVANCED_FACE('',(#15930),#15924,.T.); +#15937=EDGE_CURVE('',#9182,#7770,#4340,.T.); +#15939=EDGE_CURVE('',#9181,#9182,#4320,.T.); +#15942=EDGE_CURVE('',#9177,#9178,#4328,.T.); +#15944=EDGE_CURVE('',#9177,#7769,#4332,.T.); +#15946=EDGE_CURVE('',#7769,#7770,#4376,.T.); +#15950=ADVANCED_FACE('',(#15949),#15936,.T.); +#15956=EDGE_CURVE('',#9182,#9190,#4336,.T.); +#15959=EDGE_CURVE('',#7770,#7772,#4388,.T.); +#15961=EDGE_CURVE('',#9190,#7772,#4352,.T.); +#15965=ADVANCED_FACE('',(#15964),#15955,.T.); +#15973=EDGE_CURVE('',#9189,#9190,#4348,.T.); +#15978=ADVANCED_FACE('',(#15977),#15970,.T.); +#15987=EDGE_CURVE('',#7772,#7774,#4384,.T.); +#15989=EDGE_CURVE('',#9185,#7774,#4444,.T.); +#15991=EDGE_CURVE('',#9185,#9186,#4356,.T.); +#15995=ADVANCED_FACE('',(#15994),#15983,.T.); +#16001=EDGE_CURVE('',#7761,#7762,#4360,.T.); +#16003=EDGE_CURVE('',#7766,#7761,#4364,.T.); +#16005=EDGE_CURVE('',#7764,#7766,#4368,.T.); +#16007=EDGE_CURVE('',#7762,#7764,#4372,.T.); +#16011=ADVANCED_FACE('',(#16010),#16000,.T.); +#16018=EDGE_CURVE('',#7774,#7769,#4380,.T.); +#16024=ADVANCED_FACE('',(#16023),#16016,.T.); +#16030=EDGE_CURVE('',#9194,#9202,#4392,.T.); +#16032=EDGE_CURVE('',#9194,#7761,#4396,.T.); +#16035=EDGE_CURVE('',#9202,#7762,#4428,.T.); +#16039=ADVANCED_FACE('',(#16038),#16029,.T.); +#16045=EDGE_CURVE('',#9193,#9194,#4412,.T.); +#16048=EDGE_CURVE('',#9201,#9202,#4424,.T.); +#16053=ADVANCED_FACE('',(#16052),#16044,.T.); +#16060=EDGE_CURVE('',#9197,#9198,#4404,.T.); +#16062=EDGE_CURVE('',#9197,#7766,#4408,.T.); +#16069=ADVANCED_FACE('',(#16068),#16058,.T.); +#16077=EDGE_CURVE('',#9205,#9206,#4416,.T.); +#16079=EDGE_CURVE('',#9205,#9197,#4432,.T.); +#16083=ADVANCED_FACE('',(#16082),#16074,.T.); +#16089=EDGE_CURVE('',#9205,#7764,#4436,.T.); +#16098=ADVANCED_FACE('',(#16097),#16088,.T.); +#16110=ADVANCED_FACE('',(#16109),#16103,.T.); +#16116=EDGE_CURVE('',#9185,#9177,#4440,.T.); +#16123=ADVANCED_FACE('',(#16122),#16115,.T.); +#16135=ADVANCED_FACE('',(#16134),#16128,.T.); +#16141=EDGE_CURVE('',#9214,#7786,#4468,.T.); +#16143=EDGE_CURVE('',#9213,#9214,#4448,.T.); +#16146=EDGE_CURVE('',#9209,#9210,#4456,.T.); +#16148=EDGE_CURVE('',#9209,#7785,#4460,.T.); +#16150=EDGE_CURVE('',#7785,#7786,#4504,.T.); +#16154=ADVANCED_FACE('',(#16153),#16140,.T.); +#16160=EDGE_CURVE('',#9214,#9222,#4464,.T.); +#16163=EDGE_CURVE('',#7786,#7788,#4516,.T.); +#16165=EDGE_CURVE('',#9222,#7788,#4480,.T.); +#16169=ADVANCED_FACE('',(#16168),#16159,.T.); +#16177=EDGE_CURVE('',#9221,#9222,#4476,.T.); +#16182=ADVANCED_FACE('',(#16181),#16174,.T.); +#16191=EDGE_CURVE('',#7788,#7790,#4512,.T.); +#16193=EDGE_CURVE('',#9217,#7790,#4572,.T.); +#16195=EDGE_CURVE('',#9217,#9218,#4484,.T.); +#16199=ADVANCED_FACE('',(#16198),#16187,.T.); +#16205=EDGE_CURVE('',#7777,#7778,#4488,.T.); +#16207=EDGE_CURVE('',#7782,#7777,#4492,.T.); +#16209=EDGE_CURVE('',#7780,#7782,#4496,.T.); +#16211=EDGE_CURVE('',#7778,#7780,#4500,.T.); +#16215=ADVANCED_FACE('',(#16214),#16204,.T.); +#16222=EDGE_CURVE('',#7790,#7785,#4508,.T.); +#16228=ADVANCED_FACE('',(#16227),#16220,.T.); +#16234=EDGE_CURVE('',#9226,#9234,#4520,.T.); +#16236=EDGE_CURVE('',#9226,#7777,#4524,.T.); +#16239=EDGE_CURVE('',#9234,#7778,#4556,.T.); +#16243=ADVANCED_FACE('',(#16242),#16233,.T.); +#16249=EDGE_CURVE('',#9225,#9226,#4540,.T.); +#16252=EDGE_CURVE('',#9233,#9234,#4552,.T.); +#16257=ADVANCED_FACE('',(#16256),#16248,.T.); +#16264=EDGE_CURVE('',#9229,#9230,#4532,.T.); +#16266=EDGE_CURVE('',#9229,#7782,#4536,.T.); +#16273=ADVANCED_FACE('',(#16272),#16262,.T.); +#16281=EDGE_CURVE('',#9237,#9238,#4544,.T.); +#16283=EDGE_CURVE('',#9237,#9229,#4560,.T.); +#16287=ADVANCED_FACE('',(#16286),#16278,.T.); +#16293=EDGE_CURVE('',#9237,#7780,#4564,.T.); +#16302=ADVANCED_FACE('',(#16301),#16292,.T.); +#16314=ADVANCED_FACE('',(#16313),#16307,.T.); +#16320=EDGE_CURVE('',#9217,#9209,#4568,.T.); +#16327=ADVANCED_FACE('',(#16326),#16319,.T.); +#16339=ADVANCED_FACE('',(#16338),#16332,.T.); +#16345=EDGE_CURVE('',#9246,#7938,#4596,.T.); +#16347=EDGE_CURVE('',#9245,#9246,#4576,.T.); +#16350=EDGE_CURVE('',#9241,#9242,#4584,.T.); +#16352=EDGE_CURVE('',#9241,#7937,#4588,.T.); +#16354=EDGE_CURVE('',#7937,#7938,#4632,.T.); +#16358=ADVANCED_FACE('',(#16357),#16344,.T.); +#16364=EDGE_CURVE('',#9246,#9254,#4592,.T.); +#16367=EDGE_CURVE('',#7938,#7940,#4644,.T.); +#16369=EDGE_CURVE('',#9254,#7940,#4608,.T.); +#16373=ADVANCED_FACE('',(#16372),#16363,.T.); +#16381=EDGE_CURVE('',#9253,#9254,#4604,.T.); +#16386=ADVANCED_FACE('',(#16385),#16378,.T.); +#16395=EDGE_CURVE('',#7940,#7942,#4640,.T.); +#16397=EDGE_CURVE('',#9249,#7942,#4700,.T.); +#16399=EDGE_CURVE('',#9249,#9250,#4612,.T.); +#16403=ADVANCED_FACE('',(#16402),#16391,.T.); +#16409=EDGE_CURVE('',#7929,#7930,#4616,.T.); +#16411=EDGE_CURVE('',#7934,#7929,#4620,.T.); +#16413=EDGE_CURVE('',#7932,#7934,#4624,.T.); +#16415=EDGE_CURVE('',#7930,#7932,#4628,.T.); +#16419=ADVANCED_FACE('',(#16418),#16408,.T.); +#16426=EDGE_CURVE('',#7942,#7937,#4636,.T.); +#16432=ADVANCED_FACE('',(#16431),#16424,.T.); +#16438=EDGE_CURVE('',#9258,#9266,#4648,.T.); +#16440=EDGE_CURVE('',#9258,#7929,#4652,.T.); +#16443=EDGE_CURVE('',#9266,#7930,#4684,.T.); +#16447=ADVANCED_FACE('',(#16446),#16437,.T.); +#16453=EDGE_CURVE('',#9257,#9258,#4668,.T.); +#16456=EDGE_CURVE('',#9265,#9266,#4680,.T.); +#16461=ADVANCED_FACE('',(#16460),#16452,.T.); +#16468=EDGE_CURVE('',#9261,#9262,#4660,.T.); +#16470=EDGE_CURVE('',#9261,#7934,#4664,.T.); +#16477=ADVANCED_FACE('',(#16476),#16466,.T.); +#16485=EDGE_CURVE('',#9269,#9270,#4672,.T.); +#16487=EDGE_CURVE('',#9269,#9261,#4688,.T.); +#16491=ADVANCED_FACE('',(#16490),#16482,.T.); +#16497=EDGE_CURVE('',#9269,#7932,#4692,.T.); +#16506=ADVANCED_FACE('',(#16505),#16496,.T.); +#16518=ADVANCED_FACE('',(#16517),#16511,.T.); +#16524=EDGE_CURVE('',#9249,#9241,#4696,.T.); +#16531=ADVANCED_FACE('',(#16530),#16523,.T.); +#16543=ADVANCED_FACE('',(#16542),#16536,.T.); +#16549=EDGE_CURVE('',#9278,#7954,#4724,.T.); +#16551=EDGE_CURVE('',#9277,#9278,#4704,.T.); +#16554=EDGE_CURVE('',#9273,#9274,#4712,.T.); +#16556=EDGE_CURVE('',#9273,#7953,#4716,.T.); +#16558=EDGE_CURVE('',#7953,#7954,#4760,.T.); +#16562=ADVANCED_FACE('',(#16561),#16548,.T.); +#16568=EDGE_CURVE('',#9278,#9286,#4720,.T.); +#16571=EDGE_CURVE('',#7954,#7956,#4772,.T.); +#16573=EDGE_CURVE('',#9286,#7956,#4736,.T.); +#16577=ADVANCED_FACE('',(#16576),#16567,.T.); +#16585=EDGE_CURVE('',#9285,#9286,#4732,.T.); +#16590=ADVANCED_FACE('',(#16589),#16582,.T.); +#16599=EDGE_CURVE('',#7956,#7958,#4768,.T.); +#16601=EDGE_CURVE('',#9281,#7958,#4828,.T.); +#16603=EDGE_CURVE('',#9281,#9282,#4740,.T.); +#16607=ADVANCED_FACE('',(#16606),#16595,.T.); +#16613=EDGE_CURVE('',#7945,#7946,#4744,.T.); +#16615=EDGE_CURVE('',#7950,#7945,#4748,.T.); +#16617=EDGE_CURVE('',#7948,#7950,#4752,.T.); +#16619=EDGE_CURVE('',#7946,#7948,#4756,.T.); +#16623=ADVANCED_FACE('',(#16622),#16612,.T.); +#16630=EDGE_CURVE('',#7958,#7953,#4764,.T.); +#16636=ADVANCED_FACE('',(#16635),#16628,.T.); +#16642=EDGE_CURVE('',#9290,#9298,#4776,.T.); +#16644=EDGE_CURVE('',#9290,#7945,#4780,.T.); +#16647=EDGE_CURVE('',#9298,#7946,#4812,.T.); +#16651=ADVANCED_FACE('',(#16650),#16641,.T.); +#16657=EDGE_CURVE('',#9289,#9290,#4796,.T.); +#16660=EDGE_CURVE('',#9297,#9298,#4808,.T.); +#16665=ADVANCED_FACE('',(#16664),#16656,.T.); +#16672=EDGE_CURVE('',#9293,#9294,#4788,.T.); +#16674=EDGE_CURVE('',#9293,#7950,#4792,.T.); +#16681=ADVANCED_FACE('',(#16680),#16670,.T.); +#16689=EDGE_CURVE('',#9301,#9302,#4800,.T.); +#16691=EDGE_CURVE('',#9301,#9293,#4816,.T.); +#16695=ADVANCED_FACE('',(#16694),#16686,.T.); +#16701=EDGE_CURVE('',#9301,#7948,#4820,.T.); +#16710=ADVANCED_FACE('',(#16709),#16700,.T.); +#16722=ADVANCED_FACE('',(#16721),#16715,.T.); +#16728=EDGE_CURVE('',#9281,#9273,#4824,.T.); +#16735=ADVANCED_FACE('',(#16734),#16727,.T.); +#16747=ADVANCED_FACE('',(#16746),#16740,.T.); +#16753=EDGE_CURVE('',#9310,#7970,#4852,.T.); +#16755=EDGE_CURVE('',#9309,#9310,#4832,.T.); +#16758=EDGE_CURVE('',#9305,#9306,#4840,.T.); +#16760=EDGE_CURVE('',#9305,#7969,#4844,.T.); +#16762=EDGE_CURVE('',#7969,#7970,#4888,.T.); +#16766=ADVANCED_FACE('',(#16765),#16752,.T.); +#16772=EDGE_CURVE('',#9310,#9318,#4848,.T.); +#16775=EDGE_CURVE('',#7970,#7972,#4900,.T.); +#16777=EDGE_CURVE('',#9318,#7972,#4864,.T.); +#16781=ADVANCED_FACE('',(#16780),#16771,.T.); +#16789=EDGE_CURVE('',#9317,#9318,#4860,.T.); +#16794=ADVANCED_FACE('',(#16793),#16786,.T.); +#16803=EDGE_CURVE('',#7972,#7974,#4896,.T.); +#16805=EDGE_CURVE('',#9313,#7974,#4956,.T.); +#16807=EDGE_CURVE('',#9313,#9314,#4868,.T.); +#16811=ADVANCED_FACE('',(#16810),#16799,.T.); +#16817=EDGE_CURVE('',#7961,#7962,#4872,.T.); +#16819=EDGE_CURVE('',#7966,#7961,#4876,.T.); +#16821=EDGE_CURVE('',#7964,#7966,#4880,.T.); +#16823=EDGE_CURVE('',#7962,#7964,#4884,.T.); +#16827=ADVANCED_FACE('',(#16826),#16816,.T.); +#16834=EDGE_CURVE('',#7974,#7969,#4892,.T.); +#16840=ADVANCED_FACE('',(#16839),#16832,.T.); +#16846=EDGE_CURVE('',#9322,#9330,#4904,.T.); +#16848=EDGE_CURVE('',#9322,#7961,#4908,.T.); +#16851=EDGE_CURVE('',#9330,#7962,#4940,.T.); +#16855=ADVANCED_FACE('',(#16854),#16845,.T.); +#16861=EDGE_CURVE('',#9321,#9322,#4924,.T.); +#16864=EDGE_CURVE('',#9329,#9330,#4936,.T.); +#16869=ADVANCED_FACE('',(#16868),#16860,.T.); +#16876=EDGE_CURVE('',#9325,#9326,#4916,.T.); +#16878=EDGE_CURVE('',#9325,#7966,#4920,.T.); +#16885=ADVANCED_FACE('',(#16884),#16874,.T.); +#16893=EDGE_CURVE('',#9333,#9334,#4928,.T.); +#16895=EDGE_CURVE('',#9333,#9325,#4944,.T.); +#16899=ADVANCED_FACE('',(#16898),#16890,.T.); +#16905=EDGE_CURVE('',#9333,#7964,#4948,.T.); +#16914=ADVANCED_FACE('',(#16913),#16904,.T.); +#16926=ADVANCED_FACE('',(#16925),#16919,.T.); +#16932=EDGE_CURVE('',#9313,#9305,#4952,.T.); +#16939=ADVANCED_FACE('',(#16938),#16931,.T.); +#16951=ADVANCED_FACE('',(#16950),#16944,.T.); +#16957=EDGE_CURVE('',#9342,#7986,#4980,.T.); +#16959=EDGE_CURVE('',#9341,#9342,#4960,.T.); +#16962=EDGE_CURVE('',#9337,#9338,#4968,.T.); +#16964=EDGE_CURVE('',#9337,#7985,#4972,.T.); +#16966=EDGE_CURVE('',#7985,#7986,#5016,.T.); +#16970=ADVANCED_FACE('',(#16969),#16956,.T.); +#16976=EDGE_CURVE('',#9342,#9350,#4976,.T.); +#16979=EDGE_CURVE('',#7986,#7988,#5028,.T.); +#16981=EDGE_CURVE('',#9350,#7988,#4992,.T.); +#16985=ADVANCED_FACE('',(#16984),#16975,.T.); +#16993=EDGE_CURVE('',#9349,#9350,#4988,.T.); +#16998=ADVANCED_FACE('',(#16997),#16990,.T.); +#17007=EDGE_CURVE('',#7988,#7990,#5024,.T.); +#17009=EDGE_CURVE('',#9345,#7990,#5084,.T.); +#17011=EDGE_CURVE('',#9345,#9346,#4996,.T.); +#17015=ADVANCED_FACE('',(#17014),#17003,.T.); +#17021=EDGE_CURVE('',#7977,#7978,#5000,.T.); +#17023=EDGE_CURVE('',#7982,#7977,#5004,.T.); +#17025=EDGE_CURVE('',#7980,#7982,#5008,.T.); +#17027=EDGE_CURVE('',#7978,#7980,#5012,.T.); +#17031=ADVANCED_FACE('',(#17030),#17020,.T.); +#17038=EDGE_CURVE('',#7990,#7985,#5020,.T.); +#17044=ADVANCED_FACE('',(#17043),#17036,.T.); +#17050=EDGE_CURVE('',#9354,#9362,#5032,.T.); +#17052=EDGE_CURVE('',#9354,#7977,#5036,.T.); +#17055=EDGE_CURVE('',#9362,#7978,#5068,.T.); +#17059=ADVANCED_FACE('',(#17058),#17049,.T.); +#17065=EDGE_CURVE('',#9353,#9354,#5052,.T.); +#17068=EDGE_CURVE('',#9361,#9362,#5064,.T.); +#17073=ADVANCED_FACE('',(#17072),#17064,.T.); +#17080=EDGE_CURVE('',#9357,#9358,#5044,.T.); +#17082=EDGE_CURVE('',#9357,#7982,#5048,.T.); +#17089=ADVANCED_FACE('',(#17088),#17078,.T.); +#17097=EDGE_CURVE('',#9365,#9366,#5056,.T.); +#17099=EDGE_CURVE('',#9365,#9357,#5072,.T.); +#17103=ADVANCED_FACE('',(#17102),#17094,.T.); +#17109=EDGE_CURVE('',#9365,#7980,#5076,.T.); +#17118=ADVANCED_FACE('',(#17117),#17108,.T.); +#17130=ADVANCED_FACE('',(#17129),#17123,.T.); +#17136=EDGE_CURVE('',#9345,#9337,#5080,.T.); +#17143=ADVANCED_FACE('',(#17142),#17135,.T.); +#17155=ADVANCED_FACE('',(#17154),#17148,.T.); +#17161=EDGE_CURVE('',#9374,#8002,#5108,.T.); +#17163=EDGE_CURVE('',#9373,#9374,#5088,.T.); +#17166=EDGE_CURVE('',#9369,#9370,#5096,.T.); +#17168=EDGE_CURVE('',#9369,#8001,#5100,.T.); +#17170=EDGE_CURVE('',#8001,#8002,#5144,.T.); +#17174=ADVANCED_FACE('',(#17173),#17160,.T.); +#17180=EDGE_CURVE('',#9374,#9382,#5104,.T.); +#17183=EDGE_CURVE('',#8002,#8004,#5156,.T.); +#17185=EDGE_CURVE('',#9382,#8004,#5120,.T.); +#17189=ADVANCED_FACE('',(#17188),#17179,.T.); +#17197=EDGE_CURVE('',#9381,#9382,#5116,.T.); +#17202=ADVANCED_FACE('',(#17201),#17194,.T.); +#17211=EDGE_CURVE('',#8004,#8006,#5152,.T.); +#17213=EDGE_CURVE('',#9377,#8006,#5212,.T.); +#17215=EDGE_CURVE('',#9377,#9378,#5124,.T.); +#17219=ADVANCED_FACE('',(#17218),#17207,.T.); +#17225=EDGE_CURVE('',#7993,#7994,#5128,.T.); +#17227=EDGE_CURVE('',#7998,#7993,#5132,.T.); +#17229=EDGE_CURVE('',#7996,#7998,#5136,.T.); +#17231=EDGE_CURVE('',#7994,#7996,#5140,.T.); +#17235=ADVANCED_FACE('',(#17234),#17224,.T.); +#17242=EDGE_CURVE('',#8006,#8001,#5148,.T.); +#17248=ADVANCED_FACE('',(#17247),#17240,.T.); +#17254=EDGE_CURVE('',#9386,#9394,#5160,.T.); +#17256=EDGE_CURVE('',#9386,#7993,#5164,.T.); +#17259=EDGE_CURVE('',#9394,#7994,#5196,.T.); +#17263=ADVANCED_FACE('',(#17262),#17253,.T.); +#17269=EDGE_CURVE('',#9385,#9386,#5180,.T.); +#17272=EDGE_CURVE('',#9393,#9394,#5192,.T.); +#17277=ADVANCED_FACE('',(#17276),#17268,.T.); +#17284=EDGE_CURVE('',#9389,#9390,#5172,.T.); +#17286=EDGE_CURVE('',#9389,#7998,#5176,.T.); +#17293=ADVANCED_FACE('',(#17292),#17282,.T.); +#17301=EDGE_CURVE('',#9397,#9398,#5184,.T.); +#17303=EDGE_CURVE('',#9397,#9389,#5200,.T.); +#17307=ADVANCED_FACE('',(#17306),#17298,.T.); +#17313=EDGE_CURVE('',#9397,#7996,#5204,.T.); +#17322=ADVANCED_FACE('',(#17321),#17312,.T.); +#17334=ADVANCED_FACE('',(#17333),#17327,.T.); +#17340=EDGE_CURVE('',#9377,#9369,#5208,.T.); +#17347=ADVANCED_FACE('',(#17346),#17339,.T.); +#17359=ADVANCED_FACE('',(#17358),#17352,.T.); +#17365=EDGE_CURVE('',#9406,#8018,#5236,.T.); +#17367=EDGE_CURVE('',#9405,#9406,#5216,.T.); +#17370=EDGE_CURVE('',#9401,#9402,#5224,.T.); +#17372=EDGE_CURVE('',#9401,#8017,#5228,.T.); +#17374=EDGE_CURVE('',#8017,#8018,#5272,.T.); +#17378=ADVANCED_FACE('',(#17377),#17364,.T.); +#17384=EDGE_CURVE('',#9406,#9414,#5232,.T.); +#17387=EDGE_CURVE('',#8018,#8020,#5284,.T.); +#17389=EDGE_CURVE('',#9414,#8020,#5248,.T.); +#17393=ADVANCED_FACE('',(#17392),#17383,.T.); +#17401=EDGE_CURVE('',#9413,#9414,#5244,.T.); +#17406=ADVANCED_FACE('',(#17405),#17398,.T.); +#17415=EDGE_CURVE('',#8020,#8022,#5280,.T.); +#17417=EDGE_CURVE('',#9409,#8022,#5340,.T.); +#17419=EDGE_CURVE('',#9409,#9410,#5252,.T.); +#17423=ADVANCED_FACE('',(#17422),#17411,.T.); +#17429=EDGE_CURVE('',#8009,#8010,#5256,.T.); +#17431=EDGE_CURVE('',#8014,#8009,#5260,.T.); +#17433=EDGE_CURVE('',#8012,#8014,#5264,.T.); +#17435=EDGE_CURVE('',#8010,#8012,#5268,.T.); +#17439=ADVANCED_FACE('',(#17438),#17428,.T.); +#17446=EDGE_CURVE('',#8022,#8017,#5276,.T.); +#17452=ADVANCED_FACE('',(#17451),#17444,.T.); +#17458=EDGE_CURVE('',#9418,#9426,#5288,.T.); +#17460=EDGE_CURVE('',#9418,#8009,#5292,.T.); +#17463=EDGE_CURVE('',#9426,#8010,#5324,.T.); +#17467=ADVANCED_FACE('',(#17466),#17457,.T.); +#17473=EDGE_CURVE('',#9417,#9418,#5308,.T.); +#17476=EDGE_CURVE('',#9425,#9426,#5320,.T.); +#17481=ADVANCED_FACE('',(#17480),#17472,.T.); +#17488=EDGE_CURVE('',#9421,#9422,#5300,.T.); +#17490=EDGE_CURVE('',#9421,#8014,#5304,.T.); +#17497=ADVANCED_FACE('',(#17496),#17486,.T.); +#17505=EDGE_CURVE('',#9429,#9430,#5312,.T.); +#17507=EDGE_CURVE('',#9429,#9421,#5328,.T.); +#17511=ADVANCED_FACE('',(#17510),#17502,.T.); +#17517=EDGE_CURVE('',#9429,#8012,#5332,.T.); +#17526=ADVANCED_FACE('',(#17525),#17516,.T.); +#17538=ADVANCED_FACE('',(#17537),#17531,.T.); +#17544=EDGE_CURVE('',#9409,#9401,#5336,.T.); +#17551=ADVANCED_FACE('',(#17550),#17543,.T.); +#17563=ADVANCED_FACE('',(#17562),#17556,.T.); +#17575=ADVANCED_FACE('',(#17574),#17568,.T.); +#17582=EDGE_CURVE('',#7884,#7882,#5357,.T.); +#17584=EDGE_CURVE('',#7884,#7886,#5389,.T.); +#17589=ADVANCED_FACE('',(#17588),#17580,.T.); +#17595=EDGE_CURVE('',#7868,#7866,#5349,.T.); +#17597=EDGE_CURVE('',#7866,#7884,#5353,.T.); +#17603=ADVANCED_FACE('',(#17602),#17594,.T.); +#17609=EDGE_CURVE('',#7865,#7866,#5370,.T.); +#17616=ADVANCED_FACE('',(#17615),#17608,.T.); +#17624=EDGE_CURVE('',#7876,#7878,#5375,.T.); +#17626=EDGE_CURVE('',#7876,#7890,#5379,.T.); +#17628=EDGE_CURVE('',#7889,#7890,#5384,.T.); +#17635=EDGE_CURVE('',#7145,#7146,#5361,.T.); +#17637=EDGE_CURVE('',#7154,#7145,#6481,.T.); +#17639=EDGE_CURVE('',#7153,#7154,#5365,.T.); +#17641=EDGE_CURVE('',#7146,#7153,#5933,.T.); +#17645=ADVANCED_FACE('',(#17634,#17644),#17621,.T.); +#17651=EDGE_CURVE('',#7141,#7142,#5417,.T.); +#17653=EDGE_CURVE('',#7141,#7145,#5401,.T.); +#17656=EDGE_CURVE('',#7146,#7142,#5413,.T.); +#17660=ADVANCED_FACE('',(#17659),#17650,.T.); +#17667=EDGE_CURVE('',#7142,#7133,#5421,.T.); +#17669=EDGE_CURVE('',#7133,#7134,#5425,.T.); +#17671=EDGE_CURVE('',#7134,#7141,#5429,.T.); +#17675=EDGE_CURVE('',#7345,#7346,#5433,.T.); +#17677=EDGE_CURVE('',#7349,#7345,#5437,.T.); +#17679=EDGE_CURVE('',#7349,#7350,#5441,.T.); +#17681=EDGE_CURVE('',#7350,#7346,#5445,.T.); +#17685=EDGE_CURVE('',#7353,#7354,#5449,.T.); +#17687=EDGE_CURVE('',#7357,#7353,#5453,.T.); +#17689=EDGE_CURVE('',#7357,#7358,#5457,.T.); +#17691=EDGE_CURVE('',#7358,#7354,#5461,.T.); +#17695=EDGE_CURVE('',#7361,#7362,#5465,.T.); +#17697=EDGE_CURVE('',#7365,#7361,#5469,.T.); +#17699=EDGE_CURVE('',#7365,#7366,#5473,.T.); +#17701=EDGE_CURVE('',#7366,#7362,#5477,.T.); +#17705=EDGE_CURVE('',#7369,#7370,#5481,.T.); +#17707=EDGE_CURVE('',#7373,#7369,#5485,.T.); +#17709=EDGE_CURVE('',#7373,#7374,#5489,.T.); +#17711=EDGE_CURVE('',#7374,#7370,#5493,.T.); +#17715=EDGE_CURVE('',#7377,#7378,#5497,.T.); +#17717=EDGE_CURVE('',#7381,#7377,#5501,.T.); +#17719=EDGE_CURVE('',#7381,#7382,#5505,.T.); +#17721=EDGE_CURVE('',#7382,#7378,#5509,.T.); +#17725=EDGE_CURVE('',#7385,#7386,#5513,.T.); +#17727=EDGE_CURVE('',#7389,#7385,#5517,.T.); +#17729=EDGE_CURVE('',#7389,#7390,#5521,.T.); +#17731=EDGE_CURVE('',#7390,#7386,#5525,.T.); +#17735=EDGE_CURVE('',#8025,#8026,#5529,.T.); +#17737=EDGE_CURVE('',#8029,#8026,#5533,.T.); +#17739=EDGE_CURVE('',#8029,#8030,#5537,.T.); +#17741=EDGE_CURVE('',#8030,#8025,#5541,.T.); +#17745=EDGE_CURVE('',#8041,#8042,#5545,.T.); +#17747=EDGE_CURVE('',#8045,#8042,#5549,.T.); +#17749=EDGE_CURVE('',#8045,#8046,#5553,.T.); +#17751=EDGE_CURVE('',#8046,#8041,#5557,.T.); +#17755=EDGE_CURVE('',#8057,#8058,#5561,.T.); +#17757=EDGE_CURVE('',#8061,#8058,#5565,.T.); +#17759=EDGE_CURVE('',#8061,#8062,#5569,.T.); +#17761=EDGE_CURVE('',#8062,#8057,#5573,.T.); +#17765=EDGE_CURVE('',#8073,#8074,#5577,.T.); +#17767=EDGE_CURVE('',#8077,#8074,#5581,.T.); +#17769=EDGE_CURVE('',#8077,#8078,#5585,.T.); +#17771=EDGE_CURVE('',#8078,#8073,#5589,.T.); +#17775=EDGE_CURVE('',#8089,#8090,#5593,.T.); +#17777=EDGE_CURVE('',#8093,#8090,#5597,.T.); +#17779=EDGE_CURVE('',#8093,#8094,#5601,.T.); +#17781=EDGE_CURVE('',#8094,#8089,#5605,.T.); +#17785=EDGE_CURVE('',#8105,#8106,#5609,.T.); +#17787=EDGE_CURVE('',#8109,#8106,#5613,.T.); +#17789=EDGE_CURVE('',#8109,#8110,#5617,.T.); +#17791=EDGE_CURVE('',#8110,#8105,#5621,.T.); +#17795=EDGE_CURVE('',#8121,#8122,#5625,.T.); +#17797=EDGE_CURVE('',#8125,#8122,#5629,.T.); +#17799=EDGE_CURVE('',#8125,#8126,#5633,.T.); +#17801=EDGE_CURVE('',#8126,#8121,#5637,.T.); +#17805=EDGE_CURVE('',#8137,#8138,#5641,.T.); +#17807=EDGE_CURVE('',#8141,#8138,#5645,.T.); +#17809=EDGE_CURVE('',#8141,#8142,#5649,.T.); +#17811=EDGE_CURVE('',#8142,#8137,#5653,.T.); +#17815=EDGE_CURVE('',#8153,#8154,#5657,.T.); +#17817=EDGE_CURVE('',#8157,#8154,#5661,.T.); +#17819=EDGE_CURVE('',#8157,#8158,#5665,.T.); +#17821=EDGE_CURVE('',#8158,#8153,#5669,.T.); +#17825=EDGE_CURVE('',#8169,#8170,#5673,.T.); +#17827=EDGE_CURVE('',#8173,#8170,#5677,.T.); +#17829=EDGE_CURVE('',#8173,#8174,#5681,.T.); +#17831=EDGE_CURVE('',#8174,#8169,#5685,.T.); +#17835=EDGE_CURVE('',#8185,#8186,#5689,.T.); +#17837=EDGE_CURVE('',#8189,#8186,#5693,.T.); +#17839=EDGE_CURVE('',#8189,#8190,#5697,.T.); +#17841=EDGE_CURVE('',#8190,#8185,#5701,.T.); +#17845=EDGE_CURVE('',#8201,#8202,#5705,.T.); +#17847=EDGE_CURVE('',#8205,#8202,#5709,.T.); +#17849=EDGE_CURVE('',#8205,#8206,#5713,.T.); +#17851=EDGE_CURVE('',#8206,#8201,#5717,.T.); +#17855=EDGE_CURVE('',#8217,#8218,#5721,.T.); +#17857=EDGE_CURVE('',#8221,#8218,#5725,.T.); +#17859=EDGE_CURVE('',#8221,#8222,#5729,.T.); +#17861=EDGE_CURVE('',#8222,#8217,#5733,.T.); +#17865=EDGE_CURVE('',#8233,#8234,#5737,.T.); +#17867=EDGE_CURVE('',#8237,#8234,#5741,.T.); +#17869=EDGE_CURVE('',#8237,#8238,#5745,.T.); +#17871=EDGE_CURVE('',#8238,#8233,#5749,.T.); +#17875=EDGE_CURVE('',#8249,#8250,#5753,.T.); +#17877=EDGE_CURVE('',#8253,#8250,#5757,.T.); +#17879=EDGE_CURVE('',#8253,#8254,#5761,.T.); +#17881=EDGE_CURVE('',#8254,#8249,#5765,.T.); +#17885=EDGE_CURVE('',#8265,#8266,#5769,.T.); +#17887=EDGE_CURVE('',#8269,#8266,#5773,.T.); +#17889=EDGE_CURVE('',#8269,#8270,#5777,.T.); +#17891=EDGE_CURVE('',#8270,#8265,#5781,.T.); +#17895=EDGE_CURVE('',#8281,#8282,#5785,.T.); +#17897=EDGE_CURVE('',#8285,#8282,#5789,.T.); +#17899=EDGE_CURVE('',#8285,#8286,#5793,.T.); +#17901=EDGE_CURVE('',#8286,#8281,#5797,.T.); +#17905=EDGE_CURVE('',#8297,#8298,#5801,.T.); +#17907=EDGE_CURVE('',#8301,#8298,#5805,.T.); +#17909=EDGE_CURVE('',#8301,#8302,#5809,.T.); +#17911=EDGE_CURVE('',#8302,#8297,#5813,.T.); +#17915=EDGE_CURVE('',#8313,#8314,#5817,.T.); +#17917=EDGE_CURVE('',#8317,#8314,#5821,.T.); +#17919=EDGE_CURVE('',#8317,#8318,#5825,.T.); +#17921=EDGE_CURVE('',#8318,#8313,#5829,.T.); +#17925=EDGE_CURVE('',#8329,#8330,#5833,.T.); +#17927=EDGE_CURVE('',#8333,#8330,#5837,.T.); +#17929=EDGE_CURVE('',#8333,#8334,#5841,.T.); +#17931=EDGE_CURVE('',#8334,#8329,#5845,.T.); +#17935=EDGE_CURVE('',#8345,#8346,#5849,.T.); +#17937=EDGE_CURVE('',#8349,#8346,#5853,.T.); +#17939=EDGE_CURVE('',#8349,#8350,#5857,.T.); +#17941=EDGE_CURVE('',#8350,#8345,#5861,.T.); +#17945=EDGE_CURVE('',#8361,#8362,#5865,.T.); +#17947=EDGE_CURVE('',#8365,#8362,#5869,.T.); +#17949=EDGE_CURVE('',#8365,#8366,#5873,.T.); +#17951=EDGE_CURVE('',#8366,#8361,#5877,.T.); +#17955=EDGE_CURVE('',#8377,#8378,#5881,.T.); +#17957=EDGE_CURVE('',#8381,#8378,#5885,.T.); +#17959=EDGE_CURVE('',#8381,#8382,#5889,.T.); +#17961=EDGE_CURVE('',#8382,#8377,#5893,.T.); +#17965=EDGE_CURVE('',#8393,#8394,#5897,.T.); +#17967=EDGE_CURVE('',#8397,#8394,#5901,.T.); +#17969=EDGE_CURVE('',#8397,#8398,#5905,.T.); +#17971=EDGE_CURVE('',#8398,#8393,#5909,.T.); +#17975=EDGE_CURVE('',#8409,#8410,#5913,.T.); +#17977=EDGE_CURVE('',#8413,#8410,#5917,.T.); +#17979=EDGE_CURVE('',#8413,#8414,#5921,.T.); +#17981=EDGE_CURVE('',#8414,#8409,#5925,.T.); +#17985=ADVANCED_FACE('',(#17674,#17684,#17694,#17704,#17714,#17724,#17734, +#17744,#17754,#17764,#17774,#17784,#17794,#17804,#17814,#17824,#17834,#17844, +#17854,#17864,#17874,#17884,#17894,#17904,#17914,#17924,#17934,#17944,#17954, +#17964,#17974,#17984),#17665,.F.); +#17992=EDGE_CURVE('',#7142,#7149,#5929,.T.); +#17994=EDGE_CURVE('',#7149,#7137,#5973,.T.); +#17996=EDGE_CURVE('',#7133,#7137,#6477,.T.); +#18000=ADVANCED_FACE('',(#17999),#17990,.F.); +#18009=EDGE_CURVE('',#7149,#7153,#5945,.T.); +#18013=ADVANCED_FACE('',(#18012),#18005,.F.); +#18019=EDGE_CURVE('',#7149,#7150,#5961,.T.); +#18023=EDGE_CURVE('',#7154,#7150,#5957,.T.); +#18027=ADVANCED_FACE('',(#18026),#18018,.T.); +#18034=EDGE_CURVE('',#7138,#7150,#5965,.T.); +#18036=EDGE_CURVE('',#7137,#7138,#5969,.T.); +#18041=EDGE_CURVE('',#7297,#7298,#5977,.T.); +#18043=EDGE_CURVE('',#7302,#7298,#5981,.T.); +#18045=EDGE_CURVE('',#7301,#7302,#5985,.T.); +#18047=EDGE_CURVE('',#7301,#7297,#5989,.T.); +#18051=EDGE_CURVE('',#7305,#7306,#5993,.T.); +#18053=EDGE_CURVE('',#7310,#7306,#5997,.T.); +#18055=EDGE_CURVE('',#7309,#7310,#6001,.T.); +#18057=EDGE_CURVE('',#7309,#7305,#6005,.T.); +#18061=EDGE_CURVE('',#7313,#7314,#6009,.T.); +#18063=EDGE_CURVE('',#7318,#7314,#6013,.T.); +#18065=EDGE_CURVE('',#7317,#7318,#6017,.T.); +#18067=EDGE_CURVE('',#7317,#7313,#6021,.T.); +#18071=EDGE_CURVE('',#7321,#7322,#6025,.T.); +#18073=EDGE_CURVE('',#7326,#7322,#6029,.T.); +#18075=EDGE_CURVE('',#7325,#7326,#6033,.T.); +#18077=EDGE_CURVE('',#7325,#7321,#6037,.T.); +#18081=EDGE_CURVE('',#7329,#7330,#6041,.T.); +#18083=EDGE_CURVE('',#7334,#7330,#6045,.T.); +#18085=EDGE_CURVE('',#7333,#7334,#6049,.T.); +#18087=EDGE_CURVE('',#7333,#7329,#6053,.T.); +#18091=EDGE_CURVE('',#7337,#7338,#6057,.T.); +#18093=EDGE_CURVE('',#7342,#7338,#6061,.T.); +#18095=EDGE_CURVE('',#7341,#7342,#6065,.T.); +#18097=EDGE_CURVE('',#7341,#7337,#6069,.T.); +#18101=EDGE_CURVE('',#8033,#8034,#6073,.T.); +#18103=EDGE_CURVE('',#8037,#8034,#6077,.T.); +#18105=EDGE_CURVE('',#8037,#8038,#6081,.T.); +#18107=EDGE_CURVE('',#8038,#8033,#6085,.T.); +#18111=EDGE_CURVE('',#8049,#8050,#6089,.T.); +#18113=EDGE_CURVE('',#8053,#8050,#6093,.T.); +#18115=EDGE_CURVE('',#8053,#8054,#6097,.T.); +#18117=EDGE_CURVE('',#8054,#8049,#6101,.T.); +#18121=EDGE_CURVE('',#8065,#8066,#6105,.T.); +#18123=EDGE_CURVE('',#8069,#8066,#6109,.T.); +#18125=EDGE_CURVE('',#8069,#8070,#6113,.T.); +#18127=EDGE_CURVE('',#8070,#8065,#6117,.T.); +#18131=EDGE_CURVE('',#8081,#8082,#6121,.T.); +#18133=EDGE_CURVE('',#8085,#8082,#6125,.T.); +#18135=EDGE_CURVE('',#8085,#8086,#6129,.T.); +#18137=EDGE_CURVE('',#8086,#8081,#6133,.T.); +#18141=EDGE_CURVE('',#8097,#8098,#6137,.T.); +#18143=EDGE_CURVE('',#8101,#8098,#6141,.T.); +#18145=EDGE_CURVE('',#8101,#8102,#6145,.T.); +#18147=EDGE_CURVE('',#8102,#8097,#6149,.T.); +#18151=EDGE_CURVE('',#8113,#8114,#6153,.T.); +#18153=EDGE_CURVE('',#8117,#8114,#6157,.T.); +#18155=EDGE_CURVE('',#8117,#8118,#6161,.T.); +#18157=EDGE_CURVE('',#8118,#8113,#6165,.T.); +#18161=EDGE_CURVE('',#8129,#8130,#6169,.T.); +#18163=EDGE_CURVE('',#8133,#8130,#6173,.T.); +#18165=EDGE_CURVE('',#8133,#8134,#6177,.T.); +#18167=EDGE_CURVE('',#8134,#8129,#6181,.T.); +#18171=EDGE_CURVE('',#8145,#8146,#6185,.T.); +#18173=EDGE_CURVE('',#8149,#8146,#6189,.T.); +#18175=EDGE_CURVE('',#8149,#8150,#6193,.T.); +#18177=EDGE_CURVE('',#8150,#8145,#6197,.T.); +#18181=EDGE_CURVE('',#8161,#8162,#6201,.T.); +#18183=EDGE_CURVE('',#8165,#8162,#6205,.T.); +#18185=EDGE_CURVE('',#8165,#8166,#6209,.T.); +#18187=EDGE_CURVE('',#8166,#8161,#6213,.T.); +#18191=EDGE_CURVE('',#8177,#8178,#6217,.T.); +#18193=EDGE_CURVE('',#8181,#8178,#6221,.T.); +#18195=EDGE_CURVE('',#8181,#8182,#6225,.T.); +#18197=EDGE_CURVE('',#8182,#8177,#6229,.T.); +#18201=EDGE_CURVE('',#8193,#8194,#6233,.T.); +#18203=EDGE_CURVE('',#8197,#8194,#6237,.T.); +#18205=EDGE_CURVE('',#8197,#8198,#6241,.T.); +#18207=EDGE_CURVE('',#8198,#8193,#6245,.T.); +#18211=EDGE_CURVE('',#8209,#8210,#6249,.T.); +#18213=EDGE_CURVE('',#8213,#8210,#6253,.T.); +#18215=EDGE_CURVE('',#8213,#8214,#6257,.T.); +#18217=EDGE_CURVE('',#8214,#8209,#6261,.T.); +#18221=EDGE_CURVE('',#8225,#8226,#6265,.T.); +#18223=EDGE_CURVE('',#8229,#8226,#6269,.T.); +#18225=EDGE_CURVE('',#8229,#8230,#6273,.T.); +#18227=EDGE_CURVE('',#8230,#8225,#6277,.T.); +#18231=EDGE_CURVE('',#8241,#8242,#6281,.T.); +#18233=EDGE_CURVE('',#8245,#8242,#6285,.T.); +#18235=EDGE_CURVE('',#8245,#8246,#6289,.T.); +#18237=EDGE_CURVE('',#8246,#8241,#6293,.T.); +#18241=EDGE_CURVE('',#8257,#8258,#6297,.T.); +#18243=EDGE_CURVE('',#8261,#8258,#6301,.T.); +#18245=EDGE_CURVE('',#8261,#8262,#6305,.T.); +#18247=EDGE_CURVE('',#8262,#8257,#6309,.T.); +#18251=EDGE_CURVE('',#8273,#8274,#6313,.T.); +#18253=EDGE_CURVE('',#8277,#8274,#6317,.T.); +#18255=EDGE_CURVE('',#8277,#8278,#6321,.T.); +#18257=EDGE_CURVE('',#8278,#8273,#6325,.T.); +#18261=EDGE_CURVE('',#8289,#8290,#6329,.T.); +#18263=EDGE_CURVE('',#8293,#8290,#6333,.T.); +#18265=EDGE_CURVE('',#8293,#8294,#6337,.T.); +#18267=EDGE_CURVE('',#8294,#8289,#6341,.T.); +#18271=EDGE_CURVE('',#8305,#8306,#6345,.T.); +#18273=EDGE_CURVE('',#8309,#8306,#6349,.T.); +#18275=EDGE_CURVE('',#8309,#8310,#6353,.T.); +#18277=EDGE_CURVE('',#8310,#8305,#6357,.T.); +#18281=EDGE_CURVE('',#8321,#8322,#6361,.T.); +#18283=EDGE_CURVE('',#8325,#8322,#6365,.T.); +#18285=EDGE_CURVE('',#8325,#8326,#6369,.T.); +#18287=EDGE_CURVE('',#8326,#8321,#6373,.T.); +#18291=EDGE_CURVE('',#8337,#8338,#6377,.T.); +#18293=EDGE_CURVE('',#8341,#8338,#6381,.T.); +#18295=EDGE_CURVE('',#8341,#8342,#6385,.T.); +#18297=EDGE_CURVE('',#8342,#8337,#6389,.T.); +#18301=EDGE_CURVE('',#8353,#8354,#6393,.T.); +#18303=EDGE_CURVE('',#8357,#8354,#6397,.T.); +#18305=EDGE_CURVE('',#8357,#8358,#6401,.T.); +#18307=EDGE_CURVE('',#8358,#8353,#6405,.T.); +#18311=EDGE_CURVE('',#8369,#8370,#6409,.T.); +#18313=EDGE_CURVE('',#8373,#8370,#6413,.T.); +#18315=EDGE_CURVE('',#8373,#8374,#6417,.T.); +#18317=EDGE_CURVE('',#8374,#8369,#6421,.T.); +#18321=EDGE_CURVE('',#8385,#8386,#6425,.T.); +#18323=EDGE_CURVE('',#8389,#8386,#6429,.T.); +#18325=EDGE_CURVE('',#8389,#8390,#6433,.T.); +#18327=EDGE_CURVE('',#8390,#8385,#6437,.T.); +#18331=EDGE_CURVE('',#8401,#8402,#6441,.T.); +#18333=EDGE_CURVE('',#8405,#8402,#6445,.T.); +#18335=EDGE_CURVE('',#8405,#8406,#6449,.T.); +#18337=EDGE_CURVE('',#8406,#8401,#6453,.T.); +#18341=EDGE_CURVE('',#8417,#8418,#6457,.T.); +#18343=EDGE_CURVE('',#8421,#8418,#6461,.T.); +#18345=EDGE_CURVE('',#8421,#8422,#6465,.T.); +#18347=EDGE_CURVE('',#8422,#8417,#6469,.T.); +#18351=ADVANCED_FACE('',(#18040,#18050,#18060,#18070,#18080,#18090,#18100, +#18110,#18120,#18130,#18140,#18150,#18160,#18170,#18180,#18190,#18200,#18210, +#18220,#18230,#18240,#18250,#18260,#18270,#18280,#18290,#18300,#18310,#18320, +#18330,#18340,#18350),#18032,.T.); +#18358=EDGE_CURVE('',#7134,#7138,#6473,.T.); +#18361=EDGE_CURVE('',#7141,#7150,#6485,.T.); +#18365=ADVANCED_FACE('',(#18364),#18356,.F.); +#18377=ADVANCED_FACE('',(#18376),#18370,.F.); +#18389=ADVANCED_FACE('',(#18388),#18382,.F.); +#18396=EDGE_CURVE('',#7298,#7297,#6490,.T.); +#18400=ADVANCED_FACE('',(#18399),#18394,.T.); +#18407=EDGE_CURVE('',#7345,#7346,#6495,.T.); +#18411=ADVANCED_FACE('',(#18410),#18405,.T.); +#18420=EDGE_CURVE('',#7302,#7301,#6500,.T.); +#18424=ADVANCED_FACE('',(#18423),#18416,.T.); +#18434=ADVANCED_FACE('',(#18433),#18429,.F.); +#18441=EDGE_CURVE('',#7349,#7350,#6505,.T.); +#18445=ADVANCED_FACE('',(#18444),#18439,.F.); +#18457=ADVANCED_FACE('',(#18456),#18450,.T.); +#18464=EDGE_CURVE('',#7306,#7305,#6510,.T.); +#18468=ADVANCED_FACE('',(#18467),#18462,.T.); +#18475=EDGE_CURVE('',#7353,#7354,#6515,.T.); +#18479=ADVANCED_FACE('',(#18478),#18473,.T.); +#18488=EDGE_CURVE('',#7310,#7309,#6520,.T.); +#18492=ADVANCED_FACE('',(#18491),#18484,.T.); +#18502=ADVANCED_FACE('',(#18501),#18497,.F.); +#18509=EDGE_CURVE('',#7357,#7358,#6525,.T.); +#18513=ADVANCED_FACE('',(#18512),#18507,.F.); +#18525=ADVANCED_FACE('',(#18524),#18518,.T.); +#18532=EDGE_CURVE('',#7314,#7313,#6530,.T.); +#18536=ADVANCED_FACE('',(#18535),#18530,.T.); +#18543=EDGE_CURVE('',#7361,#7362,#6535,.T.); +#18547=ADVANCED_FACE('',(#18546),#18541,.T.); +#18556=EDGE_CURVE('',#7318,#7317,#6540,.T.); +#18560=ADVANCED_FACE('',(#18559),#18552,.T.); +#18570=ADVANCED_FACE('',(#18569),#18565,.F.); +#18577=EDGE_CURVE('',#7365,#7366,#6545,.T.); +#18581=ADVANCED_FACE('',(#18580),#18575,.F.); +#18593=ADVANCED_FACE('',(#18592),#18586,.T.); +#18600=EDGE_CURVE('',#7322,#7321,#6550,.T.); +#18604=ADVANCED_FACE('',(#18603),#18598,.T.); +#18611=EDGE_CURVE('',#7369,#7370,#6555,.T.); +#18615=ADVANCED_FACE('',(#18614),#18609,.T.); +#18624=EDGE_CURVE('',#7326,#7325,#6560,.T.); +#18628=ADVANCED_FACE('',(#18627),#18620,.T.); +#18638=ADVANCED_FACE('',(#18637),#18633,.F.); +#18645=EDGE_CURVE('',#7373,#7374,#6565,.T.); +#18649=ADVANCED_FACE('',(#18648),#18643,.F.); +#18661=ADVANCED_FACE('',(#18660),#18654,.T.); +#18668=EDGE_CURVE('',#7330,#7329,#6570,.T.); +#18672=ADVANCED_FACE('',(#18671),#18666,.T.); +#18679=EDGE_CURVE('',#7377,#7378,#6575,.T.); +#18683=ADVANCED_FACE('',(#18682),#18677,.T.); +#18692=EDGE_CURVE('',#7334,#7333,#6580,.T.); +#18696=ADVANCED_FACE('',(#18695),#18688,.T.); +#18706=ADVANCED_FACE('',(#18705),#18701,.F.); +#18713=EDGE_CURVE('',#7381,#7382,#6585,.T.); +#18717=ADVANCED_FACE('',(#18716),#18711,.F.); +#18729=ADVANCED_FACE('',(#18728),#18722,.T.); +#18736=EDGE_CURVE('',#7338,#7337,#6590,.T.); +#18740=ADVANCED_FACE('',(#18739),#18734,.T.); +#18747=EDGE_CURVE('',#7385,#7386,#6595,.T.); +#18751=ADVANCED_FACE('',(#18750),#18745,.T.); +#18760=EDGE_CURVE('',#7342,#7341,#6600,.T.); +#18764=ADVANCED_FACE('',(#18763),#18756,.T.); +#18774=ADVANCED_FACE('',(#18773),#18769,.F.); +#18781=EDGE_CURVE('',#7389,#7390,#6605,.T.); +#18785=ADVANCED_FACE('',(#18784),#18779,.F.); +#18797=ADVANCED_FACE('',(#18796),#18790,.T.); +#18804=EDGE_CURVE('',#8026,#8025,#6610,.T.); +#18808=ADVANCED_FACE('',(#18807),#18802,.T.); +#18815=EDGE_CURVE('',#8034,#8033,#6615,.T.); +#18819=ADVANCED_FACE('',(#18818),#18813,.T.); +#18828=EDGE_CURVE('',#8029,#8030,#6620,.T.); +#18832=ADVANCED_FACE('',(#18831),#18824,.T.); +#18842=ADVANCED_FACE('',(#18841),#18837,.F.); +#18849=EDGE_CURVE('',#8037,#8038,#6625,.T.); +#18853=ADVANCED_FACE('',(#18852),#18847,.F.); +#18865=ADVANCED_FACE('',(#18864),#18858,.T.); +#18872=EDGE_CURVE('',#8042,#8041,#6630,.T.); +#18876=ADVANCED_FACE('',(#18875),#18870,.T.); +#18883=EDGE_CURVE('',#8050,#8049,#6635,.T.); +#18887=ADVANCED_FACE('',(#18886),#18881,.T.); +#18896=EDGE_CURVE('',#8045,#8046,#6640,.T.); +#18900=ADVANCED_FACE('',(#18899),#18892,.T.); +#18910=ADVANCED_FACE('',(#18909),#18905,.F.); +#18917=EDGE_CURVE('',#8053,#8054,#6645,.T.); +#18921=ADVANCED_FACE('',(#18920),#18915,.F.); +#18933=ADVANCED_FACE('',(#18932),#18926,.T.); +#18940=EDGE_CURVE('',#8058,#8057,#6650,.T.); +#18944=ADVANCED_FACE('',(#18943),#18938,.T.); +#18951=EDGE_CURVE('',#8066,#8065,#6655,.T.); +#18955=ADVANCED_FACE('',(#18954),#18949,.T.); +#18964=EDGE_CURVE('',#8061,#8062,#6660,.T.); +#18968=ADVANCED_FACE('',(#18967),#18960,.T.); +#18978=ADVANCED_FACE('',(#18977),#18973,.F.); +#18985=EDGE_CURVE('',#8069,#8070,#6665,.T.); +#18989=ADVANCED_FACE('',(#18988),#18983,.F.); +#19001=ADVANCED_FACE('',(#19000),#18994,.T.); +#19008=EDGE_CURVE('',#8074,#8073,#6670,.T.); +#19012=ADVANCED_FACE('',(#19011),#19006,.T.); +#19019=EDGE_CURVE('',#8082,#8081,#6675,.T.); +#19023=ADVANCED_FACE('',(#19022),#19017,.T.); +#19032=EDGE_CURVE('',#8077,#8078,#6680,.T.); +#19036=ADVANCED_FACE('',(#19035),#19028,.T.); +#19046=ADVANCED_FACE('',(#19045),#19041,.F.); +#19053=EDGE_CURVE('',#8085,#8086,#6685,.T.); +#19057=ADVANCED_FACE('',(#19056),#19051,.F.); +#19069=ADVANCED_FACE('',(#19068),#19062,.T.); +#19076=EDGE_CURVE('',#8090,#8089,#6690,.T.); +#19080=ADVANCED_FACE('',(#19079),#19074,.T.); +#19087=EDGE_CURVE('',#8098,#8097,#6695,.T.); +#19091=ADVANCED_FACE('',(#19090),#19085,.T.); +#19100=EDGE_CURVE('',#8093,#8094,#6700,.T.); +#19104=ADVANCED_FACE('',(#19103),#19096,.T.); +#19114=ADVANCED_FACE('',(#19113),#19109,.F.); +#19121=EDGE_CURVE('',#8101,#8102,#6705,.T.); +#19125=ADVANCED_FACE('',(#19124),#19119,.F.); +#19137=ADVANCED_FACE('',(#19136),#19130,.T.); +#19144=EDGE_CURVE('',#8106,#8105,#6710,.T.); +#19148=ADVANCED_FACE('',(#19147),#19142,.T.); +#19155=EDGE_CURVE('',#8114,#8113,#6715,.T.); +#19159=ADVANCED_FACE('',(#19158),#19153,.T.); +#19168=EDGE_CURVE('',#8109,#8110,#6720,.T.); +#19172=ADVANCED_FACE('',(#19171),#19164,.T.); +#19182=ADVANCED_FACE('',(#19181),#19177,.F.); +#19189=EDGE_CURVE('',#8117,#8118,#6725,.T.); +#19193=ADVANCED_FACE('',(#19192),#19187,.F.); +#19205=ADVANCED_FACE('',(#19204),#19198,.T.); +#19212=EDGE_CURVE('',#8122,#8121,#6730,.T.); +#19216=ADVANCED_FACE('',(#19215),#19210,.T.); +#19223=EDGE_CURVE('',#8130,#8129,#6735,.T.); +#19227=ADVANCED_FACE('',(#19226),#19221,.T.); +#19236=EDGE_CURVE('',#8125,#8126,#6740,.T.); +#19240=ADVANCED_FACE('',(#19239),#19232,.T.); +#19250=ADVANCED_FACE('',(#19249),#19245,.F.); +#19257=EDGE_CURVE('',#8133,#8134,#6745,.T.); +#19261=ADVANCED_FACE('',(#19260),#19255,.F.); +#19273=ADVANCED_FACE('',(#19272),#19266,.T.); +#19280=EDGE_CURVE('',#8138,#8137,#6750,.T.); +#19284=ADVANCED_FACE('',(#19283),#19278,.T.); +#19291=EDGE_CURVE('',#8146,#8145,#6755,.T.); +#19295=ADVANCED_FACE('',(#19294),#19289,.T.); +#19304=EDGE_CURVE('',#8141,#8142,#6760,.T.); +#19308=ADVANCED_FACE('',(#19307),#19300,.T.); +#19318=ADVANCED_FACE('',(#19317),#19313,.F.); +#19325=EDGE_CURVE('',#8149,#8150,#6765,.T.); +#19329=ADVANCED_FACE('',(#19328),#19323,.F.); +#19341=ADVANCED_FACE('',(#19340),#19334,.T.); +#19348=EDGE_CURVE('',#8154,#8153,#6770,.T.); +#19352=ADVANCED_FACE('',(#19351),#19346,.T.); +#19359=EDGE_CURVE('',#8162,#8161,#6775,.T.); +#19363=ADVANCED_FACE('',(#19362),#19357,.T.); +#19372=EDGE_CURVE('',#8157,#8158,#6780,.T.); +#19376=ADVANCED_FACE('',(#19375),#19368,.T.); +#19386=ADVANCED_FACE('',(#19385),#19381,.F.); +#19393=EDGE_CURVE('',#8165,#8166,#6785,.T.); +#19397=ADVANCED_FACE('',(#19396),#19391,.F.); +#19409=ADVANCED_FACE('',(#19408),#19402,.T.); +#19416=EDGE_CURVE('',#8170,#8169,#6790,.T.); +#19420=ADVANCED_FACE('',(#19419),#19414,.T.); +#19427=EDGE_CURVE('',#8178,#8177,#6795,.T.); +#19431=ADVANCED_FACE('',(#19430),#19425,.T.); +#19440=EDGE_CURVE('',#8173,#8174,#6800,.T.); +#19444=ADVANCED_FACE('',(#19443),#19436,.T.); +#19454=ADVANCED_FACE('',(#19453),#19449,.F.); +#19461=EDGE_CURVE('',#8181,#8182,#6805,.T.); +#19465=ADVANCED_FACE('',(#19464),#19459,.F.); +#19477=ADVANCED_FACE('',(#19476),#19470,.T.); +#19484=EDGE_CURVE('',#8186,#8185,#6810,.T.); +#19488=ADVANCED_FACE('',(#19487),#19482,.T.); +#19495=EDGE_CURVE('',#8194,#8193,#6815,.T.); +#19499=ADVANCED_FACE('',(#19498),#19493,.T.); +#19508=EDGE_CURVE('',#8189,#8190,#6820,.T.); +#19512=ADVANCED_FACE('',(#19511),#19504,.T.); +#19522=ADVANCED_FACE('',(#19521),#19517,.F.); +#19529=EDGE_CURVE('',#8197,#8198,#6825,.T.); +#19533=ADVANCED_FACE('',(#19532),#19527,.F.); +#19545=ADVANCED_FACE('',(#19544),#19538,.T.); +#19552=EDGE_CURVE('',#8202,#8201,#6830,.T.); +#19556=ADVANCED_FACE('',(#19555),#19550,.T.); +#19563=EDGE_CURVE('',#8210,#8209,#6835,.T.); +#19567=ADVANCED_FACE('',(#19566),#19561,.T.); +#19576=EDGE_CURVE('',#8205,#8206,#6840,.T.); +#19580=ADVANCED_FACE('',(#19579),#19572,.T.); +#19590=ADVANCED_FACE('',(#19589),#19585,.F.); +#19597=EDGE_CURVE('',#8213,#8214,#6845,.T.); +#19601=ADVANCED_FACE('',(#19600),#19595,.F.); +#19613=ADVANCED_FACE('',(#19612),#19606,.T.); +#19620=EDGE_CURVE('',#8218,#8217,#6850,.T.); +#19624=ADVANCED_FACE('',(#19623),#19618,.T.); +#19631=EDGE_CURVE('',#8226,#8225,#6855,.T.); +#19635=ADVANCED_FACE('',(#19634),#19629,.T.); +#19644=EDGE_CURVE('',#8221,#8222,#6860,.T.); +#19648=ADVANCED_FACE('',(#19647),#19640,.T.); +#19658=ADVANCED_FACE('',(#19657),#19653,.F.); +#19665=EDGE_CURVE('',#8229,#8230,#6865,.T.); +#19669=ADVANCED_FACE('',(#19668),#19663,.F.); +#19681=ADVANCED_FACE('',(#19680),#19674,.T.); +#19688=EDGE_CURVE('',#8234,#8233,#6870,.T.); +#19692=ADVANCED_FACE('',(#19691),#19686,.T.); +#19699=EDGE_CURVE('',#8242,#8241,#6875,.T.); +#19703=ADVANCED_FACE('',(#19702),#19697,.T.); +#19712=EDGE_CURVE('',#8237,#8238,#6880,.T.); +#19716=ADVANCED_FACE('',(#19715),#19708,.T.); +#19726=ADVANCED_FACE('',(#19725),#19721,.F.); +#19733=EDGE_CURVE('',#8245,#8246,#6885,.T.); +#19737=ADVANCED_FACE('',(#19736),#19731,.F.); +#19749=ADVANCED_FACE('',(#19748),#19742,.T.); +#19756=EDGE_CURVE('',#8250,#8249,#6890,.T.); +#19760=ADVANCED_FACE('',(#19759),#19754,.T.); +#19767=EDGE_CURVE('',#8258,#8257,#6895,.T.); +#19771=ADVANCED_FACE('',(#19770),#19765,.T.); +#19780=EDGE_CURVE('',#8253,#8254,#6900,.T.); +#19784=ADVANCED_FACE('',(#19783),#19776,.T.); +#19794=ADVANCED_FACE('',(#19793),#19789,.F.); +#19801=EDGE_CURVE('',#8261,#8262,#6905,.T.); +#19805=ADVANCED_FACE('',(#19804),#19799,.F.); +#19817=ADVANCED_FACE('',(#19816),#19810,.T.); +#19824=EDGE_CURVE('',#8266,#8265,#6910,.T.); +#19828=ADVANCED_FACE('',(#19827),#19822,.T.); +#19835=EDGE_CURVE('',#8274,#8273,#6915,.T.); +#19839=ADVANCED_FACE('',(#19838),#19833,.T.); +#19848=EDGE_CURVE('',#8269,#8270,#6920,.T.); +#19852=ADVANCED_FACE('',(#19851),#19844,.T.); +#19862=ADVANCED_FACE('',(#19861),#19857,.F.); +#19869=EDGE_CURVE('',#8277,#8278,#6925,.T.); +#19873=ADVANCED_FACE('',(#19872),#19867,.F.); +#19885=ADVANCED_FACE('',(#19884),#19878,.T.); +#19892=EDGE_CURVE('',#8282,#8281,#6930,.T.); +#19896=ADVANCED_FACE('',(#19895),#19890,.T.); +#19903=EDGE_CURVE('',#8290,#8289,#6935,.T.); +#19907=ADVANCED_FACE('',(#19906),#19901,.T.); +#19916=EDGE_CURVE('',#8285,#8286,#6940,.T.); +#19920=ADVANCED_FACE('',(#19919),#19912,.T.); +#19930=ADVANCED_FACE('',(#19929),#19925,.F.); +#19937=EDGE_CURVE('',#8293,#8294,#6945,.T.); +#19941=ADVANCED_FACE('',(#19940),#19935,.F.); +#19953=ADVANCED_FACE('',(#19952),#19946,.T.); +#19960=EDGE_CURVE('',#8298,#8297,#6950,.T.); +#19964=ADVANCED_FACE('',(#19963),#19958,.T.); +#19971=EDGE_CURVE('',#8306,#8305,#6955,.T.); +#19975=ADVANCED_FACE('',(#19974),#19969,.T.); +#19984=EDGE_CURVE('',#8301,#8302,#6960,.T.); +#19988=ADVANCED_FACE('',(#19987),#19980,.T.); +#19998=ADVANCED_FACE('',(#19997),#19993,.F.); +#20005=EDGE_CURVE('',#8309,#8310,#6965,.T.); +#20009=ADVANCED_FACE('',(#20008),#20003,.F.); +#20021=ADVANCED_FACE('',(#20020),#20014,.T.); +#20028=EDGE_CURVE('',#8314,#8313,#6970,.T.); +#20032=ADVANCED_FACE('',(#20031),#20026,.T.); +#20039=EDGE_CURVE('',#8322,#8321,#6975,.T.); +#20043=ADVANCED_FACE('',(#20042),#20037,.T.); +#20052=EDGE_CURVE('',#8317,#8318,#6980,.T.); +#20056=ADVANCED_FACE('',(#20055),#20048,.T.); +#20066=ADVANCED_FACE('',(#20065),#20061,.F.); +#20073=EDGE_CURVE('',#8325,#8326,#6985,.T.); +#20077=ADVANCED_FACE('',(#20076),#20071,.F.); +#20089=ADVANCED_FACE('',(#20088),#20082,.T.); +#20096=EDGE_CURVE('',#8330,#8329,#6990,.T.); +#20100=ADVANCED_FACE('',(#20099),#20094,.T.); +#20107=EDGE_CURVE('',#8338,#8337,#6995,.T.); +#20111=ADVANCED_FACE('',(#20110),#20105,.T.); +#20120=EDGE_CURVE('',#8333,#8334,#7000,.T.); +#20124=ADVANCED_FACE('',(#20123),#20116,.T.); +#20134=ADVANCED_FACE('',(#20133),#20129,.F.); +#20141=EDGE_CURVE('',#8341,#8342,#7005,.T.); +#20145=ADVANCED_FACE('',(#20144),#20139,.F.); +#20157=ADVANCED_FACE('',(#20156),#20150,.T.); +#20164=EDGE_CURVE('',#8346,#8345,#7010,.T.); +#20168=ADVANCED_FACE('',(#20167),#20162,.T.); +#20175=EDGE_CURVE('',#8354,#8353,#7015,.T.); +#20179=ADVANCED_FACE('',(#20178),#20173,.T.); +#20188=EDGE_CURVE('',#8349,#8350,#7020,.T.); +#20192=ADVANCED_FACE('',(#20191),#20184,.T.); +#20202=ADVANCED_FACE('',(#20201),#20197,.F.); +#20209=EDGE_CURVE('',#8357,#8358,#7025,.T.); +#20213=ADVANCED_FACE('',(#20212),#20207,.F.); +#20225=ADVANCED_FACE('',(#20224),#20218,.T.); +#20232=EDGE_CURVE('',#8362,#8361,#7030,.T.); +#20236=ADVANCED_FACE('',(#20235),#20230,.T.); +#20243=EDGE_CURVE('',#8370,#8369,#7035,.T.); +#20247=ADVANCED_FACE('',(#20246),#20241,.T.); +#20256=EDGE_CURVE('',#8365,#8366,#7040,.T.); +#20260=ADVANCED_FACE('',(#20259),#20252,.T.); +#20270=ADVANCED_FACE('',(#20269),#20265,.F.); +#20277=EDGE_CURVE('',#8373,#8374,#7045,.T.); +#20281=ADVANCED_FACE('',(#20280),#20275,.F.); +#20293=ADVANCED_FACE('',(#20292),#20286,.T.); +#20300=EDGE_CURVE('',#8378,#8377,#7050,.T.); +#20304=ADVANCED_FACE('',(#20303),#20298,.T.); +#20311=EDGE_CURVE('',#8386,#8385,#7055,.T.); +#20315=ADVANCED_FACE('',(#20314),#20309,.T.); +#20324=EDGE_CURVE('',#8381,#8382,#7060,.T.); +#20328=ADVANCED_FACE('',(#20327),#20320,.T.); +#20338=ADVANCED_FACE('',(#20337),#20333,.F.); +#20345=EDGE_CURVE('',#8389,#8390,#7065,.T.); +#20349=ADVANCED_FACE('',(#20348),#20343,.F.); +#20361=ADVANCED_FACE('',(#20360),#20354,.T.); +#20368=EDGE_CURVE('',#8394,#8393,#7070,.T.); +#20372=ADVANCED_FACE('',(#20371),#20366,.T.); +#20379=EDGE_CURVE('',#8402,#8401,#7075,.T.); +#20383=ADVANCED_FACE('',(#20382),#20377,.T.); +#20392=EDGE_CURVE('',#8397,#8398,#7080,.T.); +#20396=ADVANCED_FACE('',(#20395),#20388,.T.); +#20406=ADVANCED_FACE('',(#20405),#20401,.F.); +#20413=EDGE_CURVE('',#8405,#8406,#7085,.T.); +#20417=ADVANCED_FACE('',(#20416),#20411,.F.); +#20429=ADVANCED_FACE('',(#20428),#20422,.T.); +#20436=EDGE_CURVE('',#8410,#8409,#7090,.T.); +#20440=ADVANCED_FACE('',(#20439),#20434,.T.); +#20447=EDGE_CURVE('',#8418,#8417,#7095,.T.); +#20451=ADVANCED_FACE('',(#20450),#20445,.T.); +#20460=EDGE_CURVE('',#8413,#8414,#7100,.T.); +#20464=ADVANCED_FACE('',(#20463),#20456,.T.); +#20474=ADVANCED_FACE('',(#20473),#20469,.F.); +#20481=EDGE_CURVE('',#8421,#8422,#7105,.T.); +#20485=ADVANCED_FACE('',(#20484),#20479,.F.); +#20497=ADVANCED_FACE('',(#20496),#20490,.T.); +#20504=EDGE_CURVE('',#7876,#7874,#7109,.T.); +#20510=ADVANCED_FACE('',(#20509),#20502,.T.); +#20518=EDGE_CURVE('',#7892,#7890,#7117,.T.); +#20523=ADVANCED_FACE('',(#20522),#20515,.T.); +#20535=ADVANCED_FACE('',(#20534),#20528,.T.); +#20547=ADVANCED_FACE('',(#20546),#20540,.T.); +#20550=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#20551=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#20554=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#20556=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#20555))GLOBAL_UNIT_ASSIGNED_CONTEXT((#20550,#20553,#20554))REPRESENTATION_CONTEXT('ID1','3')); +#20557=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#20549),#20556); +#20564=PRODUCT_DEFINITION('part definition','',#20563,#20560); +#20565=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-6-5530843-5.',#20564); +#20566=SHAPE_ASPECT('','solid data associated with C-6-5530843-5',#20565,.F.); +#20567=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#20566); +#20568=SHAPE_REPRESENTATION('',(#20549),#20556); +#20569=SHAPE_DEFINITION_REPRESENTATION(#20567,#20568); +#20570=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#20574=PROPERTY_DEFINITION('geometric validation property', +'area of C-6-5530843-5',#20566); +#20575=REPRESENTATION('surface area',(#20573),#20556); +#20576=PROPERTY_DEFINITION_REPRESENTATION(#20574,#20575); +#20577=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#20581=PROPERTY_DEFINITION('geometric validation property', +'volume of C-6-5530843-5',#20566); +#20582=REPRESENTATION('volume',(#20580),#20556); +#20583=PROPERTY_DEFINITION_REPRESENTATION(#20581,#20582); +#20585=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-6-5530843-5',#20566); +#20586=REPRESENTATION('centroid',(#20584),#20556); +#20587=PROPERTY_DEFINITION_REPRESENTATION(#20585,#20586); +#20588=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#20592=PROPERTY_DEFINITION('geometric validation property', +'area of C-6-5530843-5',#20565); +#20593=REPRESENTATION('surface area',(#20591),#20556); +#20594=PROPERTY_DEFINITION_REPRESENTATION(#20592,#20593); +#20595=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#20599=PROPERTY_DEFINITION('geometric validation property', +'volume of C-6-5530843-5',#20565); +#20600=REPRESENTATION('volume',(#20598),#20556); +#20601=PROPERTY_DEFINITION_REPRESENTATION(#20599,#20600); +#20603=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-6-5530843-5',#20565); +#20604=REPRESENTATION('centroid',(#20602),#20556); +#20605=PROPERTY_DEFINITION_REPRESENTATION(#20603,#20604); +#20606=SHAPE_DEFINITION_REPRESENTATION(#20565,#20557); +ENDSEC; +END-ISO-10303-21; diff --git a/sym-lib-table b/sym-lib-table new file mode 100644 index 0000000..e545236 --- /dev/null +++ b/sym-lib-table @@ -0,0 +1,4 @@ +(sym_lib_table + (version 7) + (lib (name "local")(type "KiCad")(uri "${KIPRJMOD}/local.kicad_sym")(options "")(descr "")) +)